2023-01-11 23:15:07 +00:00
|
|
|
/////////////////////////////////////////////////////////////////////////////////////////////////////////
|
2021-06-23 05:41:00 +00:00
|
|
|
// lsu.sv
|
|
|
|
//
|
2023-01-11 23:15:07 +00:00
|
|
|
// Written: David_Harris@hmc.edu, ross1728@gmail.com
|
|
|
|
// Created: 9 January 2021
|
|
|
|
// Modified: 11 January 2023
|
2021-06-23 05:41:00 +00:00
|
|
|
//
|
|
|
|
// Purpose: Load/Store Unit
|
2022-01-20 16:02:08 +00:00
|
|
|
// Top level of the memory-stage core logic
|
2021-06-23 05:41:00 +00:00
|
|
|
// Contains data cache, DTLB, subword read/write datapath, interface to external bus
|
|
|
|
//
|
|
|
|
// A component of the Wally configurable RISC-V project.
|
|
|
|
//
|
2023-01-10 19:35:20 +00:00
|
|
|
// Copyright (C) 2021-23 Harvey Mudd College & Oklahoma State University
|
2021-06-23 05:41:00 +00:00
|
|
|
//
|
2023-01-10 19:35:20 +00:00
|
|
|
// SPDX-License-Identifier: Apache-2.0 WITH SHL-2.1
|
2021-06-23 05:41:00 +00:00
|
|
|
//
|
2023-01-10 19:35:20 +00:00
|
|
|
// Licensed under the Solderpad Hardware License v 2.1 (the “License”); you may not use this file
|
|
|
|
// except in compliance with the License, or, at your option, the Apache License version 2.0. You
|
|
|
|
// may obtain a copy of the License at
|
2021-06-23 05:41:00 +00:00
|
|
|
//
|
2023-01-10 19:35:20 +00:00
|
|
|
// https://solderpad.org/licenses/SHL-2.1/
|
|
|
|
//
|
|
|
|
// Unless required by applicable law or agreed to in writing, any work distributed under the
|
|
|
|
// License is distributed on an “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND,
|
|
|
|
// either express or implied. See the License for the specific language governing permissions
|
|
|
|
// and limitations under the License.
|
2023-01-11 23:15:07 +00:00
|
|
|
/////////////////////////////////////////////////////////////////////////////////////////////////////////
|
2021-06-23 05:41:00 +00:00
|
|
|
|
2022-08-28 04:44:17 +00:00
|
|
|
// committed means the memory operation in flight cannot be interrupted.
|
|
|
|
// chap 5 handling faults to memory by delaying writes to memory stage.
|
|
|
|
// chap 6 combing bus with dtim
|
2022-08-28 18:10:47 +00:00
|
|
|
// chap 9 complete lsu.
|
2022-08-28 04:44:17 +00:00
|
|
|
|
2021-06-23 05:41:00 +00:00
|
|
|
`include "wally-config.vh"
|
|
|
|
|
2022-01-15 01:19:44 +00:00
|
|
|
module lsu (
|
2022-01-31 18:11:42 +00:00
|
|
|
input logic clk, reset,
|
|
|
|
input logic StallM, FlushM, StallW, FlushW,
|
2022-12-23 21:10:37 +00:00
|
|
|
output logic LSUStallM,
|
2021-07-06 15:41:36 +00:00
|
|
|
// connected to cpu (controls)
|
2022-01-31 18:11:42 +00:00
|
|
|
input logic [1:0] MemRWM,
|
|
|
|
input logic [2:0] Funct3M,
|
|
|
|
input logic [6:0] Funct7M,
|
|
|
|
input logic [1:0] AtomicM,
|
|
|
|
input logic FlushDCacheM,
|
|
|
|
output logic CommittedM,
|
|
|
|
output logic SquashSCW,
|
|
|
|
output logic DCacheMiss,
|
|
|
|
output logic DCacheAccess,
|
2021-07-06 15:41:36 +00:00
|
|
|
// address and write data
|
2022-01-31 18:11:42 +00:00
|
|
|
input logic [`XLEN-1:0] IEUAdrE,
|
|
|
|
(* mark_debug = "true" *)output logic [`XLEN-1:0] IEUAdrM,
|
2022-08-29 18:01:24 +00:00
|
|
|
(* mark_debug = "true" *)input logic [`XLEN-1:0] WriteDataM,
|
2022-06-20 22:53:13 +00:00
|
|
|
output logic [`LLEN-1:0] ReadDataW,
|
2021-07-06 15:41:36 +00:00
|
|
|
// cpu privilege
|
2022-05-08 06:46:35 +00:00
|
|
|
input logic [1:0] PrivilegeModeW,
|
|
|
|
input logic BigEndianM,
|
2022-06-02 14:18:55 +00:00
|
|
|
input logic sfencevmaM,
|
2022-06-20 22:53:13 +00:00
|
|
|
// fpu
|
2022-06-28 21:33:31 +00:00
|
|
|
input logic [`FLEN-1:0] FWriteDataM,
|
|
|
|
input logic FpLoadStoreM,
|
2021-07-06 15:41:36 +00:00
|
|
|
// faults
|
2022-01-31 18:11:42 +00:00
|
|
|
output logic LoadPageFaultM, StoreAmoPageFaultM,
|
2022-11-29 22:28:14 +00:00
|
|
|
output logic LoadMisalignedFaultM, LoadAccessFaultM, HPTWInstrAccessFaultM,
|
2021-07-06 15:41:36 +00:00
|
|
|
// cpu hazard unit (trap)
|
2022-01-31 18:11:42 +00:00
|
|
|
output logic StoreAmoMisalignedFaultM, StoreAmoAccessFaultM,
|
|
|
|
// connect to ahb
|
2022-08-25 16:52:08 +00:00
|
|
|
(* mark_debug = "true" *) output logic [`PA_BITS-1:0] LSUHADDR,
|
2022-08-25 17:20:02 +00:00
|
|
|
(* mark_debug = "true" *) input logic [`XLEN-1:0] HRDATA,
|
|
|
|
(* mark_debug = "true" *) output logic [`XLEN-1:0] LSUHWDATA,
|
2022-08-29 18:01:24 +00:00
|
|
|
(* mark_debug = "true" *) input logic LSUHREADY,
|
|
|
|
(* mark_debug = "true" *) output logic LSUHWRITE,
|
2022-08-25 16:52:08 +00:00
|
|
|
(* mark_debug = "true" *) output logic [2:0] LSUHSIZE,
|
|
|
|
(* mark_debug = "true" *) output logic [2:0] LSUHBURST,
|
|
|
|
(* mark_debug = "true" *) output logic [1:0] LSUHTRANS,
|
2022-08-29 22:11:27 +00:00
|
|
|
(* mark_debug = "true" *) output logic [`XLEN/8-1:0] LSUHWSTRB,
|
2022-01-31 18:11:42 +00:00
|
|
|
// page table walker
|
|
|
|
input logic [`XLEN-1:0] SATP_REGW, // from csr
|
|
|
|
input logic STATUS_MXR, STATUS_SUM, STATUS_MPRV,
|
|
|
|
input logic [1:0] STATUS_MPP,
|
|
|
|
input logic [`XLEN-1:0] PCF,
|
|
|
|
input logic ITLBMissF,
|
2022-02-17 05:37:36 +00:00
|
|
|
input logic InstrDAPageFaultF,
|
2022-01-31 18:11:42 +00:00
|
|
|
output logic [`XLEN-1:0] PTE,
|
|
|
|
output logic [1:0] PageType,
|
2022-05-08 06:46:35 +00:00
|
|
|
output logic ITLBWriteF, SelHPTW,
|
2022-01-31 18:11:42 +00:00
|
|
|
input var logic [7:0] PMPCFG_ARRAY_REGW[`PMP_ENTRIES-1:0],
|
|
|
|
input var logic [`XLEN-1:0] PMPADDR_ARRAY_REGW[`PMP_ENTRIES-1:0] // *** this one especially has a large note attached to it in pmpchecker.
|
2022-01-15 01:19:44 +00:00
|
|
|
);
|
2021-07-06 15:41:36 +00:00
|
|
|
|
2022-01-31 19:16:23 +00:00
|
|
|
logic [`XLEN+1:0] IEUAdrExtM;
|
2022-08-28 04:44:17 +00:00
|
|
|
logic [`XLEN+1:0] IEUAdrExtE;
|
2022-09-13 16:47:39 +00:00
|
|
|
logic [`PA_BITS-1:0] PAdrM;
|
2022-01-31 18:11:42 +00:00
|
|
|
logic DTLBMissM;
|
|
|
|
logic DTLBWriteM;
|
2022-09-22 19:16:26 +00:00
|
|
|
logic [1:0] PreLSURWM, LSURWM;
|
2022-01-31 18:11:42 +00:00
|
|
|
logic [2:0] LSUFunct3M;
|
|
|
|
logic [6:0] LSUFunct7M;
|
|
|
|
logic [1:0] LSUAtomicM;
|
2022-09-13 16:47:39 +00:00
|
|
|
(* mark_debug = "true" *) logic [`XLEN+1:0] IHAdrM;
|
2022-12-11 21:52:51 +00:00
|
|
|
logic GatedStallW;
|
2022-12-23 03:56:33 +00:00
|
|
|
logic DCacheStallW;
|
2022-01-31 18:11:42 +00:00
|
|
|
logic CacheableM;
|
|
|
|
logic BusStall;
|
2022-10-23 18:46:50 +00:00
|
|
|
logic HPTWStall;
|
2022-08-19 23:07:44 +00:00
|
|
|
logic IgnoreRequestTLB;
|
2022-01-31 18:11:42 +00:00
|
|
|
logic BusCommittedM, DCacheCommittedM;
|
2022-02-17 05:37:36 +00:00
|
|
|
logic DataDAPageFaultM;
|
2022-11-13 18:27:48 +00:00
|
|
|
logic [`XLEN-1:0] IHWriteDataM, IMAWriteDataM;
|
2022-08-23 15:34:39 +00:00
|
|
|
logic [`LLEN-1:0] IMAFWriteDataM;
|
2022-06-20 22:53:13 +00:00
|
|
|
logic [`LLEN-1:0] ReadDataM;
|
2022-08-23 15:34:39 +00:00
|
|
|
logic [(`LLEN-1)/8:0] ByteMaskM;
|
2022-10-05 19:51:02 +00:00
|
|
|
logic SelDTIM;
|
2022-11-29 22:28:14 +00:00
|
|
|
logic LSULoadAccessFaultM, LSUStoreAmoAccessFaultM;
|
2022-09-08 20:51:18 +00:00
|
|
|
|
2022-01-15 00:24:16 +00:00
|
|
|
flopenrc #(`XLEN) AddressMReg(clk, reset, FlushM, ~StallM, IEUAdrE, IEUAdrM);
|
2022-01-31 19:16:23 +00:00
|
|
|
assign IEUAdrExtM = {2'b00, IEUAdrM};
|
2022-10-23 18:46:50 +00:00
|
|
|
assign IEUAdrExtE = {2'b00, IEUAdrE};
|
2022-12-23 21:10:37 +00:00
|
|
|
assign LSUStallM = DCacheStallW | HPTWStall | BusStall;
|
2022-01-31 18:11:42 +00:00
|
|
|
|
|
|
|
/////////////////////////////////////////////////////////////////////////////////////////////
|
2022-11-07 19:13:34 +00:00
|
|
|
// HPTW(only needed if VM supported)
|
2022-01-31 18:11:42 +00:00
|
|
|
// MMU include PMP and is needed if any privileged supported
|
|
|
|
/////////////////////////////////////////////////////////////////////////////////////////////
|
2022-01-05 16:25:08 +00:00
|
|
|
|
2022-02-03 01:08:34 +00:00
|
|
|
if(`VIRTMEM_SUPPORTED) begin : VIRTMEM_SUPPORTED
|
2022-12-11 21:48:00 +00:00
|
|
|
hptw hptw(.clk, .reset, .MemRWM, .AtomicM, .ITLBMissF, .ITLBWriteF,
|
2022-10-19 20:08:23 +00:00
|
|
|
.DTLBMissM, .DTLBWriteM, .InstrDAPageFaultF, .DataDAPageFaultM,
|
2022-12-23 03:56:33 +00:00
|
|
|
.FlushW, .DCacheStallW, .SATP_REGW, .PCF,
|
2022-03-04 00:07:31 +00:00
|
|
|
.STATUS_MXR, .STATUS_SUM, .STATUS_MPRV, .STATUS_MPP, .PrivilegeModeW,
|
2022-06-20 22:53:13 +00:00
|
|
|
.ReadDataM(ReadDataM[`XLEN-1:0]), .WriteDataM, .Funct3M, .LSUFunct3M, .Funct7M, .LSUFunct7M,
|
2022-11-13 18:27:48 +00:00
|
|
|
.IEUAdrExtM, .PTE, .IHWriteDataM, .PageType, .PreLSURWM, .LSUAtomicM,
|
2022-12-11 21:48:00 +00:00
|
|
|
.IHAdrM, .HPTWStall, .SelHPTW,
|
2022-11-29 22:28:14 +00:00
|
|
|
.IgnoreRequestTLB, .LSULoadAccessFaultM, .LSUStoreAmoAccessFaultM,
|
|
|
|
.LoadAccessFaultM, .StoreAmoAccessFaultM, .HPTWInstrAccessFaultM);
|
2022-01-31 18:11:42 +00:00
|
|
|
end else begin
|
2022-10-23 18:46:50 +00:00
|
|
|
assign {HPTWStall, SelHPTW, PTE, PageType, DTLBWriteM, ITLBWriteF, IgnoreRequestTLB} = '0;
|
2022-12-11 21:48:00 +00:00
|
|
|
assign PreLSURWM = MemRWM;
|
2022-09-13 16:47:39 +00:00
|
|
|
assign IHAdrM = IEUAdrExtM;
|
2022-01-31 19:16:23 +00:00
|
|
|
assign LSUFunct3M = Funct3M; assign LSUFunct7M = Funct7M; assign LSUAtomicM = AtomicM;
|
2022-11-13 18:27:48 +00:00
|
|
|
assign IHWriteDataM = WriteDataM;
|
2022-11-29 22:28:14 +00:00
|
|
|
assign LoadAccessFaultM = LSULoadAccessFaultM;
|
|
|
|
assign StoreAmoAccessFaultM = LSUStoreAmoAccessFaultM;
|
|
|
|
assign HPTWInstrAccessFaultM = '0;
|
2022-01-15 00:24:16 +00:00
|
|
|
end
|
2021-07-04 18:49:38 +00:00
|
|
|
|
2022-02-10 17:40:10 +00:00
|
|
|
// CommittedM tells the CPU's privilege unit the current instruction
|
2021-12-29 23:40:24 +00:00
|
|
|
// in the memory stage is a memory operaton and that memory operation is either completed
|
2022-02-10 17:40:10 +00:00
|
|
|
// or is partially executed. Partially completed memory operations need to prevent an interrupts.
|
|
|
|
// There is not a clean way to restore back to a partial executed instruction. CommiteedM will
|
|
|
|
// delay the interrupt until the LSU is in a clean state.
|
2021-12-29 17:21:44 +00:00
|
|
|
assign CommittedM = SelHPTW | DCacheCommittedM | BusCommittedM;
|
2022-12-11 21:52:51 +00:00
|
|
|
assign GatedStallW = StallW & ~SelHPTW;
|
2021-12-28 22:14:10 +00:00
|
|
|
|
2022-01-14 23:02:28 +00:00
|
|
|
// MMU and Misalignment fault logic required if privileged unit exists
|
2022-01-05 16:25:08 +00:00
|
|
|
if(`ZICSR_SUPPORTED == 1) begin : dmmu
|
2022-02-19 20:38:17 +00:00
|
|
|
logic DisableTranslation;
|
|
|
|
assign DisableTranslation = SelHPTW | FlushDCacheM;
|
2022-01-05 16:25:08 +00:00
|
|
|
mmu #(.TLB_ENTRIES(`DTLB_ENTRIES), .IMMU(0))
|
|
|
|
dmmu(.clk, .reset, .SATP_REGW, .STATUS_MXR, .STATUS_SUM, .STATUS_MPRV, .STATUS_MPP,
|
2022-08-28 18:50:50 +00:00
|
|
|
.PrivilegeModeW, .DisableTranslation,
|
2022-09-13 16:47:39 +00:00
|
|
|
.VAdr(IHAdrM),
|
2022-01-07 04:30:00 +00:00
|
|
|
.Size(LSUFunct3M[1:0]),
|
2022-01-05 16:25:08 +00:00
|
|
|
.PTE,
|
|
|
|
.PageTypeWriteVal(PageType),
|
|
|
|
.TLBWrite(DTLBWriteM),
|
2022-06-02 14:18:55 +00:00
|
|
|
.TLBFlush(sfencevmaM),
|
2022-09-13 16:47:39 +00:00
|
|
|
.PhysicalAddress(PAdrM),
|
2022-01-05 16:25:08 +00:00
|
|
|
.TLBMiss(DTLBMissM),
|
2023-01-07 13:59:56 +00:00
|
|
|
.Cacheable(CacheableM), .Idempotent(), .SelTIM(SelDTIM),
|
2022-11-29 22:28:14 +00:00
|
|
|
.InstrAccessFaultF(), .LoadAccessFaultM(LSULoadAccessFaultM), .StoreAmoAccessFaultM(LSUStoreAmoAccessFaultM),
|
2022-01-27 23:11:27 +00:00
|
|
|
.InstrPageFaultF(),.LoadPageFaultM, .StoreAmoPageFaultM,
|
2022-03-22 21:52:07 +00:00
|
|
|
.LoadMisalignedFaultM, .StoreAmoMisalignedFaultM, // *** these faults need to be supressed during hptw.
|
2022-02-17 05:37:36 +00:00
|
|
|
.DAPageFault(DataDAPageFaultM),
|
2022-02-19 20:38:17 +00:00
|
|
|
// *** should use LSURWM as this is includes the lr/sc squash. However this introduces a combo loop
|
2022-09-13 16:47:39 +00:00
|
|
|
// from squash, depends on PAdrM, depends on TLBHit, depends on these *AccessM inputs.
|
2022-02-19 20:38:17 +00:00
|
|
|
.AtomicAccessM(|LSUAtomicM), .ExecuteAccessF(1'b0),
|
2022-01-07 04:30:00 +00:00
|
|
|
.WriteAccessM(PreLSURWM[0]), .ReadAccessM(PreLSURWM[1]),
|
2022-01-28 20:02:05 +00:00
|
|
|
.PMPCFG_ARRAY_REGW, .PMPADDR_ARRAY_REGW);
|
2022-01-05 16:25:08 +00:00
|
|
|
|
|
|
|
end else begin
|
2022-08-29 14:48:00 +00:00
|
|
|
// Determine which region of physical memory (if any) is being accessed
|
|
|
|
|
|
|
|
// conditionally move adredecs to here and ifu.
|
|
|
|
// the lsu will output LSUHSel to EBU (need the same for ifu).
|
|
|
|
// The ebu will have a mux to select between LSUHSel, IFUHSel
|
|
|
|
// mux for HWSTRB
|
|
|
|
// adrdecs out of uncore.
|
|
|
|
|
2022-11-29 22:28:14 +00:00
|
|
|
assign {DTLBMissM, LSULoadAccessFaultM, LSUStoreAmoAccessFaultM, LoadMisalignedFaultM, StoreAmoMisalignedFaultM} = '0;
|
2022-01-27 23:11:27 +00:00
|
|
|
assign {LoadPageFaultM, StoreAmoPageFaultM} = '0;
|
2022-10-10 16:10:55 +00:00
|
|
|
assign PAdrM = IHAdrM[`PA_BITS-1:0];
|
2022-01-28 20:02:05 +00:00
|
|
|
assign CacheableM = '1;
|
2022-10-11 19:05:20 +00:00
|
|
|
assign SelDTIM = `DTIM_SUPPORTED & ~`BUS; // if no pma then select dtim if there is a DTIM. If there is
|
|
|
|
// a bus then this is always 0. Cannot have both without PMA.
|
2022-01-05 16:25:08 +00:00
|
|
|
end
|
2021-07-04 18:49:38 +00:00
|
|
|
|
2022-01-31 18:11:42 +00:00
|
|
|
/////////////////////////////////////////////////////////////////////////////////////////////
|
2022-02-03 15:36:11 +00:00
|
|
|
// Memory System
|
2022-01-14 23:55:27 +00:00
|
|
|
// Either Data Cache or Data Tightly Integrated Memory or just bus interface
|
2022-01-31 18:11:42 +00:00
|
|
|
/////////////////////////////////////////////////////////////////////////////////////////////
|
2022-08-23 15:34:39 +00:00
|
|
|
logic [`LLEN-1:0] LSUWriteDataM, LittleEndianWriteDataM;
|
2022-06-20 22:53:13 +00:00
|
|
|
logic [`LLEN-1:0] ReadDataWordM, LittleEndianReadDataWordM;
|
2022-10-05 20:46:53 +00:00
|
|
|
logic [`LLEN-1:0] ReadDataWordMuxM, DTIMReadDataWordM, DCacheReadDataWordM;
|
2022-02-10 17:27:15 +00:00
|
|
|
logic IgnoreRequest;
|
2022-11-07 21:03:43 +00:00
|
|
|
assign IgnoreRequest = IgnoreRequestTLB | FlushW;
|
2022-02-05 04:30:04 +00:00
|
|
|
|
2022-08-27 03:26:12 +00:00
|
|
|
if (`DTIM_SUPPORTED) begin : dtim
|
2022-08-27 12:31:56 +00:00
|
|
|
logic [`PA_BITS-1:0] DTIMAdr;
|
2022-10-05 19:51:02 +00:00
|
|
|
logic [1:0] DTIMMemRWM;
|
|
|
|
|
2022-08-27 03:12:03 +00:00
|
|
|
// The DTIM uses untranslated addresses, so it is not compatible with virtual memory.
|
2022-10-10 16:10:55 +00:00
|
|
|
assign DTIMAdr = MemRWM[0] ? IEUAdrExtM[`PA_BITS-1:0] : IEUAdrExtE[`PA_BITS-1:0]; // zero extend or contract to PA_BITS
|
2022-11-07 21:03:43 +00:00
|
|
|
assign DTIMMemRWM = SelDTIM & ~IgnoreRequestTLB ? LSURWM : '0;
|
2022-11-01 20:23:24 +00:00
|
|
|
// **** fix ReadDataWordM to be LLEN. ByteMask is wrong length.
|
|
|
|
// **** create config to support DTIM with floating point.
|
2023-01-07 13:59:56 +00:00
|
|
|
dtim dtim(.clk, .ce(~GatedStallW), .MemRWM(DTIMMemRWM),
|
|
|
|
.Adr(DTIMAdr), .FlushW, .WriteDataM(LSUWriteDataM),
|
2022-10-05 19:51:02 +00:00
|
|
|
.ReadDataWordM(DTIMReadDataWordM[`XLEN-1:0]), .ByteMaskM(ByteMaskM[`XLEN/8-1:0]));
|
2022-08-27 12:31:56 +00:00
|
|
|
end else begin
|
|
|
|
end
|
2022-08-26 03:02:38 +00:00
|
|
|
if (`BUS) begin : bus
|
2022-10-12 16:33:10 +00:00
|
|
|
localparam integer LLENWORDSPERLINE = `DCACHE ? `DCACHE_LINELENINBITS/`LLEN : 1;
|
|
|
|
localparam integer LLENLOGBWPL = `DCACHE ? $clog2(LLENWORDSPERLINE) : 1;
|
2022-11-09 23:52:50 +00:00
|
|
|
localparam integer BEATSPERLINE = `DCACHE ? `DCACHE_LINELENINBITS/`AHBW : 1;
|
|
|
|
localparam integer AHBWLOGBWPL = `DCACHE ? $clog2(BEATSPERLINE) : 1;
|
2022-08-24 17:35:15 +00:00
|
|
|
if(`DCACHE) begin : dcache
|
2022-08-26 03:02:38 +00:00
|
|
|
localparam integer LINELEN = `DCACHE ? `DCACHE_LINELENINBITS : `XLEN;
|
|
|
|
logic [LINELEN-1:0] FetchBuffer;
|
|
|
|
logic [`PA_BITS-1:0] DCacheBusAdr;
|
2022-11-09 23:52:50 +00:00
|
|
|
logic [AHBWLOGBWPL-1:0] BeatCount;
|
2022-10-17 17:34:14 +00:00
|
|
|
logic DCacheBusAck;
|
2022-11-09 23:52:50 +00:00
|
|
|
logic SelBusBeat;
|
2022-09-23 16:46:53 +00:00
|
|
|
logic [1:0] CacheBusRW, BusRW;
|
2022-10-13 16:11:36 +00:00
|
|
|
localparam integer LLENPOVERAHBW = `LLEN / `AHBW;
|
2022-10-17 17:34:14 +00:00
|
|
|
logic CacheableOrFlushCacheM;
|
2022-11-01 20:23:24 +00:00
|
|
|
logic [1:0] CacheRWM, CacheAtomicM;
|
|
|
|
logic CacheFlushM;
|
|
|
|
|
2022-11-07 21:03:43 +00:00
|
|
|
assign BusRW = ~CacheableM & ~IgnoreRequestTLB & ~SelDTIM ? LSURWM : '0;
|
2022-10-17 17:34:14 +00:00
|
|
|
assign CacheableOrFlushCacheM = CacheableM | FlushDCacheM;
|
2022-11-07 21:03:43 +00:00
|
|
|
assign CacheRWM = CacheableM & ~IgnoreRequestTLB & ~SelDTIM ? LSURWM : '0;
|
|
|
|
assign CacheAtomicM = CacheableM & ~IgnoreRequestTLB & ~SelDTIM ? LSUAtomicM : '0;
|
|
|
|
assign CacheFlushM = FlushDCacheM;
|
2022-11-01 20:23:24 +00:00
|
|
|
|
2022-01-15 00:39:07 +00:00
|
|
|
cache #(.LINELEN(`DCACHE_LINELENINBITS), .NUMLINES(`DCACHE_WAYSIZEINBYTES*8/LINELEN),
|
2022-10-12 16:33:10 +00:00
|
|
|
.NUMWAYS(`DCACHE_NUMWAYS), .LOGBWPL(LLENLOGBWPL), .WORDLEN(`LLEN), .MUXINTERVAL(`LLEN), .DCACHE(1)) dcache(
|
2022-12-11 21:52:51 +00:00
|
|
|
.clk, .reset, .Stall(GatedStallW), .SelBusBeat, .FlushStage(FlushW), .CacheRW(CacheRWM), .CacheAtomic(CacheAtomicM),
|
2022-11-01 20:23:24 +00:00
|
|
|
.FlushCache(CacheFlushM), .NextAdr(IEUAdrE[11:0]), .PAdr(PAdrM),
|
2022-11-09 23:52:50 +00:00
|
|
|
.ByteMask(ByteMaskM), .BeatCount(BeatCount[AHBWLOGBWPL-1:AHBWLOGBWPL-LLENLOGBWPL]),
|
2022-11-14 03:36:12 +00:00
|
|
|
.CacheWriteData(LSUWriteDataM), .SelHPTW,
|
2022-12-23 03:56:33 +00:00
|
|
|
.CacheStall(DCacheStallW), .CacheMiss(DCacheMiss), .CacheAccess(DCacheAccess),
|
2022-11-01 20:23:24 +00:00
|
|
|
.CacheCommitted(DCacheCommittedM),
|
2022-10-05 19:51:02 +00:00
|
|
|
.CacheBusAdr(DCacheBusAdr), .ReadDataWord(DCacheReadDataWordM),
|
2022-09-23 16:46:53 +00:00
|
|
|
.FetchBuffer, .CacheBusRW,
|
|
|
|
.CacheBusAck(DCacheBusAck), .InvalidateCache(1'b0));
|
2022-11-09 23:52:50 +00:00
|
|
|
ahbcacheinterface #(.BEATSPERLINE(BEATSPERLINE), .LINELEN(LINELEN), .LOGWPL(AHBWLOGBWPL), .CACHE_ENABLED(`DCACHE)) ahbcacheinterface(
|
2022-11-07 21:03:43 +00:00
|
|
|
.HCLK(clk), .HRESETn(~reset), .Flush(FlushW),
|
2022-11-11 20:30:32 +00:00
|
|
|
.HRDATA, .HWDATA(LSUHWDATA), .HWSTRB(LSUHWSTRB),
|
2022-08-30 15:58:07 +00:00
|
|
|
.HSIZE(LSUHSIZE), .HBURST(LSUHBURST), .HTRANS(LSUHTRANS), .HWRITE(LSUHWRITE), .HREADY(LSUHREADY),
|
2022-11-11 20:30:32 +00:00
|
|
|
.BeatCount, .SelBusBeat, .CacheReadDataWordM(DCacheReadDataWordM), .WriteDataM(LSUWriteDataM),
|
|
|
|
.Funct3(LSUFunct3M), .HADDR(LSUHADDR), .CacheBusAdr(DCacheBusAdr), .CacheBusRW, .CacheableOrFlushCacheM,
|
2022-09-13 16:47:39 +00:00
|
|
|
.CacheBusAck(DCacheBusAck), .FetchBuffer, .PAdr(PAdrM),
|
2022-12-11 21:52:51 +00:00
|
|
|
.Cacheable(CacheableOrFlushCacheM), .BusRW, .Stall(GatedStallW),
|
2022-08-26 00:54:04 +00:00
|
|
|
.BusStall, .BusCommitted(BusCommittedM));
|
|
|
|
|
2022-10-13 16:11:36 +00:00
|
|
|
// FetchBuffer[`AHBW-1:0] needs to be duplicated LLENPOVERAHBW times.
|
|
|
|
// DTIMReadDataWordM should be increased to LLEN.
|
2022-11-01 20:23:24 +00:00
|
|
|
// *** DTIMReadDataWordM should be LLEN
|
|
|
|
// pma should generate expection for LLEN read to periph.
|
2022-10-13 16:11:36 +00:00
|
|
|
mux3 #(`LLEN) UnCachedDataMux(.d0(DCacheReadDataWordM), .d1({LLENPOVERAHBW{FetchBuffer[`XLEN-1:0]}}),
|
2022-10-05 20:46:53 +00:00
|
|
|
.d2({{`LLEN-`XLEN{1'b0}}, DTIMReadDataWordM[`XLEN-1:0]}),
|
2022-10-17 17:34:14 +00:00
|
|
|
.s({SelDTIM, ~(CacheableOrFlushCacheM)}), .y(ReadDataWordMuxM));
|
2022-08-26 00:54:04 +00:00
|
|
|
end else begin : passthrough // just needs a register to hold the value from the bus
|
2022-09-23 16:46:53 +00:00
|
|
|
logic [1:0] BusRW;
|
2022-10-05 19:51:02 +00:00
|
|
|
logic [`XLEN-1:0] FetchBuffer;
|
2022-11-07 21:03:43 +00:00
|
|
|
assign BusRW = ~IgnoreRequestTLB & ~SelDTIM ? LSURWM : '0;
|
2022-08-31 16:21:02 +00:00
|
|
|
|
2022-09-13 16:47:39 +00:00
|
|
|
assign LSUHADDR = PAdrM;
|
2022-08-26 03:02:38 +00:00
|
|
|
assign LSUHSIZE = LSUFunct3M;
|
2022-08-26 00:54:04 +00:00
|
|
|
|
2022-11-07 21:03:43 +00:00
|
|
|
ahbinterface #(1) ahbinterface(.HCLK(clk), .HRESETn(~reset), .Flush(FlushW), .HREADY(LSUHREADY),
|
2022-08-31 19:45:01 +00:00
|
|
|
.HRDATA(HRDATA), .HTRANS(LSUHTRANS), .HWRITE(LSUHWRITE), .HWDATA(LSUHWDATA),
|
2022-09-23 16:46:53 +00:00
|
|
|
.HWSTRB(LSUHWSTRB), .BusRW, .ByteMask(ByteMaskM), .WriteData(LSUWriteDataM),
|
2022-12-11 21:52:51 +00:00
|
|
|
.Stall(GatedStallW), .BusStall, .BusCommitted(BusCommittedM), .FetchBuffer(FetchBuffer));
|
2022-10-05 19:51:02 +00:00
|
|
|
|
2022-10-05 20:46:53 +00:00
|
|
|
if(`DTIM_SUPPORTED) mux2 #(`XLEN) ReadDataMux2(FetchBuffer, DTIMReadDataWordM, SelDTIM, ReadDataWordMuxM);
|
|
|
|
else assign ReadDataWordMuxM = FetchBuffer[`XLEN-1:0];
|
2022-08-26 01:30:46 +00:00
|
|
|
assign LSUHBURST = 3'b0;
|
2022-12-23 03:56:33 +00:00
|
|
|
assign {DCacheStallW, DCacheCommittedM, DCacheMiss, DCacheAccess} = '0;
|
2022-08-27 02:58:04 +00:00
|
|
|
end
|
2022-03-11 21:18:56 +00:00
|
|
|
end else begin: nobus // block: bus
|
2022-08-26 01:15:59 +00:00
|
|
|
assign LSUHWDATA = '0;
|
2022-10-05 20:46:53 +00:00
|
|
|
assign ReadDataWordMuxM = DTIMReadDataWordM;
|
2022-08-26 01:52:42 +00:00
|
|
|
assign {BusStall, BusCommittedM} = '0;
|
|
|
|
assign {DCacheMiss, DCacheAccess} = '0;
|
2022-12-23 03:56:33 +00:00
|
|
|
assign {DCacheStallW, DCacheCommittedM} = '0;
|
2022-01-13 23:00:46 +00:00
|
|
|
end
|
2022-01-14 23:55:27 +00:00
|
|
|
|
2022-01-31 18:11:42 +00:00
|
|
|
/////////////////////////////////////////////////////////////////////////////////////////////
|
2022-01-14 23:55:27 +00:00
|
|
|
// Atomic operations
|
2022-01-31 18:11:42 +00:00
|
|
|
/////////////////////////////////////////////////////////////////////////////////////////////
|
2022-01-31 18:54:18 +00:00
|
|
|
if (`A_SUPPORTED) begin:atomic
|
2022-11-13 18:27:48 +00:00
|
|
|
atomic atomic(.clk, .reset, .StallW, .ReadDataM(ReadDataM[`XLEN-1:0]), .IHWriteDataM, .PAdrM,
|
2022-02-10 17:27:15 +00:00
|
|
|
.LSUFunct7M, .LSUFunct3M, .LSUAtomicM, .PreLSURWM, .IgnoreRequest,
|
2022-08-23 15:34:39 +00:00
|
|
|
.IMAWriteDataM, .SquashSCW, .LSURWM);
|
2022-01-14 23:55:27 +00:00
|
|
|
end else begin:lrsc
|
2022-11-13 18:27:48 +00:00
|
|
|
assign SquashSCW = 0; assign LSURWM = PreLSURWM; assign IMAWriteDataM = IHWriteDataM;
|
2022-01-14 23:55:27 +00:00
|
|
|
end
|
2022-03-11 00:44:50 +00:00
|
|
|
|
2022-08-23 15:34:39 +00:00
|
|
|
if (`F_SUPPORTED)
|
2022-08-23 15:43:47 +00:00
|
|
|
mux2 #(`LLEN) datamux({{{`LLEN-`XLEN}{1'b0}}, IMAWriteDataM}, FWriteDataM, FpLoadStoreM, IMAFWriteDataM);
|
2022-08-23 15:34:39 +00:00
|
|
|
else assign IMAFWriteDataM = IMAWriteDataM;
|
|
|
|
|
2022-05-08 06:46:35 +00:00
|
|
|
/////////////////////////////////////////////////////////////////////////////////////////////
|
|
|
|
// Subword Accesses
|
|
|
|
/////////////////////////////////////////////////////////////////////////////////////////////
|
2022-09-15 18:59:01 +00:00
|
|
|
subwordread subwordread(.ReadDataWordMuxM(LittleEndianReadDataWordM), .PAdrM(PAdrM[2:0]), .BigEndianM,
|
2022-06-28 21:33:31 +00:00
|
|
|
.FpLoadStoreM, .Funct3M(LSUFunct3M), .ReadDataM);
|
2022-09-01 22:55:19 +00:00
|
|
|
subwordwrite subwordwrite(.LSUFunct3M, .IMAFWriteDataM, .LittleEndianWriteDataM);
|
2022-08-02 01:48:45 +00:00
|
|
|
|
|
|
|
// Compute byte masks
|
2022-09-13 16:47:39 +00:00
|
|
|
swbytemask #(`LLEN) swbytemask(.Size(LSUFunct3M), .Adr(PAdrM[$clog2(`LLEN/8)-1:0]), .ByteMask(ByteMaskM));
|
2022-06-20 22:53:13 +00:00
|
|
|
|
|
|
|
/////////////////////////////////////////////////////////////////////////////////////////////
|
|
|
|
// MW Pipeline Register
|
|
|
|
/////////////////////////////////////////////////////////////////////////////////////////////
|
|
|
|
|
|
|
|
flopen #(`LLEN) ReadDataMWReg(clk, ~StallW, ReadDataM, ReadDataW);
|
2022-05-08 06:46:35 +00:00
|
|
|
|
|
|
|
/////////////////////////////////////////////////////////////////////////////////////////////
|
|
|
|
// Big Endian Byte Swapper
|
|
|
|
// hart works little-endian internally
|
|
|
|
// swap the bytes when read from big-endian memory
|
|
|
|
/////////////////////////////////////////////////////////////////////////////////////////////
|
2022-10-11 23:08:02 +00:00
|
|
|
|
2022-05-08 06:46:35 +00:00
|
|
|
if (`BIGENDIAN_SUPPORTED) begin:endian
|
2022-09-15 19:49:18 +00:00
|
|
|
endianswap #(`LLEN) storeswap(.BigEndianM, .a(LittleEndianWriteDataM), .y(LSUWriteDataM));
|
2022-10-12 16:33:10 +00:00
|
|
|
endianswap #(`LLEN) loadswap(.BigEndianM, .a(ReadDataWordMuxM), .y(LittleEndianReadDataWordM));
|
2022-05-08 06:46:35 +00:00
|
|
|
end else begin
|
2022-08-23 15:34:39 +00:00
|
|
|
assign LSUWriteDataM = LittleEndianWriteDataM;
|
2022-10-05 20:46:53 +00:00
|
|
|
assign LittleEndianReadDataWordM = ReadDataWordMuxM;
|
2022-05-08 06:46:35 +00:00
|
|
|
end
|
2022-03-11 00:44:50 +00:00
|
|
|
|
2021-06-23 05:41:00 +00:00
|
|
|
endmodule
|