cvw/wally-pipelined/src/ebu/ahblite.sv

236 lines
11 KiB
Systemverilog
Raw Normal View History

2021-01-29 06:07:17 +00:00
///////////////////////////////////////////
// ahblite.sv
//
// Written: David_Harris@hmc.edu 9 January 2021
// Modified:
//
// Purpose: AHB Lite External Bus Unit
// See ARM_HIH0033A_AMBA_AHB-Lite_SPEC 1.0
// Arbitrates requests from instruction and data streams
// Connects hart to peripherals and I/O pins on SOC
// Bus width presently matches XLEN
// Anticipate replacing this with an AXI bus interface to communicate with FPGA DRAM/Flash controllers
//
// A component of the Wally configurable RISC-V project.
//
// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University
//
// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation
// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy,
// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software
// is furnished to do so, subject to the following conditions:
//
// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software.
//
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS
// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT
// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
///////////////////////////////////////////
`include "wally-config.vh"
2021-04-18 21:48:51 +00:00
package ahbliteState;
2021-05-04 19:21:01 +00:00
typedef enum logic [3:0] {IDLE, MEMREAD, MEMWRITE, INSTRREAD, ATOMICREAD, ATOMICWRITE, MMUTRANSLATE} statetype;
2021-04-18 21:48:51 +00:00
endpackage
2021-01-29 06:07:17 +00:00
module ahblite (
input logic clk, reset,
input logic StallW, FlushW,
2021-01-30 04:43:48 +00:00
// Load control
input logic UnsignedLoadM,
2021-04-23 21:47:23 +00:00
input logic [1:0] AtomicMaskedM,
input logic [6:0] Funct7M,
2021-01-29 06:07:17 +00:00
// Signals from Instruction Cache
input logic [`PA_BITS-1:0] InstrPAdrF, // *** rename these to match block diagram
2021-02-08 04:21:55 +00:00
input logic InstrReadF,
2021-02-24 12:25:03 +00:00
output logic [`XLEN-1:0] InstrRData,
2021-03-24 20:56:44 +00:00
output logic InstrAckF,
2021-01-29 06:07:17 +00:00
// Signals from Data Cache
input logic [`PA_BITS-1:0] MemPAdrM,
2021-02-08 04:21:55 +00:00
input logic MemReadM, MemWriteM,
input logic [`XLEN-1:0] WriteDataM,
2021-02-08 04:21:55 +00:00
input logic [1:0] MemSizeM,
// Signals from MMU
2021-04-22 05:51:38 +00:00
input logic MMUStall,
input logic [`XLEN-1:0] MMUPAdr,
2021-05-14 11:12:32 +00:00
input logic MMUTranslate,
output logic [`XLEN-1:0] MMUReadPTE,
output logic MMUReady,
2021-04-22 19:36:45 +00:00
// Signals from PMA checker
input logic DSquashBusAccessM, ISquashBusAccessF,
// Signals to PMA checker (metadata of proposed access)
output logic AtomicAccessM, ExecuteAccessF, WriteAccessM, ReadAccessM,
// Return from bus
output logic [`XLEN-1:0] HRDATAW,
2021-01-29 06:07:17 +00:00
// AHB-Lite external signals
input logic [`AHBW-1:0] HRDATA,
input logic HREADY, HRESP,
2021-01-30 05:56:12 +00:00
output logic HCLK, HRESETn,
output logic [31:0] HADDR,
2021-01-29 06:07:17 +00:00
output logic [`AHBW-1:0] HWDATA,
2021-01-30 05:56:12 +00:00
output logic HWRITE,
2021-01-29 06:07:17 +00:00
output logic [2:0] HSIZE,
output logic [2:0] HBURST,
output logic [3:0] HPROT,
output logic [1:0] HTRANS,
2021-01-30 06:43:49 +00:00
output logic HMASTLOCK,
2021-02-15 15:10:50 +00:00
// Delayed signals for writes
2021-02-08 04:21:55 +00:00
output logic [2:0] HADDRD,
output logic [3:0] HSIZED,
output logic HWRITED,
2021-01-30 06:43:49 +00:00
// Stalls
output logic /*InstrUpdate, */DataStall,
output logic CommitM, MemAckW
2021-01-29 06:07:17 +00:00
);
logic GrantData;
logic [31:0] AccessAddress;
logic [2:0] AccessSize, PTESize, ISize;
logic [`AHBW-1:0] HRDATAMasked, ReadDataM, CapturedHRDATAMasked, HRDATANext, WriteData;
2021-01-30 06:43:49 +00:00
logic IReady, DReady;
2021-04-26 11:43:16 +00:00
logic CaptureDataM,CapturedDataAvailable;
2021-01-29 06:07:17 +00:00
assign HCLK = clk;
assign HRESETn = ~reset;
// *** initially support AHBW = XLEN
2021-01-29 06:07:17 +00:00
2021-02-08 04:21:55 +00:00
// track bus state
2021-02-15 15:10:50 +00:00
// Data accesses have priority over instructions. However, if a data access comes
// while an instruction read is occuring, the instruction read finishes before
// the data access can take place.
2021-04-18 21:48:51 +00:00
import ahbliteState::*;
statetype BusState, ProposedNextBusState, NextBusState;
2021-02-15 15:10:50 +00:00
flopenl #(.TYPE(statetype)) busreg(HCLK, ~HRESETn, 1'b1, NextBusState, IDLE, BusState);
2021-02-15 15:10:50 +00:00
// This case statement computes the desired next state for the AHBlite,
// prioritizing address translations, then atomics, then data accesses, and
// finally instructions. This proposition controls HADDR so the PMA and PMP
// checkers can determine whether the access is allowed. If not, the actual
// NextWalkerState is set to IDLE.
// *** This ability to squash accesses must be replicated by any bus
// interface that might be used in place of the ahblite.
2021-02-15 15:10:50 +00:00
always_comb
case (BusState)
IDLE: if (MMUTranslate) ProposedNextBusState = MMUTRANSLATE;
else if (AtomicMaskedM[1]) ProposedNextBusState = ATOMICREAD;
else if (MemReadM) ProposedNextBusState = MEMREAD; // Memory has priority over instructions
else if (MemWriteM) ProposedNextBusState = MEMWRITE;
else if (InstrReadF) ProposedNextBusState = INSTRREAD;
else ProposedNextBusState = IDLE;
MMUTRANSLATE: if (~HREADY) ProposedNextBusState = MMUTRANSLATE;
else ProposedNextBusState = IDLE;
ATOMICREAD: if (~HREADY) ProposedNextBusState = ATOMICREAD;
else ProposedNextBusState = ATOMICWRITE;
ATOMICWRITE: if (~HREADY) ProposedNextBusState = ATOMICWRITE;
else if (InstrReadF) ProposedNextBusState = INSTRREAD;
else ProposedNextBusState = IDLE;
MEMREAD: if (~HREADY) ProposedNextBusState = MEMREAD;
2021-05-03 21:36:25 +00:00
else if (InstrReadF) ProposedNextBusState = INSTRREAD;
else ProposedNextBusState = IDLE;
MEMWRITE: if (~HREADY) ProposedNextBusState = MEMWRITE;
else if (InstrReadF) ProposedNextBusState = INSTRREAD;
else ProposedNextBusState = IDLE;
INSTRREAD: if (~HREADY) ProposedNextBusState = INSTRREAD;
else ProposedNextBusState = IDLE; // if (InstrReadF still high)
default: ProposedNextBusState = IDLE;
2021-02-15 15:10:50 +00:00
endcase
// Determine access type (important for determining whether to fault)
assign AtomicAccessM = (ProposedNextBusState == ATOMICREAD) || (ProposedNextBusState == ATOMICWRITE);
2021-05-03 21:36:25 +00:00
assign ExecuteAccessF = (ProposedNextBusState == INSTRREAD);
assign WriteAccessM = (ProposedNextBusState == MEMWRITE) || (ProposedNextBusState == ATOMICWRITE);
assign ReadAccessM = (ProposedNextBusState == MEMREAD) || (ProposedNextBusState == ATOMICREAD) ||
(ProposedNextBusState == MMUTRANSLATE);
// The PMA and PMP checkers can decide to squash the access
assign NextBusState = (DSquashBusAccessM || ISquashBusAccessF) ? IDLE : ProposedNextBusState;
2021-02-15 15:10:50 +00:00
// stall signals
2021-03-31 02:19:27 +00:00
// Note that we need to extend both stalls when MMUTRANSLATE goes to idle,
// since translation might not be complete.
2021-04-04 01:28:24 +00:00
assign #2 DataStall = ((NextBusState == MEMREAD) || (NextBusState == MEMWRITE) ||
(NextBusState == ATOMICREAD) || (NextBusState == ATOMICWRITE) ||
2021-04-22 05:51:38 +00:00
MMUStall);
2021-04-30 10:26:31 +00:00
//assign #1 InstrStall = ((NextBusState == INSTRREAD) || (NextBusState == INSTRREADC) ||
// MMUStall);
2021-02-15 15:10:50 +00:00
// bus outputs
assign #1 GrantData = (ProposedNextBusState == MEMREAD) || (ProposedNextBusState == MEMWRITE) ||
(ProposedNextBusState == ATOMICREAD) || (ProposedNextBusState == ATOMICWRITE);
assign #1 AccessAddress = (GrantData) ? MemPAdrM[31:0] : InstrPAdrF[31:0];
assign #1 HADDR = (MMUTranslate) ? MMUPAdr[31:0] : AccessAddress;
generate
if (`XLEN == 32) assign PTESize = 3'b010; // in rv32, PTEs are 4 bytes
else assign PTESize = 3'b011; // in rv64, PTEs are 8 bytes
endgenerate
2021-02-26 06:03:47 +00:00
assign ISize = 3'b010; // 32 bit instructions for now; later improve for filling cache with full width; ignored on reads anyway
assign #1 AccessSize = (GrantData) ? {1'b0, MemSizeM} : ISize;
assign #1 HSIZE = (MMUTranslate) ? PTESize : AccessSize;
2021-02-15 15:10:50 +00:00
assign HBURST = 3'b000; // Single burst only supported; consider generalizing for cache fillsfH
assign HPROT = 4'b0011; // not used; see Section 3.7
assign HTRANS = (NextBusState != IDLE) ? 2'b10 : 2'b00; // NONSEQ if reading or writing, IDLE otherwise
assign HMASTLOCK = 0; // no locking supported
assign HWRITE = (NextBusState == MEMWRITE) || (NextBusState == ATOMICWRITE);
2021-03-31 02:19:27 +00:00
// delay write data by one cycle for
flop #(`XLEN) wdreg(HCLK, WriteData, HWDATA); // delay HWDATA by 1 cycle per spec; *** assumes AHBW = XLEN
2021-02-15 15:10:50 +00:00
// delay signals for subword writes
flop #(3) adrreg(HCLK, HADDR[2:0], HADDRD);
flop #(4) sizereg(HCLK, {UnsignedLoadM, HSIZE}, HSIZED);
flop #(1) writereg(HCLK, HWRITE, HWRITED);
2021-02-22 18:48:30 +00:00
// Route signals to Instruction and Data Caches
// *** assumes AHBW = XLEN
2021-02-24 12:25:03 +00:00
assign MMUReady = (BusState == MMUTRANSLATE && HREADY);
2021-02-24 12:25:03 +00:00
assign InstrRData = HRDATA;
2021-04-30 10:26:31 +00:00
assign InstrAckF = (BusState == INSTRREAD) && (NextBusState != INSTRREAD);
assign CommitM = (BusState == MEMREAD) || (BusState == MEMWRITE) || (BusState == ATOMICREAD) || (BusState == ATOMICWRITE);
// *** Bracker 6/5/21: why is this W stage?
2021-04-23 21:47:23 +00:00
assign MemAckW = (BusState == MEMREAD) && (NextBusState != MEMREAD) || (BusState == MEMWRITE) && (NextBusState != MEMWRITE) ||
((BusState == ATOMICREAD) && (NextBusState != ATOMICREAD)) || ((BusState == ATOMICWRITE) && (NextBusState != ATOMICWRITE));
assign MMUReadPTE = HRDATA;
2021-04-26 11:43:16 +00:00
// Carefully decide when to update ReadDataW
// ReadDataMstored holds the most recent memory read.
// We need to wait until the pipeline actually advances before we can update the contents of ReadDataW
// (or else the W stage will accidentally get the M stage's data when the pipeline does advance).
assign CaptureDataM = ((BusState == MEMREAD) && (NextBusState != MEMREAD)) ||
2021-04-26 11:43:16 +00:00
((BusState == ATOMICREAD) && (NextBusState != ATOMICREAD));
flopenr #(`XLEN) ReadDataNewWReg(clk, reset, CaptureDataM, HRDATAMasked, CapturedHRDATAMasked);
2021-04-26 11:43:16 +00:00
always @(posedge HCLK, negedge HRESETn)
if (~HRESETn)
CapturedDataAvailable <= #1 1'b0;
else
CapturedDataAvailable <= #1 (StallW) ? (CaptureDataM | CapturedDataAvailable) : 1'b0;
always_comb
casez({StallW && (BusState != ATOMICREAD),CapturedDataAvailable})
2'b00: HRDATANext = HRDATAMasked;
2'b01: HRDATANext = CapturedHRDATAMasked;
2'b1?: HRDATANext = HRDATAW;
2021-04-26 11:43:16 +00:00
endcase
flopr #(`XLEN) ReadDataOldWReg(clk, reset, HRDATANext, HRDATAW);
2021-02-22 18:48:30 +00:00
// Extract and sign-extend subwords if necessary
2021-01-30 04:43:48 +00:00
subwordread swr(.*);
// Handle AMO instructions if applicable
generate
if (`A_SUPPORTED) begin
logic [`XLEN-1:0] AMOResult;
2021-03-12 04:18:33 +00:00
// amoalu amoalu(.a(HRDATA), .b(WriteDataM), .funct(Funct7M), .width(MemSizeM),
// .result(AMOResult));
amoalu amoalu(.srca(HRDATAW), .srcb(WriteDataM), .funct(Funct7M), .width(MemSizeM),
.result(AMOResult));
2021-04-23 21:47:23 +00:00
mux2 #(`XLEN) wdmux(WriteDataM, AMOResult, AtomicMaskedM[1], WriteData);
end else
assign WriteData = WriteDataM;
endgenerate
2021-01-29 06:07:17 +00:00
endmodule