cvw/pipelined/src/ieu/datapath.sv

139 lines
5.9 KiB
Systemverilog
Raw Normal View History

2021-01-15 04:37:51 +00:00
///////////////////////////////////////////
// datapath.sv
//
// Written: sarahleilani@gmail.com and David_Harris@hmc.edu 9 January 2021
2021-01-15 04:37:51 +00:00
// Modified:
//
// Purpose: Wally Integer Datapath
2021-01-15 04:37:51 +00:00
//
// A component of the Wally configurable RISC-V project.
//
// Copyright (C) 2021-23 Harvey Mudd College & Oklahoma State University
2021-01-15 04:37:51 +00:00
//
// SPDX-License-Identifier: Apache-2.0 WITH SHL-2.1
2021-01-15 04:37:51 +00:00
//
// Licensed under the Solderpad Hardware License v 2.1 (the “License”); you may not use this file
// except in compliance with the License, or, at your option, the Apache License version 2.0. You
// may obtain a copy of the License at
2021-01-15 04:37:51 +00:00
//
// https://solderpad.org/licenses/SHL-2.1/
//
// Unless required by applicable law or agreed to in writing, any work distributed under the
// License is distributed on an “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND,
// either express or implied. See the License for the specific language governing permissions
// and limitations under the License.
////////////////////////////////////////////////////////////////////////////////////////////////
2021-01-15 04:37:51 +00:00
`include "wally-config.vh"
2021-01-15 04:37:51 +00:00
2021-01-25 20:57:36 +00:00
module datapath (
2021-01-15 04:37:51 +00:00
input logic clk, reset,
// Decode stage signals
input logic [2:0] ImmSrcD,
input logic [31:0] InstrD,
2021-12-08 20:33:53 +00:00
input logic [2:0] Funct3E,
2021-01-15 04:37:51 +00:00
// Execute stage signals
2021-02-08 04:21:55 +00:00
input logic StallE, FlushE,
input logic [1:0] ForwardAE, ForwardBE,
2021-12-08 20:33:53 +00:00
input logic [2:0] ALUControlE,
input logic ALUSrcAE, ALUSrcBE,
2021-12-08 20:33:53 +00:00
input logic ALUResultSrcE,
input logic JumpE,
2022-06-21 20:30:33 +00:00
input logic BranchSignedE,
input logic [`XLEN-1:0] PCE,
input logic [`XLEN-1:0] PCLinkE,
2022-06-21 20:30:33 +00:00
output logic [1:0] FlagsE,
output logic [`XLEN-1:0] IEUAdrE,
output logic [`XLEN-1:0] ForwardedSrcAE, ForwardedSrcBE, // *** these are the src outputs before the mux choosing between them and PCE to put in srcA/B
2021-01-15 04:37:51 +00:00
// Memory stage signals
2021-02-08 04:21:55 +00:00
input logic StallM, FlushM,
input logic FWriteIntM, FCvtIntW,
2021-06-24 22:39:18 +00:00
input logic [`XLEN-1:0] FIntResM,
output logic [`XLEN-1:0] SrcAM,
2022-08-22 20:43:04 +00:00
output logic [`XLEN-1:0] WriteDataM,
2021-01-15 04:37:51 +00:00
// Writeback stage signals
2021-02-08 04:21:55 +00:00
input logic StallW, FlushW,
2023-01-11 19:06:37 +00:00
(* mark_debug = "true" *) input logic RegWriteW, IntDivW,
input logic SquashSCW,
2021-02-16 03:27:35 +00:00
input logic [2:0] ResultSrcW,
input logic [`XLEN-1:0] FCvtIntResW,
2022-06-20 22:53:13 +00:00
input logic [`XLEN-1:0] ReadDataW,
input logic [`XLEN-1:0] CSRReadValW, MDUResultW,
input logic [`XLEN-1:0] FPIntDivResultW,
// Hazard Unit signals
output logic [4:0] Rs1D, Rs2D, Rs1E, Rs2E,
2021-02-02 18:42:23 +00:00
output logic [4:0] RdE, RdM, RdW
);
2021-01-15 04:37:51 +00:00
// Fetch stage signals
// Decode stage signals
2021-12-19 05:26:00 +00:00
logic [`XLEN-1:0] R1D, R2D;
logic [`XLEN-1:0] ExtImmD;
2021-01-15 04:37:51 +00:00
logic [4:0] RdD;
// Execute stage signals
2021-12-19 05:26:00 +00:00
logic [`XLEN-1:0] R1E, R2E;
logic [`XLEN-1:0] ExtImmE;
2021-12-08 20:33:53 +00:00
logic [`XLEN-1:0] SrcAE, SrcBE;
2021-12-15 19:38:26 +00:00
logic [`XLEN-1:0] ALUResultE, AltResultE, IEUResultE;
2021-01-15 04:37:51 +00:00
// Memory stage signals
2021-12-15 19:38:26 +00:00
logic [`XLEN-1:0] IEUResultM;
logic [`XLEN-1:0] IFResultM;
2021-01-15 04:37:51 +00:00
// Writeback stage signals
logic [`XLEN-1:0] SCResultW;
logic [`XLEN-1:0] ResultW;
logic [`XLEN-1:0] IFResultW, IFCvtResultW, MulDivResultW;
2022-08-23 18:16:36 +00:00
2021-02-03 00:44:37 +00:00
// Decode stage
assign Rs1D = InstrD[19:15];
assign Rs2D = InstrD[24:20];
assign RdD = InstrD[11:7];
regfile regf(clk, reset, RegWriteW, Rs1D, Rs2D, RdW, ResultW, R1D, R2D);
extend ext(.InstrD(InstrD[31:7]), .ImmSrcD, .ExtImmD);
2021-01-15 04:37:51 +00:00
// Execute stage pipeline register and logic
2021-12-19 05:26:00 +00:00
flopenrc #(`XLEN) RD1EReg(clk, reset, FlushE, ~StallE, R1D, R1E);
flopenrc #(`XLEN) RD2EReg(clk, reset, FlushE, ~StallE, R2D, R2E);
2021-02-08 04:21:55 +00:00
flopenrc #(`XLEN) ExtImmEReg(clk, reset, FlushE, ~StallE, ExtImmD, ExtImmE);
2021-12-14 19:15:47 +00:00
flopenrc #(5) Rs1EReg(clk, reset, FlushE, ~StallE, Rs1D, Rs1E);
flopenrc #(5) Rs2EReg(clk, reset, FlushE, ~StallE, Rs2D, Rs2E);
flopenrc #(5) RdEReg(clk, reset, FlushE, ~StallE, RdD, RdE);
2021-01-15 04:37:51 +00:00
mux3 #(`XLEN) faemux(R1E, ResultW, IFResultM, ForwardAE, ForwardedSrcAE);
mux3 #(`XLEN) fbemux(R2E, ResultW, IFResultM, ForwardBE, ForwardedSrcBE);
2022-06-21 20:30:33 +00:00
comparator_dc_flip #(`XLEN) comp(ForwardedSrcAE, ForwardedSrcBE, BranchSignedE, FlagsE);
mux2 #(`XLEN) srcamux(ForwardedSrcAE, PCE, ALUSrcAE, SrcAE);
mux2 #(`XLEN) srcbmux(ForwardedSrcBE, ExtImmE, ALUSrcBE, SrcBE);
alu #(`XLEN) alu(SrcAE, SrcBE, ALUControlE, Funct3E, ALUResultE, IEUAdrE);
2021-12-14 19:15:47 +00:00
mux2 #(`XLEN) altresultmux(ExtImmE, PCLinkE, JumpE, AltResultE);
2021-12-15 19:38:26 +00:00
mux2 #(`XLEN) ieuresultmux(ALUResultE, AltResultE, ALUResultSrcE, IEUResultE);
2021-01-15 04:37:51 +00:00
// Memory stage pipeline register
2021-02-08 04:21:55 +00:00
flopenrc #(`XLEN) SrcAMReg(clk, reset, FlushM, ~StallM, SrcAE, SrcAM);
2021-12-15 19:38:26 +00:00
flopenrc #(`XLEN) IEUResultMReg(clk, reset, FlushM, ~StallM, IEUResultE, IEUResultM);
2021-12-14 19:15:47 +00:00
flopenrc #(5) RdMReg(clk, reset, FlushM, ~StallM, RdE, RdM);
2022-08-22 20:43:04 +00:00
flopenrc #(`XLEN) WriteDataMReg(clk, reset, FlushM, ~StallM, ForwardedSrcBE, WriteDataM);
2021-01-15 04:37:51 +00:00
// Writeback stage pipeline register and logic
flopenrc #(`XLEN) IFResultWReg(clk, reset, FlushW, ~StallW, IFResultM, IFResultW);
2021-12-14 19:15:47 +00:00
flopenrc #(5) RdWReg(clk, reset, FlushW, ~StallW, RdM, RdW);
2022-08-23 18:16:36 +00:00
// floating point inputs: FIntResM comes from fclass, fcmp, fmv; FCvtIntResW comes from fcvt
if (`F_SUPPORTED) begin:fpmux
mux2 #(`XLEN) resultmuxM(IEUResultM, FIntResM, FWriteIntM, IFResultM);
mux2 #(`XLEN) cvtresultmuxW(IFResultW, FCvtIntResW, FCvtIntW, IFCvtResultW);
if (`IDIV_ON_FPU) begin
2023-01-11 19:06:37 +00:00
mux2 #(`XLEN) divresultmuxW(MDUResultW, FPIntDivResultW, IntDivW, MulDivResultW);
end else begin
assign MulDivResultW = MDUResultW;
end
2022-01-05 14:35:25 +00:00
end else begin:fpmux
2022-08-23 18:16:36 +00:00
assign IFResultM = IEUResultM; assign IFCvtResultW = IFResultW;
assign MulDivResultW = MDUResultW;
2022-01-05 14:35:25 +00:00
end
mux5 #(`XLEN) resultmuxW(IFCvtResultW, ReadDataW, CSRReadValW, MulDivResultW, SCResultW, ResultSrcW, ResultW);
// handle Store Conditional result if atomic extension supported
2022-01-05 14:35:25 +00:00
if (`A_SUPPORTED) assign SCResultW = {{(`XLEN-1){1'b0}}, SquashSCW};
else assign SCResultW = 0;
2021-01-15 04:37:51 +00:00
endmodule