2022-01-06 04:56:18 +00:00
|
|
|
///////////////////////////////////////////
|
|
|
|
// 1 port sram.
|
|
|
|
//
|
|
|
|
// Written: ross1728@gmail.com May 3, 2021
|
|
|
|
// Basic sram with 1 read write port.
|
2022-02-10 16:43:37 +00:00
|
|
|
// When clk rises Addr and CacheWriteData are sampled.
|
2022-01-06 04:56:18 +00:00
|
|
|
// Following the clk edge read data is output from the sampled Addr.
|
|
|
|
// Write
|
|
|
|
//
|
|
|
|
// Purpose: Storage and read/write access to data cache data, tag valid, dirty, and replacement.
|
|
|
|
//
|
|
|
|
// A component of the Wally configurable RISC-V project.
|
|
|
|
//
|
|
|
|
// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University
|
|
|
|
//
|
2022-01-07 12:58:40 +00:00
|
|
|
// MIT LICENSE
|
|
|
|
// Permission is hereby granted, free of charge, to any person obtaining a copy of this
|
|
|
|
// software and associated documentation files (the "Software"), to deal in the Software
|
|
|
|
// without restriction, including without limitation the rights to use, copy, modify, merge,
|
|
|
|
// publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons
|
|
|
|
// to whom the Software is furnished to do so, subject to the following conditions:
|
2022-01-06 04:56:18 +00:00
|
|
|
//
|
2022-01-07 12:58:40 +00:00
|
|
|
// The above copyright notice and this permission notice shall be included in all copies or
|
|
|
|
// substantial portions of the Software.
|
2022-01-06 04:56:18 +00:00
|
|
|
//
|
2022-01-07 12:58:40 +00:00
|
|
|
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED,
|
|
|
|
// INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR
|
|
|
|
// PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS
|
|
|
|
// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
|
|
|
|
// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE
|
|
|
|
// OR OTHER DEALINGS IN THE SOFTWARE.
|
|
|
|
////////////////////////////////////////////////////////////////////////////////////////////////
|
2022-01-06 04:56:18 +00:00
|
|
|
|
|
|
|
// WIDTH is number of bits in one "word" of the memory, DEPTH is number of such words
|
2021-10-25 20:33:33 +00:00
|
|
|
|
2022-02-10 16:43:37 +00:00
|
|
|
module sram1p1rw #(parameter DEPTH=128, WIDTH=256) (
|
|
|
|
input logic clk,
|
|
|
|
input logic [$clog2(DEPTH)-1:0] Adr,
|
|
|
|
input logic [WIDTH-1:0] CacheWriteData,
|
|
|
|
input logic WriteEnable,
|
2022-03-11 00:44:50 +00:00
|
|
|
input logic [(WIDTH-1)/8:0] ByteMask,
|
2022-02-10 16:43:37 +00:00
|
|
|
output logic [WIDTH-1:0] ReadData);
|
2021-10-25 20:33:33 +00:00
|
|
|
|
2022-02-10 16:43:37 +00:00
|
|
|
logic [WIDTH-1:0] StoredData[DEPTH-1:0];
|
|
|
|
logic [$clog2(DEPTH)-1:0] AdrD;
|
|
|
|
logic WriteEnableD;
|
2021-10-25 20:33:33 +00:00
|
|
|
|
2022-05-03 10:45:41 +00:00
|
|
|
localparam WM8 = WIDTH%8;
|
|
|
|
|
|
|
|
|
2022-03-10 21:48:31 +00:00
|
|
|
always_ff @(posedge clk) AdrD <= Adr;
|
|
|
|
|
2022-03-30 16:38:25 +00:00
|
|
|
integer index;
|
|
|
|
/* -----\/----- EXCLUDED -----\/-----
|
2022-03-10 21:48:31 +00:00
|
|
|
for(index = 0; index < WIDTH/8; index++) begin
|
|
|
|
always_ff @(posedge clk) begin
|
2022-03-11 00:44:50 +00:00
|
|
|
if (WriteEnable & ByteMask[index]) begin
|
2022-03-10 21:48:31 +00:00
|
|
|
StoredData[Adr][8*(index+1)-1:8*index] <= #1 CacheWriteData[8*(index+1)-1:8*index];
|
|
|
|
end
|
|
|
|
end
|
|
|
|
end
|
2022-03-30 16:38:25 +00:00
|
|
|
-----/\----- EXCLUDED -----/\----- */
|
|
|
|
|
|
|
|
always_ff @(posedge clk) begin
|
|
|
|
if (WriteEnable) begin
|
|
|
|
for(index = 0; index < WIDTH/8; index++) begin
|
|
|
|
if(ByteMask[index]) begin
|
2022-05-03 10:45:41 +00:00
|
|
|
StoredData[Adr][index*8 +: 8] <= #1 CacheWriteData[index*8 +: 8];
|
2022-03-30 16:38:25 +00:00
|
|
|
end
|
|
|
|
end
|
2022-05-03 10:45:41 +00:00
|
|
|
if (WM8 > 0) begin
|
|
|
|
if (ByteMask[WIDTH/8]) begin
|
|
|
|
StoredData[Adr][WIDTH-1:WIDTH-WM8] <= #1
|
|
|
|
CacheWriteData[WIDTH-1:WIDTH-WM8];
|
|
|
|
end
|
|
|
|
end
|
2022-03-30 16:38:25 +00:00
|
|
|
end
|
|
|
|
end
|
|
|
|
|
2022-05-03 10:45:41 +00:00
|
|
|
/* // if not a multiple of 8, MSByte is not 8 bits long.
|
2022-03-10 21:48:31 +00:00
|
|
|
if(WIDTH%8 != 0) begin
|
2021-10-25 20:33:33 +00:00
|
|
|
always_ff @(posedge clk) begin
|
2022-03-11 00:44:50 +00:00
|
|
|
if (WriteEnable & ByteMask[WIDTH/8]) begin
|
2022-03-10 21:48:31 +00:00
|
|
|
StoredData[Adr][WIDTH-1:WIDTH-WIDTH%8] <= #1 CacheWriteData[WIDTH-1:WIDTH-WIDTH%8];
|
2022-02-09 00:17:31 +00:00
|
|
|
end
|
2021-10-25 20:33:33 +00:00
|
|
|
end
|
2022-05-03 10:45:41 +00:00
|
|
|
end */
|
2021-10-25 20:33:33 +00:00
|
|
|
|
2022-02-09 00:17:31 +00:00
|
|
|
assign ReadData = StoredData[AdrD];
|
2021-10-25 20:33:33 +00:00
|
|
|
endmodule
|
|
|
|
|
2021-10-23 13:28:49 +00:00
|
|
|
|