2021-01-15 04:37:51 +00:00
|
|
|
///////////////////////////////////////////
|
|
|
|
// controller.sv
|
|
|
|
//
|
2023-03-04 05:52:25 +00:00
|
|
|
// Written: David_Harris@hmc.edu, Sarah.Harris@unlv.edu, kekim@hmc.edu
|
2023-01-17 14:02:26 +00:00
|
|
|
// Created: 9 January 2021
|
2023-03-04 05:52:25 +00:00
|
|
|
// Modified: 3 March 2023
|
2021-01-15 04:37:51 +00:00
|
|
|
//
|
|
|
|
// Purpose: Top level controller module
|
|
|
|
//
|
2023-01-12 12:35:44 +00:00
|
|
|
// Documentation: RISC-V System on Chip Design Chapter 4 (Section 4.1.4, Figure 4.8, Table 4.5)
|
|
|
|
//
|
2023-01-11 23:15:08 +00:00
|
|
|
// A component of the CORE-V-WALLY configurable RISC-V project.
|
2021-01-15 04:37:51 +00:00
|
|
|
//
|
2023-01-10 19:35:20 +00:00
|
|
|
// Copyright (C) 2021-23 Harvey Mudd College & Oklahoma State University
|
2021-01-15 04:37:51 +00:00
|
|
|
//
|
2023-01-10 19:35:20 +00:00
|
|
|
// SPDX-License-Identifier: Apache-2.0 WITH SHL-2.1
|
2021-01-15 04:37:51 +00:00
|
|
|
//
|
2023-01-10 19:35:20 +00:00
|
|
|
// Licensed under the Solderpad Hardware License v 2.1 (the “License”); you may not use this file
|
|
|
|
// except in compliance with the License, or, at your option, the Apache License version 2.0. You
|
|
|
|
// may obtain a copy of the License at
|
2021-01-15 04:37:51 +00:00
|
|
|
//
|
2023-01-10 19:35:20 +00:00
|
|
|
// https://solderpad.org/licenses/SHL-2.1/
|
|
|
|
//
|
|
|
|
// Unless required by applicable law or agreed to in writing, any work distributed under the
|
|
|
|
// License is distributed on an “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND,
|
|
|
|
// either express or implied. See the License for the specific language governing permissions
|
|
|
|
// and limitations under the License.
|
2022-01-07 12:58:40 +00:00
|
|
|
////////////////////////////////////////////////////////////////////////////////////////////////
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2023-05-24 19:05:44 +00:00
|
|
|
module controller import cvw::*; #(parameter cvw_t P) (
|
2023-03-24 22:32:25 +00:00
|
|
|
input logic clk, reset,
|
2021-01-15 04:37:51 +00:00
|
|
|
// Decode stage control signals
|
2023-01-17 14:02:26 +00:00
|
|
|
input logic StallD, FlushD, // Stall, flush Decode stage
|
|
|
|
input logic [31:0] InstrD, // Instruction in Decode stage
|
2023-07-02 07:34:30 +00:00
|
|
|
input logic [1:0] STATUS_FS, // is FPU enabled?
|
2023-07-02 08:52:25 +00:00
|
|
|
input logic [3:0] ENVCFG_CBE, // Cache block operation enables
|
2023-01-17 14:02:26 +00:00
|
|
|
output logic [2:0] ImmSrcD, // Type of immediate extension
|
2023-02-21 17:32:17 +00:00
|
|
|
input logic IllegalIEUFPUInstrD, // Illegal IEU and FPU instruction
|
|
|
|
output logic IllegalBaseInstrD, // Illegal I-type instruction, or illegal RV32 access to upper 16 registers
|
2023-03-03 05:29:20 +00:00
|
|
|
output logic JumpD, // Jump instruction
|
|
|
|
output logic BranchD, // Branch instruction
|
|
|
|
// Execute stage control signals
|
2023-03-24 22:32:25 +00:00
|
|
|
input logic StallE, FlushE, // Stall, flush Execute stage
|
2023-01-17 14:02:26 +00:00
|
|
|
input logic [1:0] FlagsE, // Comparison flags ({eq, lt})
|
|
|
|
input logic FWriteIntE, // Write integer register, coming from FPU controller
|
|
|
|
output logic PCSrcE, // Select signal to choose next PC (for datapath and Hazard unit)
|
2023-03-24 22:32:25 +00:00
|
|
|
output logic ALUSrcAE, ALUSrcBE, // ALU operands
|
2023-01-17 14:02:26 +00:00
|
|
|
output logic ALUResultSrcE, // Selects result to pass on to Memory stage
|
2023-02-17 15:50:45 +00:00
|
|
|
output logic [2:0] ALUSelectE, // ALU mux select signal
|
2023-01-17 14:02:26 +00:00
|
|
|
output logic MemReadE, CSRReadE, // Instruction reads memory, reads a CSR (needed for Hazard unit)
|
|
|
|
output logic [2:0] Funct3E, // Instruction's funct3 field
|
2023-01-17 14:47:02 +00:00
|
|
|
output logic IntDivE, // Integer divide
|
|
|
|
output logic MDUE, // MDU (multiply/divide) operatio
|
|
|
|
output logic W64E, // RV64 W-type operation
|
2023-03-24 15:10:48 +00:00
|
|
|
output logic SubArithE, // Subtraction or arithmetic shift
|
2023-03-03 05:29:20 +00:00
|
|
|
output logic JumpE, // jump instruction
|
|
|
|
output logic BranchE, // Branch instruction
|
2023-01-17 14:47:02 +00:00
|
|
|
output logic SCE, // Store Conditional instruction
|
2023-01-17 14:02:26 +00:00
|
|
|
output logic BranchSignedE, // Branch comparison operands are signed (if it's a branch)
|
2023-03-05 07:19:31 +00:00
|
|
|
output logic [1:0] BSelectE, // One-Hot encoding of if it's ZBA_ZBB_ZBC_ZBS instruction
|
2023-02-19 03:44:14 +00:00
|
|
|
output logic [2:0] ZBBSelectE, // ZBB mux select signal in Execute stage
|
2023-03-05 06:44:03 +00:00
|
|
|
output logic [2:0] BALUControlE, // ALU Control signals for B instructions in Execute Stage
|
2023-06-15 18:56:59 +00:00
|
|
|
output logic BMUActiveE, // Bit manipulation instruction being executed
|
2023-06-15 19:17:23 +00:00
|
|
|
output logic MDUActiveE, // Mul/Div instruction being executed
|
2023-07-02 17:55:35 +00:00
|
|
|
output logic [3:0] CMOpM, // 1: cbo.inval; 2: cbo.flush; 4: cbo.clean; 8: cbo.zero
|
|
|
|
output logic IFUPrefetchE, // instruction prefetch
|
|
|
|
output logic LSUPrefetchM, // data prefetch
|
2023-03-05 06:44:03 +00:00
|
|
|
|
2021-01-15 04:37:51 +00:00
|
|
|
// Memory stage control signals
|
2023-01-17 14:02:26 +00:00
|
|
|
input logic StallM, FlushM, // Stall, flush Memory stage
|
|
|
|
output logic [1:0] MemRWM, // Mem read/write: MemRWM[1] = 1 for read, MemRWM[0] = 1 for write
|
|
|
|
output logic CSRReadM, CSRWriteM, PrivilegedM, // CSR read, write, or privileged instruction
|
|
|
|
output logic [1:0] AtomicM, // Atomic (AMO) instruction
|
|
|
|
output logic [2:0] Funct3M, // Instruction's funct3 field
|
|
|
|
output logic RegWriteM, // Instruction writes a register (needed for Hazard unit)
|
|
|
|
output logic InvalidateICacheM, FlushDCacheM, // Invalidate I$, flush D$
|
2023-02-10 16:33:10 +00:00
|
|
|
output logic InstrValidD, InstrValidE, InstrValidM, // Instruction is valid
|
2023-01-17 14:02:26 +00:00
|
|
|
output logic FWriteIntM, // FPU controller writes integer register file
|
2021-01-15 04:37:51 +00:00
|
|
|
// Writeback stage control signals
|
2023-01-17 14:02:26 +00:00
|
|
|
input logic StallW, FlushW, // Stall, flush Writeback stage
|
2023-03-24 22:32:25 +00:00
|
|
|
output logic RegWriteW, IntDivW, // Instruction writes a register, is an integer divide
|
2023-01-17 14:02:26 +00:00
|
|
|
output logic [2:0] ResultSrcW, // Select source of result to write back to register file
|
2021-01-15 04:37:51 +00:00
|
|
|
// Stall during CSRs
|
2023-01-17 14:47:02 +00:00
|
|
|
output logic CSRWriteFenceM, // CSR write or fence instruction; needs to flush the following instructions
|
2023-01-17 14:02:26 +00:00
|
|
|
output logic StoreStallD // Store (memory write) causes stall
|
2021-01-27 12:46:52 +00:00
|
|
|
);
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2023-07-02 16:35:05 +00:00
|
|
|
|
2023-01-17 14:02:26 +00:00
|
|
|
logic [6:0] OpD; // Opcode in Decode stage
|
|
|
|
logic [2:0] Funct3D; // Funct3 field in Decode stage
|
|
|
|
logic [6:0] Funct7D; // Funct7 field in Decode stage
|
2023-07-02 07:02:03 +00:00
|
|
|
logic [4:0] Rs1D, Rs2D, RdD; // Rs1/2 source register / dest reg in Decode stage
|
2021-02-26 22:00:07 +00:00
|
|
|
|
2023-07-02 07:34:30 +00:00
|
|
|
`define CTRLW 24
|
2021-03-11 05:11:31 +00:00
|
|
|
|
2021-01-15 04:37:51 +00:00
|
|
|
// pipelined control signals
|
2023-03-24 22:32:25 +00:00
|
|
|
logic RegWriteD, RegWriteE; // RegWrite (register will be written)
|
2023-01-17 14:02:26 +00:00
|
|
|
logic [2:0] ResultSrcD, ResultSrcE, ResultSrcM; // Select which result to write back to register file
|
2023-07-02 17:55:35 +00:00
|
|
|
logic [2:0] PreImmSrcD; // Immediate source format (before amending for prefetches)
|
2023-01-17 14:02:26 +00:00
|
|
|
logic [1:0] MemRWD, MemRWE; // Store (write to memory)
|
2023-03-24 22:32:25 +00:00
|
|
|
logic ALUOpD; // 0 for address generation, 1 for all other operations (must use Funct3)
|
|
|
|
logic BaseW64D; // W64 for Base instructions specifically
|
|
|
|
logic BaseRegWriteD; // Indicates if Base instruction register write instruction
|
|
|
|
logic BaseSubArithD; // Indicates if Base instruction subtracts, sra, slt, sltu
|
2023-03-07 21:58:08 +00:00
|
|
|
logic BaseALUSrcBD; // Base instruction ALU B source select signal
|
2023-03-24 22:32:25 +00:00
|
|
|
logic ALUSrcAD, ALUSrcBD; // ALU inputs
|
2023-01-17 14:47:02 +00:00
|
|
|
logic ALUResultSrcD, W64D, MDUD; // ALU result, is RV64 W-type, is multiply/divide instruction
|
|
|
|
logic CSRZeroSrcD; // Ignore setting and clearing zeros to CSR
|
2023-01-17 14:02:26 +00:00
|
|
|
logic CSRReadD; // CSR read instruction
|
2023-01-17 14:47:02 +00:00
|
|
|
logic [1:0] AtomicD; // Atomic (AMO) instruction
|
|
|
|
logic FenceXD; // Fence instruction
|
2023-07-02 07:34:30 +00:00
|
|
|
logic CMOD; // Cache management instruction
|
2023-01-17 14:02:26 +00:00
|
|
|
logic InvalidateICacheD, FlushDCacheD;// Invalidate I$, flush D$
|
|
|
|
logic CSRWriteD, CSRWriteE; // CSR write
|
|
|
|
logic PrivilegedD, PrivilegedE; // Privileged instruction
|
|
|
|
logic InvalidateICacheE, FlushDCacheE;// Invalidate I$, flush D$
|
|
|
|
logic [`CTRLW-1:0] ControlsD; // Main Instruction Decoder control signals
|
2023-03-03 16:27:11 +00:00
|
|
|
logic SubArithD; // TRUE for R-type subtracts and sra, slt, sltu or B-type ext clr, andn, orn, xnor
|
2023-02-17 16:21:55 +00:00
|
|
|
logic subD, sraD, sltD, sltuD; // Indicates if is one of these instructions
|
2023-01-17 14:02:26 +00:00
|
|
|
logic BranchTakenE; // Branch is taken
|
|
|
|
logic eqE, ltE; // Comparator outputs
|
|
|
|
logic unused;
|
2023-03-24 22:32:25 +00:00
|
|
|
logic BranchFlagE; // Branch flag to use (chosen between eq or lt)
|
2023-01-17 14:02:26 +00:00
|
|
|
logic IEURegWriteE; // Register write
|
2023-03-02 20:15:57 +00:00
|
|
|
logic BRegWriteE; // Register write from BMU controller in Execute Stage
|
2023-01-17 18:51:44 +00:00
|
|
|
logic IllegalERegAdrD; // RV32E attempts to write upper 16 registers
|
|
|
|
logic [1:0] AtomicE; // Atomic instruction
|
2023-03-03 05:29:20 +00:00
|
|
|
logic FenceD, FenceE; // Fence instruction
|
2023-01-17 18:51:44 +00:00
|
|
|
logic SFenceVmaD; // sfence.vma instruction
|
2023-01-17 14:02:26 +00:00
|
|
|
logic IntDivM; // Integer divide instruction
|
2023-03-05 07:19:31 +00:00
|
|
|
logic [1:0] BSelectD; // One-Hot encoding if it's ZBA_ZBB_ZBC_ZBS instruction in decode stage
|
2023-02-19 03:44:14 +00:00
|
|
|
logic [2:0] ZBBSelectD; // ZBB Mux Select Signal
|
2023-03-06 19:02:42 +00:00
|
|
|
logic IFunctD, RFunctD, MFunctD; // Detect I, R, and M-type RV32IM/Rv64IM instructions
|
2023-03-06 19:15:48 +00:00
|
|
|
logic LFunctD, SFunctD, BFunctD; // Detect load, store, branch instructions
|
2023-07-02 05:48:04 +00:00
|
|
|
logic FLSFunctD; // Detect floating-point loads and stores
|
2023-07-02 07:02:03 +00:00
|
|
|
logic JRFunctD; // detect jalr instruction
|
2023-07-02 05:48:04 +00:00
|
|
|
logic FenceFunctD; // Detect fence instruction
|
2023-07-02 16:35:05 +00:00
|
|
|
logic CMOFunctD; // Detect CMO instruction
|
2023-07-02 06:10:57 +00:00
|
|
|
logic AFunctD, AMOFunctD; // Detect atomic instructions
|
2023-07-02 07:02:03 +00:00
|
|
|
logic RWFunctD, MWFunctD; // detect RW/MW instructions
|
|
|
|
logic PFunctD, CSRFunctD; // detect privileged / CSR instruction
|
2023-03-18 16:24:31 +00:00
|
|
|
logic FenceM; // Fence.I or sfence.VMA instruction in memory stage
|
2023-03-24 15:10:48 +00:00
|
|
|
logic [2:0] ALUSelectD; // ALU Output selection mux control
|
2023-03-23 21:39:42 +00:00
|
|
|
logic IWValidFunct3D; // Detects if Funct3 is valid for IW instructions
|
2023-07-02 17:55:35 +00:00
|
|
|
logic [3:0] CMOpD, CMOpE; // which CMO instruction 1: cbo.inval; 2: cbo.flush; 4: cbo.clean; 8: cbo.zero
|
|
|
|
logic IFUPrefetchD; // instruction prefetch
|
|
|
|
logic LSUPrefetchD, LSUPrefetchE; // data prefetch
|
2021-12-08 20:33:53 +00:00
|
|
|
|
2021-02-26 22:00:07 +00:00
|
|
|
// Extract fields
|
2023-07-02 07:02:03 +00:00
|
|
|
assign OpD = InstrD[6:0];
|
2021-02-26 22:00:07 +00:00
|
|
|
assign Funct3D = InstrD[14:12];
|
|
|
|
assign Funct7D = InstrD[31:25];
|
2023-07-02 07:02:03 +00:00
|
|
|
assign Rs1D = InstrD[19:15];
|
|
|
|
assign Rs2D = InstrD[24:20];
|
|
|
|
assign RdD = InstrD[11:7];
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2023-03-06 19:02:42 +00:00
|
|
|
// Funct 7 checking
|
|
|
|
// Be rigorous about detecting illegal instructions if CSRs or bit manipulation is supported
|
|
|
|
// otherwise be cheap
|
|
|
|
|
2023-05-24 19:05:44 +00:00
|
|
|
if (P.ZICSR_SUPPORTED | P.ZBA_SUPPORTED | P.ZBB_SUPPORTED | P.ZBC_SUPPORTED | P.ZBS_SUPPORTED) begin:legalcheck // Exact integer decoding
|
2023-03-06 19:02:42 +00:00
|
|
|
logic Funct7ZeroD, Funct7b5D, IShiftD, INoShiftD;
|
2023-03-06 21:10:51 +00:00
|
|
|
logic Funct7ShiftZeroD, Funct7Shiftb5D;
|
2023-03-06 19:02:42 +00:00
|
|
|
|
2023-03-24 22:32:25 +00:00
|
|
|
assign Funct7ZeroD = (Funct7D == 7'b0000000); // most R-type instructions
|
|
|
|
assign Funct7b5D = (Funct7D == 7'b0100000); // srai, sub
|
2023-05-24 19:05:44 +00:00
|
|
|
assign Funct7ShiftZeroD = (P.XLEN==64) ? (Funct7D[6:1] == 6'b000000) : Funct7ZeroD;
|
|
|
|
assign Funct7Shiftb5D = (P.XLEN==64) ? (Funct7D[6:1] == 6'b010000) : Funct7b5D;
|
2023-03-24 22:32:25 +00:00
|
|
|
assign IShiftD = (Funct3D == 3'b001 & Funct7ShiftZeroD) | (Funct3D == 3'b101 & (Funct7ShiftZeroD | Funct7Shiftb5D)); // slli, srli, srai, or w forms
|
|
|
|
assign INoShiftD = ((Funct3D != 3'b001) & (Funct3D != 3'b101));
|
|
|
|
assign IFunctD = IShiftD | INoShiftD;
|
|
|
|
assign RFunctD = ((Funct3D == 3'b000 | Funct3D == 3'b101) & Funct7b5D) | Funct7ZeroD;
|
2023-05-24 19:05:44 +00:00
|
|
|
assign MFunctD = (Funct7D == 7'b0000001) & (P.M_SUPPORTED | (P.ZMMUL_SUPPORTED & ~Funct3D[2])); // muldiv
|
2023-03-24 22:32:25 +00:00
|
|
|
assign LFunctD = Funct3D == 3'b000 | Funct3D == 3'b001 | Funct3D == 3'b010 | Funct3D == 3'b100 | Funct3D == 3'b101 |
|
2023-05-24 19:05:44 +00:00
|
|
|
((P.XLEN == 64) & (Funct3D == 3'b011 | Funct3D == 3'b110));
|
2023-07-02 07:34:30 +00:00
|
|
|
assign FLSFunctD = (STATUS_FS != 2'b00) & ((Funct3D == 3'b010 & P.F_SUPPORTED) | (Funct3D == 3'b011 & P.D_SUPPORTED) |
|
|
|
|
(Funct3D == 3'b100 & P.Q_SUPPORTED) | (Funct3D == 3'b001 & P.ZFH_SUPPORTED));
|
2023-07-02 05:48:04 +00:00
|
|
|
assign FenceFunctD = (Funct3D == 3'b000) | (P.ZIFENCEI_SUPPORTED & Funct3D == 3'b001);
|
2023-07-02 07:34:30 +00:00
|
|
|
assign CMOFunctD = (Funct3D == 3'b010 & RdD == 5'b0) &
|
2023-07-02 08:52:25 +00:00
|
|
|
((P.ZICBOZ_SUPPORTED & InstrD[31:20] == 12'd4 & ENVCFG_CBE[3]) |
|
|
|
|
(P.ZICBOM_SUPPORTED & ((InstrD[31:20] == 12'd0 & (ENVCFG_CBE[1:0] != 2'b00))) |
|
|
|
|
(InstrD[31:20] == 12'd1 | InstrD[31:20] == 12'd2) & ENVCFG_CBE[2]));
|
2023-07-02 07:02:03 +00:00
|
|
|
assign AFunctD = (Funct3D == 3'b010) | (P.XLEN == 64 & Funct3D == 3'b011);
|
2023-07-02 06:10:57 +00:00
|
|
|
assign AMOFunctD = (InstrD[31:27] == 5'b00001) |
|
|
|
|
(InstrD[31:27] == 5'b00000) |
|
|
|
|
(InstrD[31:27] == 5'b00100) |
|
|
|
|
(InstrD[31:27] == 5'b01100) |
|
|
|
|
(InstrD[31:27] == 5'b01000) |
|
|
|
|
(InstrD[31:27] == 5'b10000) |
|
|
|
|
(InstrD[31:27] == 5'b10100) |
|
|
|
|
(InstrD[31:27] == 5'b11000) |
|
|
|
|
(InstrD[31:27] == 5'b11100);
|
2023-07-02 07:02:03 +00:00
|
|
|
assign RWFunctD = ((Funct3D == 3'b000 | Funct3D == 3'b001 | Funct3D == 3'b101) & Funct7ZeroD |
|
|
|
|
(Funct3D == 3'b000 | Funct3D == 3'b101) & Funct7b5D) & (P.XLEN == 64);
|
|
|
|
assign MWFunctD = MFunctD & (P.XLEN == 64) & ~(Funct3D == 3'b001 | Funct3D == 3'b010 | Funct3D == 3'b011);
|
2023-03-24 22:32:25 +00:00
|
|
|
assign SFunctD = Funct3D == 3'b000 | Funct3D == 3'b001 | Funct3D == 3'b010 |
|
2023-05-24 19:05:44 +00:00
|
|
|
((P.XLEN == 64) & (Funct3D == 3'b011));
|
2023-07-02 07:02:03 +00:00
|
|
|
assign BFunctD = Funct3D[2:1] != 2'b01; // legal branches
|
|
|
|
assign JRFunctD = Funct3D == 3'b000;
|
2023-07-10 22:00:06 +00:00
|
|
|
assign PFunctD = Funct3D == 3'b000 & RdD == 5'b0;
|
2023-07-02 07:02:03 +00:00
|
|
|
assign CSRFunctD = Funct3D[1:0] != 2'b00;
|
2023-03-23 21:39:42 +00:00
|
|
|
assign IWValidFunct3D = Funct3D == 3'b000 | Funct3D == 3'b001 | Funct3D == 3'b101;
|
2023-03-06 21:10:51 +00:00
|
|
|
end else begin:legalcheck2
|
2023-03-24 22:32:25 +00:00
|
|
|
assign IFunctD = 1; // Don't bother to separate out shift decoding
|
|
|
|
assign RFunctD = ~Funct7D[0]; // Not a multiply
|
2023-05-24 19:05:44 +00:00
|
|
|
assign MFunctD = Funct7D[0] & (P.M_SUPPORTED | (P.ZMMUL_SUPPORTED & ~Funct3D[2])); // muldiv
|
2023-03-24 22:32:25 +00:00
|
|
|
assign LFunctD = 1; // don't bother to check Funct3 for loads
|
2023-07-02 05:48:04 +00:00
|
|
|
assign FLSFunctD = 1; // don't bother to check Func3 for floating-point loads/stores
|
|
|
|
assign FenceFunctD = 1; // don't bother to check fields for fences
|
2023-07-02 07:34:30 +00:00
|
|
|
assign CMOFunctD = 1; // don't bother to check fields for CMO instructions
|
2023-07-02 06:10:57 +00:00
|
|
|
assign AFunctD = 1; // don't bother to check fields for atomics
|
|
|
|
assign AMOFunctD = 1; // don't bother to check Funct7 for AMO operations
|
2023-07-02 07:02:03 +00:00
|
|
|
assign RWFunctD = 1; // don't bother to check fields for RW instructions
|
|
|
|
assign MWFunctD = 1; // don't bother to check fields for MW instructions
|
2023-03-24 22:32:25 +00:00
|
|
|
assign SFunctD = 1; // don't bother to check Funct3 for stores
|
|
|
|
assign BFunctD = 1; // don't bother to check Funct3 for branches
|
2023-07-02 07:02:03 +00:00
|
|
|
assign JRFunctD = 1; // don't bother to check Funct3 for jalrs
|
|
|
|
assign PFunctD = 1; // don't bother to check fields for privileged instructions
|
|
|
|
assign CSRFunctD = 1; // don't bother to check Funct3 for CSR operations
|
2023-03-23 21:39:42 +00:00
|
|
|
assign IWValidFunct3D = 1;
|
2023-03-06 19:02:42 +00:00
|
|
|
end
|
|
|
|
|
2021-01-15 04:37:51 +00:00
|
|
|
// Main Instruction Decoder
|
2023-03-06 19:21:11 +00:00
|
|
|
/* verilator lint_off CASEINCOMPLETE */
|
|
|
|
always_comb begin
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b0_000_00_00_000_0_0_0_0_0_0_0_0_0_00_0_1; // default: Illegal instruction
|
2022-01-05 14:35:25 +00:00
|
|
|
case(OpD)
|
2023-08-18 16:32:30 +00:00
|
|
|
// RegWrite_ImmSrc_ALUSrc(A_B)_MemRW_ResultSrc_Branch_ALUOp_Jump_ALUResultSrc_BaseW64_CSRRead_Privileged_Fence_MDU_Atomic_CMO_Illegal
|
2023-07-02 05:48:04 +00:00
|
|
|
7'b0000011: if (LFunctD)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b1_000_01_10_001_0_0_0_0_0_0_0_0_0_00_0_0; // loads
|
2023-07-02 05:48:04 +00:00
|
|
|
7'b0000111: if (FLSFunctD)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b0_000_01_10_001_0_0_0_0_0_0_0_0_0_00_0_1; // flw - only legal if FP supported
|
2023-07-02 05:48:04 +00:00
|
|
|
7'b0001111: if (FenceFunctD) begin
|
|
|
|
if (P.ZIFENCEI_SUPPORTED)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b0_000_00_00_000_0_0_0_0_0_0_0_1_0_00_0_0; // fence
|
2023-07-02 05:48:04 +00:00
|
|
|
else
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b0_000_00_00_000_0_0_0_0_0_0_0_0_0_00_0_0; // fence treated as nop
|
|
|
|
end else if (CMOFunctD) begin
|
2023-08-18 16:32:30 +00:00
|
|
|
ControlsD = `CTRLW'b0_101_01_00_000_0_0_0_0_0_0_0_0_0_00_1_0; // CMO Instruction
|
2023-07-02 05:48:04 +00:00
|
|
|
end
|
2023-03-06 19:02:42 +00:00
|
|
|
7'b0010011: if (IFunctD)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b1_000_01_00_000_0_1_0_0_0_0_0_0_0_00_0_0; // I-type ALU
|
|
|
|
7'b0010111: ControlsD = `CTRLW'b1_100_11_00_000_0_0_0_0_0_0_0_0_0_00_0_0; // auipc
|
2023-05-24 19:05:44 +00:00
|
|
|
7'b0011011: if (IFunctD & IWValidFunct3D & P.XLEN == 64)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b1_000_01_00_000_0_1_0_0_1_0_0_0_0_00_0_0; // IW-type ALU for RV64i
|
2023-03-06 19:15:48 +00:00
|
|
|
7'b0100011: if (SFunctD)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b0_001_01_01_000_0_0_0_0_0_0_0_0_0_00_0_0; // stores
|
2023-07-02 06:10:57 +00:00
|
|
|
7'b0100111: if (FLSFunctD)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b0_001_01_01_000_0_0_0_0_0_0_0_0_0_00_0_1; // fsw - only legal if FP supported
|
2023-07-02 06:10:57 +00:00
|
|
|
7'b0101111: if (P.A_SUPPORTED & AFunctD) begin
|
|
|
|
if (InstrD[31:27] == 5'b00010 & Rs2D == 5'b0)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b1_000_00_10_001_0_0_0_0_0_0_0_0_0_01_0_0; // lr
|
2022-01-05 14:35:25 +00:00
|
|
|
else if (InstrD[31:27] == 5'b00011)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b1_101_01_01_100_0_0_0_0_0_0_0_0_0_01_0_0; // sc
|
2023-07-02 06:10:57 +00:00
|
|
|
else if (AMOFunctD)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b1_101_01_11_001_0_0_0_0_0_0_0_0_0_10_0_0; // amo
|
2023-03-06 19:21:11 +00:00
|
|
|
end
|
2023-03-06 19:02:42 +00:00
|
|
|
7'b0110011: if (RFunctD)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b1_000_00_00_000_0_1_0_0_0_0_0_0_0_00_0_0; // R-type
|
2023-03-06 19:02:42 +00:00
|
|
|
else if (MFunctD)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b1_000_00_00_011_0_0_0_0_0_0_0_0_1_00_0_0; // Multiply/divide
|
|
|
|
7'b0110111: ControlsD = `CTRLW'b1_100_01_00_000_0_0_0_1_0_0_0_0_0_00_0_0; // lui
|
2023-07-02 07:02:03 +00:00
|
|
|
7'b0111011: if (RWFunctD)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b1_000_00_00_000_0_1_0_0_1_0_0_0_0_00_0_0; // R-type W instructions for RV64i
|
2023-07-02 07:02:03 +00:00
|
|
|
else if (MWFunctD)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b1_000_00_00_011_0_0_0_0_1_0_0_0_1_00_0_0; // W-type Multiply/Divide
|
2023-03-06 19:15:48 +00:00
|
|
|
7'b1100011: if (BFunctD)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b0_010_11_00_000_1_0_0_0_0_0_0_0_0_00_0_0; // branches
|
2023-07-02 07:02:03 +00:00
|
|
|
7'b1100111: if (JRFunctD)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b1_000_01_00_000_0_0_1_1_0_0_0_0_0_00_0_0; // jalr
|
|
|
|
7'b1101111: ControlsD = `CTRLW'b1_011_11_00_000_0_0_1_1_0_0_0_0_0_00_0_0; // jal
|
2023-05-24 19:05:44 +00:00
|
|
|
7'b1110011: if (P.ZICSR_SUPPORTED) begin
|
2023-07-02 07:02:03 +00:00
|
|
|
if (PFunctD)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b0_000_00_00_000_0_0_0_0_0_0_1_0_0_00_0_0; // privileged; decoded further in privdec modules
|
2023-07-02 07:02:03 +00:00
|
|
|
else if (CSRFunctD)
|
2023-07-02 07:34:30 +00:00
|
|
|
ControlsD = `CTRLW'b1_000_00_00_010_0_0_0_0_0_1_0_0_0_00_0_0; // csrs
|
2023-03-06 19:21:11 +00:00
|
|
|
end
|
2022-01-05 14:35:25 +00:00
|
|
|
endcase
|
2023-03-06 19:21:11 +00:00
|
|
|
end
|
|
|
|
/* verilator lint_on CASEINCOMPLETE */
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2023-01-17 14:02:26 +00:00
|
|
|
// Unswizzle control bits
|
|
|
|
// Squash control signals if coming from an illegal compressed instruction
|
2022-01-17 14:42:59 +00:00
|
|
|
// On RV32E, can't write to upper 16 registers. Checking reads to upper 16 is more costly so disregard them.
|
2023-05-24 19:05:44 +00:00
|
|
|
assign IllegalERegAdrD = P.E_SUPPORTED & P.ZICSR_SUPPORTED & ControlsD[`CTRLW-1] & InstrD[11];
|
2023-03-02 23:09:55 +00:00
|
|
|
//assign IllegalBaseInstrD = 1'b0;
|
2023-07-02 17:55:35 +00:00
|
|
|
assign {BaseRegWriteD, PreImmSrcD, ALUSrcAD, BaseALUSrcBD, MemRWD,
|
2023-03-24 14:28:42 +00:00
|
|
|
ResultSrcD, BranchD, ALUOpD, JumpD, ALUResultSrcD, BaseW64D, CSRReadD,
|
2023-07-02 07:34:30 +00:00
|
|
|
PrivilegedD, FenceXD, MDUD, AtomicD, CMOD, unused} = IllegalIEUFPUInstrD ? `CTRLW'b0 : ControlsD;
|
2022-12-20 23:34:11 +00:00
|
|
|
|
2021-02-26 22:00:07 +00:00
|
|
|
assign CSRZeroSrcD = InstrD[14] ? (InstrD[19:15] == 0) : (Rs1D == 0); // Is a CSR instruction using zero as the source?
|
2023-01-17 14:02:26 +00:00
|
|
|
assign CSRWriteD = CSRReadD & !(CSRZeroSrcD & InstrD[13]); // Don't write if setting or clearing zeros
|
2022-12-15 15:53:35 +00:00
|
|
|
assign SFenceVmaD = PrivilegedD & (InstrD[31:25] == 7'b0001001);
|
|
|
|
assign FenceD = SFenceVmaD | FenceXD; // possible sfence.vma or fence.i
|
2023-02-28 19:41:40 +00:00
|
|
|
|
2023-02-12 05:22:33 +00:00
|
|
|
// ALU Decoding is lazy, only using func7[5] to distinguish add/sub and srl/sra
|
2023-02-18 04:14:47 +00:00
|
|
|
assign sltuD = (Funct3D == 3'b011);
|
2023-02-12 05:22:33 +00:00
|
|
|
assign subD = (Funct3D == 3'b000 & Funct7D[5] & OpD[5]); // OpD[5] needed to distinguish sub from addi
|
|
|
|
assign sraD = (Funct3D == 3'b101 & Funct7D[5]);
|
2023-03-03 16:40:29 +00:00
|
|
|
assign BaseSubArithD = ALUOpD & (subD | sraD | sltD | sltuD);
|
2021-09-15 17:14:00 +00:00
|
|
|
|
2023-03-06 14:19:01 +00:00
|
|
|
// bit manipulation Configuration Block
|
2023-05-24 19:05:44 +00:00
|
|
|
if (P.ZBS_SUPPORTED | P.ZBA_SUPPORTED | P.ZBB_SUPPORTED | P.ZBC_SUPPORTED) begin: bitmanipi //change the conditional expression to OR any Z supported flags
|
2023-03-24 15:10:48 +00:00
|
|
|
logic IllegalBitmanipInstrD; // Unrecognized B instruction
|
|
|
|
logic BRegWriteD; // Indicates if it is a R type BMU instruction in decode stage
|
|
|
|
logic BW64D; // Indicates if it is a W type BMU instruction in decode stage
|
|
|
|
logic BSubArithD; // TRUE for BMU ext, clr, andn, orn, xnor
|
|
|
|
logic BALUSrcBD; // BMU alu src select signal
|
|
|
|
|
2023-05-24 19:05:44 +00:00
|
|
|
bmuctrl #(P) bmuctrl(.clk, .reset, .StallD, .FlushD, .InstrD, .ALUOpD, .BSelectD, .ZBBSelectD,
|
2023-03-24 14:28:42 +00:00
|
|
|
.BRegWriteD, .BALUSrcBD, .BW64D, .BSubArithD, .IllegalBitmanipInstrD, .StallE, .FlushE,
|
2023-06-15 18:56:59 +00:00
|
|
|
.ALUSelectD, .BSelectE, .ZBBSelectE, .BRegWriteE, .BALUControlE, .BMUActiveE);
|
2023-05-24 19:05:44 +00:00
|
|
|
if (P.ZBA_SUPPORTED) begin
|
2023-03-04 05:52:25 +00:00
|
|
|
// ALU Decoding is more comprehensive when ZBA is supported. slt and slti conflicts with sh1add, sh1add.uw
|
|
|
|
assign sltD = (Funct3D == 3'b010 & (~(Funct7D[4]) | ~OpD[5])) ;
|
|
|
|
end else assign sltD = (Funct3D == 3'b010);
|
2023-03-02 20:15:57 +00:00
|
|
|
|
2023-03-24 15:10:48 +00:00
|
|
|
// Combine base and bit manipulation signals
|
2023-03-31 15:33:46 +00:00
|
|
|
// coverage off: IllegalERegAdr can't occur in rv64gc; only applicable to E mode
|
2023-03-24 15:10:48 +00:00
|
|
|
assign IllegalBaseInstrD = (ControlsD[0] & IllegalBitmanipInstrD) | IllegalERegAdrD ;
|
2023-03-31 15:33:46 +00:00
|
|
|
// coverage on
|
2023-03-24 15:10:48 +00:00
|
|
|
assign RegWriteD = BaseRegWriteD | BRegWriteD;
|
|
|
|
assign W64D = BaseW64D | BW64D;
|
|
|
|
assign ALUSrcBD = BaseALUSrcBD | BALUSrcBD;
|
|
|
|
assign SubArithD = BaseSubArithD | BSubArithD; // TRUE If BMU or R-type instruction involves inverted operand
|
|
|
|
|
2023-02-17 15:50:45 +00:00
|
|
|
end else begin: bitmanipi
|
2023-03-24 15:10:48 +00:00
|
|
|
assign ALUSelectD = ALUOpD ? Funct3D : 3'b000; // add for address generation when not doing ALU operation
|
|
|
|
assign sltD = (Funct3D == 3'b010);
|
|
|
|
assign IllegalBaseInstrD = ControlsD[0] | IllegalERegAdrD ;
|
|
|
|
assign RegWriteD = BaseRegWriteD;
|
|
|
|
assign W64D = BaseW64D;
|
|
|
|
assign ALUSrcBD = BaseALUSrcBD;
|
|
|
|
assign SubArithD = BaseSubArithD; // TRUE If B-type or R-type instruction involves inverted operand
|
|
|
|
|
|
|
|
// tie off unused bit manipulation signals
|
2023-03-05 07:19:31 +00:00
|
|
|
assign BSelectE = 2'b00;
|
|
|
|
assign BSelectD = 2'b00;
|
2023-02-19 03:44:14 +00:00
|
|
|
assign ZBBSelectE = 3'b000;
|
2023-03-05 06:44:03 +00:00
|
|
|
assign BALUControlE = 3'b0;
|
2023-06-15 18:56:59 +00:00
|
|
|
assign BMUActiveE = 1'b0;
|
2023-02-17 15:50:45 +00:00
|
|
|
end
|
|
|
|
|
2021-09-15 17:14:00 +00:00
|
|
|
// Fences
|
|
|
|
// Ordinary fence is presently a nop
|
2023-01-17 14:02:26 +00:00
|
|
|
// fence.i flushes the D$ and invalidates the I$ if Zifencei is supported and I$ is implemented
|
2023-05-24 19:05:44 +00:00
|
|
|
if (P.ZIFENCEI_SUPPORTED & P.ICACHE_SUPPORTED) begin:fencei
|
2022-01-05 14:35:25 +00:00
|
|
|
logic FenceID;
|
2022-12-15 15:53:35 +00:00
|
|
|
assign FenceID = FenceXD & (Funct3D == 3'b001); // is it a FENCE.I instruction?
|
2022-01-05 14:35:25 +00:00
|
|
|
assign InvalidateICacheD = FenceID;
|
|
|
|
assign FlushDCacheD = FenceID;
|
|
|
|
end else begin:fencei
|
|
|
|
assign InvalidateICacheD = 0;
|
|
|
|
assign FlushDCacheD = 0;
|
|
|
|
end
|
2023-07-02 16:35:05 +00:00
|
|
|
|
|
|
|
// Cache Management instructions
|
2023-07-02 17:06:58 +00:00
|
|
|
always_comb begin
|
|
|
|
CMOpD = 4'b0000; // default: not a cbo instruction
|
2023-11-29 21:20:49 +00:00
|
|
|
if ((P.ZICBOZ_SUPPORTED) & CMOD) begin
|
2023-07-02 17:06:58 +00:00
|
|
|
CMOpD[3] = (InstrD[31:20] == 12'd4); // cbo.zero
|
2023-11-29 21:20:49 +00:00
|
|
|
end
|
|
|
|
if ((P.ZICBOM_SUPPORTED) & CMOD) begin
|
2023-07-02 17:06:58 +00:00
|
|
|
CMOpD[2] = (InstrD[31:20] == 12'd2); // cbo.clean
|
|
|
|
CMOpD[1] = (InstrD[31:20] == 12'd1) | ((InstrD[31:20] == 12'd0) & (ENVCFG_CBE[1:0] == 2'b01)); // cbo.flush
|
|
|
|
CMOpD[0] = (InstrD[31:20] == 12'd0) & (ENVCFG_CBE[1:0] == 2'b11); // cbo.inval
|
|
|
|
end
|
2023-07-02 16:35:05 +00:00
|
|
|
end
|
2023-07-02 17:06:58 +00:00
|
|
|
|
|
|
|
// Prefetch Hints
|
|
|
|
always_comb begin
|
2023-07-02 17:55:35 +00:00
|
|
|
// default: not a prefetch hint
|
|
|
|
IFUPrefetchD = 1'b0;
|
|
|
|
LSUPrefetchD = 1'b0;
|
|
|
|
ImmSrcD = PreImmSrcD;
|
2023-07-02 17:06:58 +00:00
|
|
|
if (P.ZICBOP_SUPPORTED & (InstrD[14:0] == 15'b110_00000_0010011)) begin // ori with destiation x0 is hint for Prefetch
|
2023-08-26 01:41:03 +00:00
|
|
|
/* verilator lint_off CASEINCOMPLETE */
|
|
|
|
case (Rs2D) // which type of prefectch? Note: prefetch.r and .w are handled the same in Wally
|
2023-07-02 17:55:35 +00:00
|
|
|
5'b00000: IFUPrefetchD = 1'b1; // prefetch.i
|
|
|
|
5'b00001: LSUPrefetchD = 1'b1; // prefetch.r
|
|
|
|
5'b00011: LSUPrefetchD = 1'b1; // prefetch.w
|
2023-07-02 17:06:58 +00:00
|
|
|
// default: not a prefetch hint
|
|
|
|
endcase
|
2023-08-26 01:41:03 +00:00
|
|
|
/* verilator lint_on CASEINCOMPLETE */
|
2023-07-02 17:55:35 +00:00
|
|
|
if (IFUPrefetchD | LSUPrefetchD) ImmSrcD = 3'b001; // use S-type immediate format for prefetches
|
2023-07-02 17:06:58 +00:00
|
|
|
end
|
|
|
|
end
|
|
|
|
|
2023-04-13 23:54:15 +00:00
|
|
|
// Decode stage pipeline control register
|
2021-06-02 14:03:19 +00:00
|
|
|
flopenrc #(1) controlregD(clk, reset, FlushD, ~StallD, 1'b1, InstrValidD);
|
|
|
|
|
2021-01-15 04:37:51 +00:00
|
|
|
// Execute stage pipeline control register and logic
|
2023-07-02 17:55:35 +00:00
|
|
|
flopenrc #(35) controlregE(clk, reset, FlushE, ~StallE,
|
|
|
|
{ALUSelectD, RegWriteD, ResultSrcD, MemRWD, JumpD, BranchD, ALUSrcAD, ALUSrcBD, ALUResultSrcD, CSRReadD, CSRWriteD, PrivilegedD, Funct3D, W64D, SubArithD, MDUD, AtomicD, InvalidateICacheD, FlushDCacheD, FenceD, CMOpD, IFUPrefetchD, LSUPrefetchD, InstrValidD},
|
|
|
|
{ALUSelectE, IEURegWriteE, ResultSrcE, MemRWE, JumpE, BranchE, ALUSrcAE, ALUSrcBE, ALUResultSrcE, CSRReadE, CSRWriteE, PrivilegedE, Funct3E, W64E, SubArithE, MDUE, AtomicE, InvalidateICacheE, FlushDCacheE, FenceE, CMOpE, IFUPrefetchE, LSUPrefetchE, InstrValidE});
|
2021-01-15 04:37:51 +00:00
|
|
|
|
|
|
|
// Branch Logic
|
2023-01-07 13:42:34 +00:00
|
|
|
// The comparator handles both signed and unsigned branches using BranchSignedE
|
|
|
|
// Hence, only eq and lt flags are needed
|
2023-04-03 04:14:31 +00:00
|
|
|
assign BranchSignedE = (~(Funct3E[2:1] == 2'b11) & BranchE);
|
2022-06-21 20:30:33 +00:00
|
|
|
assign {eqE, ltE} = FlagsE;
|
2023-01-07 13:42:34 +00:00
|
|
|
mux2 #(1) branchflagmux(eqE, ltE, Funct3E[2], BranchFlagE);
|
2021-12-08 20:33:53 +00:00
|
|
|
assign BranchTakenE = BranchFlagE ^ Funct3E[0];
|
2021-01-15 04:37:51 +00:00
|
|
|
assign PCSrcE = JumpE | BranchE & BranchTakenE;
|
|
|
|
|
2021-12-19 21:53:45 +00:00
|
|
|
// Other execute stage controller signals
|
2021-06-25 11:18:38 +00:00
|
|
|
assign MemReadE = MemRWE[1];
|
|
|
|
assign SCE = (ResultSrcE == 3'b100);
|
2023-06-15 19:17:23 +00:00
|
|
|
assign MDUActiveE = (ResultSrcE == 3'b011);
|
2023-03-03 00:00:56 +00:00
|
|
|
assign RegWriteE = IEURegWriteE | FWriteIntE; // IRF register writes could come from IEU or FPU controllers
|
2023-01-11 19:06:37 +00:00
|
|
|
assign IntDivE = MDUE & Funct3E[2]; // Integer division operation
|
2021-01-15 04:37:51 +00:00
|
|
|
|
|
|
|
// Memory stage pipeline control register
|
2023-07-02 17:55:35 +00:00
|
|
|
flopenrc #(25) controlregM(clk, reset, FlushM, ~StallM,
|
|
|
|
{RegWriteE, ResultSrcE, MemRWE, CSRReadE, CSRWriteE, PrivilegedE, Funct3E, FWriteIntE, AtomicE, InvalidateICacheE, FlushDCacheE, FenceE, InstrValidE, IntDivE, CMOpE, LSUPrefetchE},
|
|
|
|
{RegWriteM, ResultSrcM, MemRWM, CSRReadM, CSRWriteM, PrivilegedM, Funct3M, FWriteIntM, AtomicM, InvalidateICacheM, FlushDCacheM, FenceM, InstrValidM, IntDivM, CMOpM, LSUPrefetchM});
|
2021-01-15 04:37:51 +00:00
|
|
|
|
|
|
|
// Writeback stage pipeline control register
|
2022-12-15 01:03:13 +00:00
|
|
|
flopenrc #(5) controlregW(clk, reset, FlushW, ~StallW,
|
2023-01-11 19:06:37 +00:00
|
|
|
{RegWriteM, ResultSrcM, IntDivM},
|
|
|
|
{RegWriteW, ResultSrcW, IntDivW});
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2022-12-15 15:53:35 +00:00
|
|
|
// Flush F, D, and E stages on a CSR write or Fence.I or SFence.VMA
|
|
|
|
assign CSRWriteFenceM = CSRWriteM | FenceM;
|
2021-07-13 18:20:50 +00:00
|
|
|
|
2022-09-21 20:02:34 +00:00
|
|
|
// the synchronous DTIM cannot read immediately after write
|
|
|
|
// a cache cannot read or write immediately after a write
|
2023-06-16 23:05:53 +00:00
|
|
|
// atomic operations are also detected as MemRWD[1]
|
2023-08-17 22:58:49 +00:00
|
|
|
//assign StoreStallD = MemRWE[0] & ((MemRWD[1] | (MemRWD[0] & P.DCACHE_SUPPORTED)));
|
2023-11-29 21:20:49 +00:00
|
|
|
assign StoreStallD = (MemRWE[0] | (|CMOpE)) & ((MemRWD[1] | (MemRWD[0] & P.DCACHE_SUPPORTED) | (|CMOpD)));
|
2023-03-24 22:32:25 +00:00
|
|
|
endmodule
|