forked from Github_Repos/cvw
Final small fix
This commit is contained in:
parent
e20f00a520
commit
5952a4b0a3
@ -24,7 +24,7 @@
|
||||
////////////////////////////////////////////////////////////////////////////////////////////////
|
||||
|
||||
module lzc #(parameter WIDTH = 1) (
|
||||
input logic [WIDTH-1:0] num, // number to count the leading zeroes of
|
||||
input logic [WIDTH-1:0] num, // number to count the leading zeroes of
|
||||
output logic [$clog2(WIDTH+1)-1:0] ZeroCnt // the number of leading zeroes
|
||||
);
|
||||
|
||||
|
Loading…
Reference in New Issue
Block a user