From 5952a4b0a30313a786b826c211535ac5772a9d12 Mon Sep 17 00:00:00 2001 From: Limnanthes Serafini Date: Fri, 14 Apr 2023 14:15:52 -0700 Subject: [PATCH] Final small fix --- src/generic/lzc.sv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/generic/lzc.sv b/src/generic/lzc.sv index daf2bf07..aa5381a2 100644 --- a/src/generic/lzc.sv +++ b/src/generic/lzc.sv @@ -24,7 +24,7 @@ //////////////////////////////////////////////////////////////////////////////////////////////// module lzc #(parameter WIDTH = 1) ( - input logic [WIDTH-1:0] num, // number to count the leading zeroes of + input logic [WIDTH-1:0] num, // number to count the leading zeroes of output logic [$clog2(WIDTH+1)-1:0] ZeroCnt // the number of leading zeroes );