diff --git a/src/generic/lzc.sv b/src/generic/lzc.sv
index daf2bf07..aa5381a2 100644
--- a/src/generic/lzc.sv
+++ b/src/generic/lzc.sv
@@ -24,7 +24,7 @@
 ////////////////////////////////////////////////////////////////////////////////////////////////
 
 module lzc #(parameter WIDTH = 1) (
-  input  logic [WIDTH-1:0]            num,     // number to count the leading zeroes of
+  input  logic [WIDTH-1:0]            num,    // number to count the leading zeroes of
   output logic [$clog2(WIDTH+1)-1:0]  ZeroCnt // the number of leading zeroes
 );