cvw/src/ifu/bpred/RASPredictor.sv

99 lines
3.3 KiB
Systemverilog
Raw Normal View History

///////////////////////////////////////////
// RASPredictor.sv
//
// Written: Ross Thomposn ross1728@gmail.com
// Created: 15 February 2021
// Modified: 25 January 2023
//
// Purpose: 2 bit saturating counter predictor with parameterized table depth.
//
// Documentation: RISC-V System on Chip Design Chapter 10 (Figure ***)
//
2023-01-11 23:15:08 +00:00
// A component of the CORE-V-WALLY configurable RISC-V project.
//
// Copyright (C) 2021-23 Harvey Mudd College & Oklahoma State University
//
// SPDX-License-Identifier: Apache-2.0 WITH SHL-2.1
//
// Licensed under the Solderpad Hardware License v 2.1 (the “License”); you may not use this file
// except in compliance with the License, or, at your option, the Apache License version 2.0. You
// may obtain a copy of the License at
//
// https://solderpad.org/licenses/SHL-2.1/
//
// Unless required by applicable law or agreed to in writing, any work distributed under the
// License is distributed on an “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND,
// either express or implied. See the License for the specific language governing permissions
// and limitations under the License.
////////////////////////////////////////////////////////////////////////////////////////////////
`include "wally-config.vh"
module RASPredictor #(parameter int StackSize = 16 )(
2023-01-27 22:27:04 +00:00
input logic clk,
input logic reset,
input logic StallF, StallD, StallE, StallM, FlushD, FlushE, FlushM,
input logic [3:0] WrongPredInstrClassD, // Prediction class is wrong
input logic [3:0] InstrClassD, InstrClassE, PredInstrClassF, // Instr class
input logic [`XLEN-1:0] PCLinkE, // PC of instruction after a jal
output logic [`XLEN-1:0] RASPCF // Top of the stack
);
2021-10-27 19:43:55 +00:00
logic CounterEn;
localparam Depth = $clog2(StackSize);
logic [Depth-1:0] NextPtr, Ptr, P1, M1, IncDecPtr;
2021-10-27 19:43:55 +00:00
logic [StackSize-1:0] [`XLEN-1:0] memory;
integer index;
2023-01-25 23:06:25 +00:00
logic PopF;
logic PushE;
2023-01-25 23:10:52 +00:00
logic RepairD;
logic IncrRepairD, DecRepairD;
logic DecrementPtr;
2023-01-31 20:54:05 +00:00
logic FlushedRetDE;
logic WrongPredRetD;
2023-01-25 23:06:25 +00:00
2023-01-25 23:10:52 +00:00
assign PopF = PredInstrClassF[2] & ~StallD & ~FlushD;
2023-01-31 20:54:05 +00:00
assign PushE = InstrClassE[3] & ~StallM & ~FlushM;
2023-01-31 20:54:05 +00:00
assign WrongPredRetD = (WrongPredInstrClassD[2]) & ~StallE & ~FlushE;
2023-01-31 21:17:32 +00:00
assign FlushedRetDE = (~StallE & FlushE & InstrClassD[2]) | (~StallM & FlushM & InstrClassE[2]); // flushed ret
2023-01-31 20:54:05 +00:00
assign RepairD = WrongPredRetD | FlushedRetDE ;
assign IncrRepairD = FlushedRetDE | (WrongPredRetD & ~InstrClassD[2]); // Guessed it was a ret, but its not
assign DecRepairD = WrongPredRetD & InstrClassD[2]; // Guessed non ret but is a ret.
2023-01-25 23:06:25 +00:00
assign CounterEn = PopF | PushE | RepairD;
assign DecrementPtr = (PopF | DecRepairD) & ~IncrRepairD;
assign P1 = 1;
assign M1 = '1; // -1
mux2 #(Depth) PtrMux(P1, M1, DecrementPtr, IncDecPtr);
assign NextPtr = Ptr + IncDecPtr;
2023-02-03 23:40:20 +00:00
flopenr #(Depth) PTR(clk, reset, CounterEn, NextPtr, Ptr);
// RAS must be reset.
always_ff @ (posedge clk) begin
if(reset) begin
for(index=0; index<StackSize; index++)
2023-01-25 23:10:52 +00:00
memory[index] <= {`XLEN{1'b0}};
2023-01-13 21:56:10 +00:00
end else if(PushE) begin
memory[NextPtr] <= #1 PCLinkE;
end
end
2023-02-03 23:40:20 +00:00
assign RASPCF = memory[Ptr];
endmodule