Removed pipelined level of hierarchy

This commit is contained in:
David Harris 2023-02-02 14:14:11 -08:00
parent 551594e021
commit 99d179dd3e
247 changed files with 35 additions and 40 deletions

23
.gitignore vendored
View File

@ -15,7 +15,7 @@ benchmarks/embench/wally*.json
#vsim work files to ignore #vsim work files to ignore
transcript transcript
vsim.wlf vsim.wlf
pipelined/wlft* wlft*
wlft* wlft*
/imperas-riscv-tests/FunctionRadix_32.addr /imperas-riscv-tests/FunctionRadix_32.addr
/imperas-riscv-tests/FunctionRadix_64.addr /imperas-riscv-tests/FunctionRadix_64.addr
@ -42,8 +42,8 @@ tests/linux-testgen/buildroot-image-output
tests/linux-testgen/buildroot-config-src/main.config.old tests/linux-testgen/buildroot-config-src/main.config.old
tests/linux-testgen/buildroot-config-src/linux.config.old tests/linux-testgen/buildroot-config-src/linux.config.old
tests/linux-testgen/buildroot-config-src/busybox.config.old tests/linux-testgen/buildroot-config-src/busybox.config.old
pipelined/regression/slack-notifier/slack-webhook-url.txt regression/slack-notifier/slack-webhook-url.txt
pipelined/regression/logs regression/logs
fpga/generator/IP fpga/generator/IP
fpga/generator/vivado.* fpga/generator/vivado.*
fpga/generator/.Xil/* fpga/generator/.Xil/*
@ -59,7 +59,7 @@ examples/C/sum/sum
examples/C/fir/fir examples/C/fir/fir
examples/fp/softfloat_demo/softfloat_demo examples/fp/softfloat_demo/softfloat_demo
examples/fp/fpcalc/fpcalc examples/fp/fpcalc/fpcalc
pipelined/src/fma/fma16_testgen src/fma/fma16_testgen
linux/devicetree/debug/* linux/devicetree/debug/*
!linux/devicetree/debug/dump-dts.sh !linux/devicetree/debug/dump-dts.sh
linux/testvector-generation/genCheckpoint.gdb linux/testvector-generation/genCheckpoint.gdb
@ -79,29 +79,24 @@ synthDC/ppa/plots
synthDC/wallyplots/ synthDC/wallyplots/
synthDC/runArchive synthDC/runArchive
synthDC/hdl synthDC/hdl
/pipelined/regression/power.saif regression/power.saif
tests/fp/vectors/*.tv tests/fp/vectors/*.tv
synthDC/Summary.csv synthDC/Summary.csv
pipelined/srt/exptestgen regression/wkdir
pipelined/srt/testgen
pipelined/srt/qslc_r4a2
pipelined/srt/qslc_r4a2.sv
pipelined/srt/testvectors
pipelined/regression/wkdir
tests/custom/work tests/custom/work
tests/custom/*/*/*.list tests/custom/*/*/*.list
tests/custom/*/*/*.elf tests/custom/*/*/*.elf
tests/custom/*/*/*.map tests/custom/*/*/*.map
tests/custom/*/*/*.memfile tests/custom/*/*/*.memfile
tests/custom/crt0/*.a tests/custom/crt0/*.a
/pipelined/regression/sd_model.log regression/sd_model.log
fpga/src/sdc/* fpga/src/sdc/*
fpga/src/sdc.tar.gz fpga/src/sdc.tar.gz
fpga/src/CopiedFiles_do_not_add_to_repo/* fpga/src/CopiedFiles_do_not_add_to_repo/*
/pipelined/regression/branch.log regression/branch.log
/fpga/generator/sim/imp-funcsim.v /fpga/generator/sim/imp-funcsim.v
/fpga/generator/sim/imp-timesim.sdf /fpga/generator/sim/imp-timesim.sdf
/fpga/generator/sim/imp-timesim.v /fpga/generator/sim/imp-timesim.v
/fpga/generator/sim/syn-funcsim.v /fpga/generator/sim/syn-funcsim.v
external external
pipelined/regression/results regression/results

View File

@ -328,6 +328,6 @@ source ./setup.sh # may require some modification for your system. Always
cd <to location of repo clone> cd <to location of repo clone>
make make
cd pipelined/regression cd regression
./regression-wally #(depends on having Questa installed) ./regression-wally #(depends on having Questa installed)

View File

@ -15,8 +15,8 @@ install:
##ln -s ${RISCV}/imperas-riscv-tests/riscv-ovpsim-plus/bin/Linux64/riscvOVPsimPlus.exe tests/imperas-riscv-tests/riscv-ovpsim-plus/bin/Linux64/riscvOVPsimPlus.exe ##ln -s ${RISCV}/imperas-riscv-tests/riscv-ovpsim-plus/bin/Linux64/riscvOVPsimPlus.exe tests/imperas-riscv-tests/riscv-ovpsim-plus/bin/Linux64/riscvOVPsimPlus.exe
regression: regression:
make -C pipelined/regression make -C regression
clean: clean:
make clean -C pipelined/regression make clean -C regression

View File

@ -53,7 +53,7 @@ Edit setup.sh and change the following lines to point to the path and license se
Run a regression simulation with Questa to prove everything is installed. Run a regression simulation with Questa to prove everything is installed.
$ cd pipelined/regression $ cd regression
$ ./regression-wally (depends on having Questa installed) $ ./regression-wally (depends on having Questa installed)
# Toolchain Installation (Sys Admin) # Toolchain Installation (Sys Admin)

View File

@ -21,7 +21,7 @@ PORT_CFLAGS = -g -mabi=$(ABI) -march=$(ARCH) -static -falign-functions=16 \
all: $(work_dir)/coremark.bare.riscv.elf.memfile all: $(work_dir)/coremark.bare.riscv.elf.memfile
run: run:
(cd ../../pipelined/regression && (time vsim -c -do "do wally-pipelined-batch.do rv$(XLEN)gc coremark" 2>&1 | tee $(work_dir)/coremark.sim.log)) (cd ../../regression && (time vsim -c -do "do wally-pipelined-batch.do rv$(XLEN)gc coremark" 2>&1 | tee $(work_dir)/coremark.sim.log))
cd ../../benchmarks/coremark/ cd ../../benchmarks/coremark/
# KMG: added post processing script to give out branch miss proportion along with other stats to the coremark test # KMG: added post processing script to give out branch miss proportion along with other stats to the coremark test
python3 coremark-postprocess.py python3 coremark-postprocess.py

View File

@ -34,7 +34,7 @@ sim: modelsim_build_memfile modelsim_run speed
# launches modelsim to simulate tests on wally # launches modelsim to simulate tests on wally
modelsim_run: modelsim_run:
(cd ../../pipelined/regression/ && vsim -c -do "do wally-pipelined-batch.do rv32gc embench") (cd ../../regression/ && vsim -c -do "do wally-pipelined-batch.do rv32gc embench")
cd ../../benchmarks/embench/ cd ../../benchmarks/embench/
# builds the objdump based on the compiled c elf files # builds the objdump based on the compiled c elf files

View File

@ -16,7 +16,7 @@ a large number of debuging signals.
* Programming the flash card * Programming the flash card
You'll need to write the linux image to the flash card. Use the convert2bin.py You'll need to write the linux image to the flash card. Use the convert2bin.py
script in pipelined/linux-testgen/linux-testvectors/ to convert the ram.txt script in linux-testgen/linux-testvectors/ [*** moved?] to convert the ram.txt
file from QEMU's preload to generate the binary. Then to copy file from QEMU's preload to generate the binary. Then to copy
sudo dd if=ram.bin of=<path to flash card>. sudo dd if=ram.bin of=<path to flash card>.

View File

@ -27,7 +27,7 @@ SDC:
PreProcessFiles: PreProcessFiles:
rm -rf ../src/CopiedFiles_do_not_add_to_repo/ rm -rf ../src/CopiedFiles_do_not_add_to_repo/
cp -r ../../pipelined/src/ ../src/CopiedFiles_do_not_add_to_repo/ cp -r ../../src/ ../src/CopiedFiles_do_not_add_to_repo/
./insert_debug_comment.sh ./insert_debug_comment.sh
$(dst)/%.log: %.tcl $(dst)/%.log: %.tcl

View File

@ -19,7 +19,7 @@ read_verilog -sv [glob -type f ../src/CopiedFiles_do_not_add_to_repo/*/*.sv ../s
read_verilog {../src/fpgaTop.v} read_verilog {../src/fpgaTop.v}
read_verilog -sv [glob -type f ../src/sdc/*.sv] read_verilog -sv [glob -type f ../src/sdc/*.sv]
set_property include_dirs {../../pipelined/config/fpga ../../pipelined/config/shared} [current_fileset] set_property include_dirs {../../config/fpga ../../config/shared} [current_fileset]
add_files -fileset constrs_1 -norecurse ../constraints/constraints-$boardSubName.xdc add_files -fileset constrs_1 -norecurse ../constraints/constraints-$boardSubName.xdc

View File

@ -13,4 +13,4 @@ make
# exe2memfile.pl work/*/*/*.elf # exe2memfile.pl work/*/*/*.elf
# cd ../linux-testgen/linux-testvectors # cd ../linux-testgen/linux-testvectors
# ./tvLinker.sh # ./tvLinker.sh
# cd ../../../pipelined/regression # cd ../../../regression

View File

@ -31,7 +31,7 @@ export IMPERAS_PERSONALITY=CPUMAN_DV_ASYNC
# setup QUESTA (Imperas only command, YMMV) # setup QUESTA (Imperas only command, YMMV)
svsetup -questa svsetup -questa
pushd pipelined/regression pushd regression
# With IDV # With IDV
IMPERAS_TOOLS=$(pwd)/imperas.ic \ IMPERAS_TOOLS=$(pwd)/imperas.ic \
OTHERFLAGS="+TRACE2LOG_ENABLE=1 VERBOSE=1" \ OTHERFLAGS="+TRACE2LOG_ENABLE=1 VERBOSE=1" \

Some files were not shown because too many files have changed in this diff Show More