forked from Github_Repos/cvw
buildroot: sim is now running!
yes it only gets through 5 instructions right now. Yes that's my fault.
This commit is contained in:
parent
4f97e9e761
commit
6954e6df4c
@ -24,7 +24,8 @@
|
||||
// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
|
||||
///////////////////////////////////////////
|
||||
|
||||
`define BUSYBEAR
|
||||
`define BUILDROOT 1
|
||||
`define BUSYBEAR 0
|
||||
`define BUSYBEAR_FIX_READ {'h10000005}
|
||||
`define BUSYBEAR_TEST_VECTORS "/courses/e190ax/buildroot_boot/"
|
||||
// RV32 or RV64: XLEN = 32 or 64
|
||||
|
@ -24,7 +24,8 @@
|
||||
// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
|
||||
///////////////////////////////////////////
|
||||
|
||||
`define BUSYBEAR
|
||||
`define BUILDROOT 0
|
||||
`define BUSYBEAR 1
|
||||
`define BUSYBEAR_FIX_READ {'h10000005}
|
||||
`define BUSYBEAR_TEST_VECTORS "/courses/e190ax/busybear_boot_new/"
|
||||
// RV32 or RV64: XLEN = 32 or 64
|
||||
|
@ -24,6 +24,9 @@
|
||||
// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
|
||||
///////////////////////////////////////////
|
||||
|
||||
`define BUILDROOT 0
|
||||
`define BUSYBEAR 0
|
||||
|
||||
// RV32 or RV64: XLEN = 32 or 64
|
||||
`define XLEN 64
|
||||
|
||||
|
@ -24,6 +24,9 @@
|
||||
// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
|
||||
///////////////////////////////////////////
|
||||
|
||||
`define BUILDROOT 0
|
||||
`define BUSYBEAR 0
|
||||
|
||||
// RV32 or RV64: XLEN = 32 or 64
|
||||
`define XLEN 64
|
||||
|
||||
|
@ -24,6 +24,9 @@
|
||||
// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
|
||||
///////////////////////////////////////////
|
||||
|
||||
`define BUILDROOT 0
|
||||
`define BUSYBEAR 0
|
||||
|
||||
// RV32 or RV64: XLEN = 32 or 64
|
||||
`define XLEN 32
|
||||
|
||||
|
@ -24,6 +24,9 @@
|
||||
// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
|
||||
///////////////////////////////////////////
|
||||
|
||||
`define BUILDROOT 0
|
||||
`define BUSYBEAR 0
|
||||
|
||||
// RV32 or RV64: XLEN = 32 or 64
|
||||
`define XLEN 64
|
||||
|
||||
|
@ -24,6 +24,9 @@
|
||||
// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
|
||||
///////////////////////////////////////////
|
||||
|
||||
`define BUILDROOT 0
|
||||
`define BUSYBEAR 0
|
||||
|
||||
// RV32 or RV64: XLEN = 32 or 64
|
||||
`define XLEN 64
|
||||
|
||||
|
@ -24,6 +24,9 @@
|
||||
// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
|
||||
///////////////////////////////////////////
|
||||
|
||||
`define BUILDROOT 0
|
||||
`define BUSYBEAR 0
|
||||
|
||||
// RV32 or RV64: XLEN = 32 or 64
|
||||
`define XLEN 64
|
||||
|
||||
|
@ -20,7 +20,7 @@ onbreak {resume}
|
||||
if [file exists work-buildroot] {
|
||||
vdel -all -lib work-buildroot
|
||||
}
|
||||
vlib work-buildroot
|
||||
vlib work-busybear
|
||||
|
||||
# compile source files
|
||||
# suppress spurious warnngs about
|
||||
|
@ -339,16 +339,20 @@ module testbench_busybear();
|
||||
`CHECK_CSR(STVEC)
|
||||
|
||||
//$stop;
|
||||
initial begin
|
||||
#34140421;
|
||||
$stop;
|
||||
end
|
||||
initial begin //this is temporary until the bug can be fixed!!!
|
||||
#11130100;
|
||||
force dut.hart.ieu.dp.regf.rf[5] = 64'h0000000080000004;
|
||||
#100;
|
||||
release dut.hart.ieu.dp.regf.rf[5];
|
||||
end
|
||||
generate
|
||||
if (`BUSYBEAR == 1) begin
|
||||
initial begin
|
||||
#34140421;
|
||||
$stop;
|
||||
end
|
||||
initial begin //this is temporary until the bug can be fixed!!!
|
||||
#11130100;
|
||||
force dut.hart.ieu.dp.regf.rf[5] = 64'h0000000080000004;
|
||||
#100;
|
||||
release dut.hart.ieu.dp.regf.rf[5];
|
||||
end
|
||||
end
|
||||
endgenerate
|
||||
|
||||
logic speculative;
|
||||
initial begin
|
||||
|
Loading…
Reference in New Issue
Block a user