diff --git a/wally-pipelined/config/buildroot/wally-config.vh b/wally-pipelined/config/buildroot/wally-config.vh index 97b7e506..931decec 100644 --- a/wally-pipelined/config/buildroot/wally-config.vh +++ b/wally-pipelined/config/buildroot/wally-config.vh @@ -24,7 +24,8 @@ // OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. /////////////////////////////////////////// -`define BUSYBEAR +`define BUILDROOT 1 +`define BUSYBEAR 0 `define BUSYBEAR_FIX_READ {'h10000005} `define BUSYBEAR_TEST_VECTORS "/courses/e190ax/buildroot_boot/" // RV32 or RV64: XLEN = 32 or 64 diff --git a/wally-pipelined/config/busybear/wally-config.vh b/wally-pipelined/config/busybear/wally-config.vh index 997fb688..0800a0ca 100644 --- a/wally-pipelined/config/busybear/wally-config.vh +++ b/wally-pipelined/config/busybear/wally-config.vh @@ -24,7 +24,8 @@ // OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. /////////////////////////////////////////// -`define BUSYBEAR +`define BUILDROOT 0 +`define BUSYBEAR 1 `define BUSYBEAR_FIX_READ {'h10000005} `define BUSYBEAR_TEST_VECTORS "/courses/e190ax/busybear_boot_new/" // RV32 or RV64: XLEN = 32 or 64 diff --git a/wally-pipelined/config/coremark/wally-config.vh b/wally-pipelined/config/coremark/wally-config.vh index 798e1cf0..78fb567a 100644 --- a/wally-pipelined/config/coremark/wally-config.vh +++ b/wally-pipelined/config/coremark/wally-config.vh @@ -24,6 +24,9 @@ // OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. /////////////////////////////////////////// +`define BUILDROOT 0 +`define BUSYBEAR 0 + // RV32 or RV64: XLEN = 32 or 64 `define XLEN 64 diff --git a/wally-pipelined/config/coremark_bare/wally-config.vh b/wally-pipelined/config/coremark_bare/wally-config.vh index 9bcbf985..937dff30 100644 --- a/wally-pipelined/config/coremark_bare/wally-config.vh +++ b/wally-pipelined/config/coremark_bare/wally-config.vh @@ -24,6 +24,9 @@ // OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. /////////////////////////////////////////// +`define BUILDROOT 0 +`define BUSYBEAR 0 + // RV32 or RV64: XLEN = 32 or 64 `define XLEN 64 diff --git a/wally-pipelined/config/rv32ic/wally-config.vh b/wally-pipelined/config/rv32ic/wally-config.vh index 02044070..7e7d490a 100644 --- a/wally-pipelined/config/rv32ic/wally-config.vh +++ b/wally-pipelined/config/rv32ic/wally-config.vh @@ -24,6 +24,9 @@ // OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. /////////////////////////////////////////// +`define BUILDROOT 0 +`define BUSYBEAR 0 + // RV32 or RV64: XLEN = 32 or 64 `define XLEN 32 diff --git a/wally-pipelined/config/rv64ic/wally-config.vh b/wally-pipelined/config/rv64ic/wally-config.vh index 20aea272..f798bbc2 100644 --- a/wally-pipelined/config/rv64ic/wally-config.vh +++ b/wally-pipelined/config/rv64ic/wally-config.vh @@ -24,6 +24,9 @@ // OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. /////////////////////////////////////////// +`define BUILDROOT 0 +`define BUSYBEAR 0 + // RV32 or RV64: XLEN = 32 or 64 `define XLEN 64 diff --git a/wally-pipelined/config/rv64icfd/wally-config.vh b/wally-pipelined/config/rv64icfd/wally-config.vh index c04b3977..e9034727 100644 --- a/wally-pipelined/config/rv64icfd/wally-config.vh +++ b/wally-pipelined/config/rv64icfd/wally-config.vh @@ -24,6 +24,9 @@ // OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. /////////////////////////////////////////// +`define BUILDROOT 0 +`define BUSYBEAR 0 + // RV32 or RV64: XLEN = 32 or 64 `define XLEN 64 diff --git a/wally-pipelined/config/rv64imc/wally-config.vh b/wally-pipelined/config/rv64imc/wally-config.vh index 5e24642f..3d5a8c46 100644 --- a/wally-pipelined/config/rv64imc/wally-config.vh +++ b/wally-pipelined/config/rv64imc/wally-config.vh @@ -24,6 +24,9 @@ // OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. /////////////////////////////////////////// +`define BUILDROOT 0 +`define BUSYBEAR 0 + // RV32 or RV64: XLEN = 32 or 64 `define XLEN 64 diff --git a/wally-pipelined/regression/wally-buildroot.do b/wally-pipelined/regression/wally-buildroot.do index 5f385833..5116caa1 100644 --- a/wally-pipelined/regression/wally-buildroot.do +++ b/wally-pipelined/regression/wally-buildroot.do @@ -20,7 +20,7 @@ onbreak {resume} if [file exists work-buildroot] { vdel -all -lib work-buildroot } -vlib work-buildroot +vlib work-busybear # compile source files # suppress spurious warnngs about diff --git a/wally-pipelined/testbench/testbench-busybear.sv b/wally-pipelined/testbench/testbench-busybear.sv index c85cea19..11b2d3fa 100644 --- a/wally-pipelined/testbench/testbench-busybear.sv +++ b/wally-pipelined/testbench/testbench-busybear.sv @@ -339,16 +339,20 @@ module testbench_busybear(); `CHECK_CSR(STVEC) //$stop; - initial begin - #34140421; - $stop; - end - initial begin //this is temporary until the bug can be fixed!!! - #11130100; - force dut.hart.ieu.dp.regf.rf[5] = 64'h0000000080000004; - #100; - release dut.hart.ieu.dp.regf.rf[5]; - end + generate + if (`BUSYBEAR == 1) begin + initial begin + #34140421; + $stop; + end + initial begin //this is temporary until the bug can be fixed!!! + #11130100; + force dut.hart.ieu.dp.regf.rf[5] = 64'h0000000080000004; + #100; + release dut.hart.ieu.dp.regf.rf[5]; + end + end + endgenerate logic speculative; initial begin