Cosmetic changes on integer divider

This commit is contained in:
James E. Stine 2021-05-31 09:16:30 -04:00
parent 9954d16fc9
commit 46a232b862
2 changed files with 4 additions and 4 deletions

View File

@ -55,7 +55,7 @@ module intdiv #(parameter WIDTH=64)
logic [3:0] quotient;
logic otfzero;
logic shiftResult;
logic enablev, state0v, donev, divdonev, oftzerov, divBusyv, ulp;
logic enablev, state0v, donev, oftzerov, divBusyv, ulp;
logic [WIDTH-1:0] twoD;
logic [WIDTH-1:0] twoN;
@ -231,6 +231,7 @@ module divide4 #(parameter WIDTH=64)
endmodule // divide4x64
// Load/Control for OTFC
module ls_control (quot, Qin, QMin, CshiftQ, CshiftQM);
input logic [3:0] quot;
@ -251,8 +252,7 @@ module ls_control (quot, Qin, QMin, CshiftQ, CshiftQM);
endmodule
// On-the-fly Conversion per Ercegovac/Lang
// On-the-fly Conversion (OTFC)
module otf #(parameter WIDTH=8)
(Qin, QMin, CshiftQ, CshiftQM, clk, reset, enable, R2Q, R1Q);
@ -317,6 +317,7 @@ module eqcmp #(parameter WIDTH = 8)
endmodule // eqcmp
// QST for r=4
module qst4 (input logic [6:0] s, input logic [2:0] d,
output logic [3:0] q);

View File

@ -47,7 +47,6 @@ module muldiv (
logic [`XLEN-1:0] MulDivResultE, MulDivResultM;
logic [`XLEN-1:0] PrelimResultE;
logic [`XLEN-1:0] QuotE, RemE;
//logic [`XLEN-1:0] Q, R;
logic [`XLEN*2-1:0] ProdE;
logic enable_q;