From 46a232b862249262e91fd0241c48f7b662bac599 Mon Sep 17 00:00:00 2001 From: "James E. Stine" Date: Mon, 31 May 2021 09:16:30 -0400 Subject: [PATCH] Cosmetic changes on integer divider --- wally-pipelined/src/muldiv/div.sv | 7 ++++--- wally-pipelined/src/muldiv/muldiv.sv | 1 - 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/wally-pipelined/src/muldiv/div.sv b/wally-pipelined/src/muldiv/div.sv index 107b002f..8b4e0463 100755 --- a/wally-pipelined/src/muldiv/div.sv +++ b/wally-pipelined/src/muldiv/div.sv @@ -55,7 +55,7 @@ module intdiv #(parameter WIDTH=64) logic [3:0] quotient; logic otfzero; logic shiftResult; - logic enablev, state0v, donev, divdonev, oftzerov, divBusyv, ulp; + logic enablev, state0v, donev, oftzerov, divBusyv, ulp; logic [WIDTH-1:0] twoD; logic [WIDTH-1:0] twoN; @@ -231,6 +231,7 @@ module divide4 #(parameter WIDTH=64) endmodule // divide4x64 +// Load/Control for OTFC module ls_control (quot, Qin, QMin, CshiftQ, CshiftQM); input logic [3:0] quot; @@ -251,8 +252,7 @@ module ls_control (quot, Qin, QMin, CshiftQ, CshiftQM); endmodule -// On-the-fly Conversion per Ercegovac/Lang - +// On-the-fly Conversion (OTFC) module otf #(parameter WIDTH=8) (Qin, QMin, CshiftQ, CshiftQM, clk, reset, enable, R2Q, R1Q); @@ -317,6 +317,7 @@ module eqcmp #(parameter WIDTH = 8) endmodule // eqcmp +// QST for r=4 module qst4 (input logic [6:0] s, input logic [2:0] d, output logic [3:0] q); diff --git a/wally-pipelined/src/muldiv/muldiv.sv b/wally-pipelined/src/muldiv/muldiv.sv index f4096fd1..ccabe341 100644 --- a/wally-pipelined/src/muldiv/muldiv.sv +++ b/wally-pipelined/src/muldiv/muldiv.sv @@ -47,7 +47,6 @@ module muldiv ( logic [`XLEN-1:0] MulDivResultE, MulDivResultM; logic [`XLEN-1:0] PrelimResultE; logic [`XLEN-1:0] QuotE, RemE; - //logic [`XLEN-1:0] Q, R; logic [`XLEN*2-1:0] ProdE; logic enable_q;