Added stop to coremark_bare testbench

This commit is contained in:
Teo Ene 2021-03-04 07:47:07 -06:00
parent 8f1584ca04
commit 06be82fc67

View File

@ -83,6 +83,14 @@ module testbench();
begin
clk = 1; # 5; clk = 0; # 5;
end
always @(negedge clk)
begin
if (dut.hart.priv.ebreakM) begin
#20;
$display("Code ended with ebreakM");
$stop;
end
end
endmodule
/* verilator lint_on STMTDLY */