diff --git a/wally-pipelined/testbench/testbench-coremark_bare.sv b/wally-pipelined/testbench/testbench-coremark_bare.sv index 04332fbb..529a6b98 100644 --- a/wally-pipelined/testbench/testbench-coremark_bare.sv +++ b/wally-pipelined/testbench/testbench-coremark_bare.sv @@ -83,6 +83,14 @@ module testbench(); begin clk = 1; # 5; clk = 0; # 5; end + always @(negedge clk) + begin + if (dut.hart.priv.ebreakM) begin + #20; + $display("Code ended with ebreakM"); + $stop; + end + end endmodule /* verilator lint_on STMTDLY */