2021-12-29 23:12:20 +00:00
|
|
|
///////////////////////////////////////////
|
|
|
|
// busfsm.sv
|
|
|
|
//
|
2021-12-29 23:40:24 +00:00
|
|
|
// Written: Ross Thompson ross1728@gmail.com December 29, 2021
|
2021-12-29 23:12:20 +00:00
|
|
|
// Modified:
|
|
|
|
//
|
2022-08-26 01:01:01 +00:00
|
|
|
// Purpose: Load/Store Unit's interface to BUS for cacheless system
|
2021-12-29 23:12:20 +00:00
|
|
|
//
|
|
|
|
// A component of the Wally configurable RISC-V project.
|
|
|
|
//
|
|
|
|
// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University
|
|
|
|
//
|
2022-01-07 12:58:40 +00:00
|
|
|
// MIT LICENSE
|
|
|
|
// Permission is hereby granted, free of charge, to any person obtaining a copy of this
|
|
|
|
// software and associated documentation files (the "Software"), to deal in the Software
|
|
|
|
// without restriction, including without limitation the rights to use, copy, modify, merge,
|
|
|
|
// publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons
|
|
|
|
// to whom the Software is furnished to do so, subject to the following conditions:
|
2021-12-29 23:12:20 +00:00
|
|
|
//
|
2022-01-07 12:58:40 +00:00
|
|
|
// The above copyright notice and this permission notice shall be included in all copies or
|
|
|
|
// substantial portions of the Software.
|
2021-12-29 23:12:20 +00:00
|
|
|
//
|
2022-01-07 12:58:40 +00:00
|
|
|
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED,
|
|
|
|
// INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR
|
|
|
|
// PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS
|
|
|
|
// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
|
|
|
|
// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE
|
|
|
|
// OR OTHER DEALINGS IN THE SOFTWARE.
|
|
|
|
////////////////////////////////////////////////////////////////////////////////////////////////
|
2021-12-29 23:12:20 +00:00
|
|
|
|
|
|
|
`include "wally-config.vh"
|
|
|
|
|
|
|
|
|
2022-08-26 01:13:34 +00:00
|
|
|
module busfsm #(parameter integer LOGWPL)
|
2022-01-31 18:11:42 +00:00
|
|
|
(input logic clk,
|
|
|
|
input logic reset,
|
2021-12-29 23:12:20 +00:00
|
|
|
|
2022-01-31 18:11:42 +00:00
|
|
|
input logic IgnoreRequest,
|
2022-08-25 18:13:01 +00:00
|
|
|
input logic [1:0] RW,
|
2022-08-25 16:52:08 +00:00
|
|
|
input logic BusAck,
|
|
|
|
input logic BusInit, // This might be better as LSUBusLock, or to send this using BusAck.
|
2022-01-31 18:11:42 +00:00
|
|
|
input logic CPUBusy,
|
2022-08-25 18:13:01 +00:00
|
|
|
input logic Cacheable,
|
2021-12-29 23:12:20 +00:00
|
|
|
|
2022-01-31 18:11:42 +00:00
|
|
|
output logic BusStall,
|
2022-08-25 16:52:08 +00:00
|
|
|
output logic BusWrite,
|
2022-08-25 18:18:13 +00:00
|
|
|
output logic SelBusWord,
|
2022-08-25 16:52:08 +00:00
|
|
|
output logic BusRead,
|
|
|
|
output logic [2:0] HBURST,
|
|
|
|
output logic BusTransComplete,
|
|
|
|
output logic [1:0] HTRANS,
|
2022-08-25 18:13:01 +00:00
|
|
|
output logic BusCommitted,
|
2022-08-26 01:04:49 +00:00
|
|
|
output logic BufferCaptureEn);
|
2021-12-29 23:12:20 +00:00
|
|
|
|
2022-08-25 16:52:08 +00:00
|
|
|
logic UnCachedBusRead;
|
|
|
|
logic UnCachedBusWrite;
|
2021-12-29 23:12:20 +00:00
|
|
|
logic WordCountFlag;
|
2022-08-26 01:19:41 +00:00
|
|
|
logic UnCachedAccess;
|
2022-05-26 23:29:13 +00:00
|
|
|
logic [2:0] LocalBurstType;
|
2021-12-30 21:51:07 +00:00
|
|
|
|
2021-12-29 23:12:20 +00:00
|
|
|
|
2022-02-11 01:15:16 +00:00
|
|
|
typedef enum logic [2:0] {STATE_BUS_READY,
|
2021-12-29 23:12:20 +00:00
|
|
|
STATE_BUS_UNCACHED_WRITE,
|
|
|
|
STATE_BUS_UNCACHED_WRITE_DONE,
|
|
|
|
STATE_BUS_UNCACHED_READ,
|
|
|
|
STATE_BUS_UNCACHED_READ_DONE,
|
|
|
|
STATE_BUS_CPU_BUSY} busstatetype;
|
|
|
|
|
2022-08-22 20:56:46 +00:00
|
|
|
typedef enum logic [1:0] {AHB_IDLE = 2'b00, AHB_BUSY = 2'b01, AHB_NONSEQ = 2'b10, AHB_SEQ = 2'b11} ahbtranstype;
|
|
|
|
|
2021-12-29 23:12:20 +00:00
|
|
|
(* mark_debug = "true" *) busstatetype BusCurrState, BusNextState;
|
|
|
|
|
2022-08-26 01:05:44 +00:00
|
|
|
assign WordCountFlag = 1; // Detect when we are waiting on the final access.
|
2021-12-29 23:12:20 +00:00
|
|
|
|
2022-08-26 01:13:34 +00:00
|
|
|
assign UnCachedAccess = 1;
|
2021-12-30 21:51:07 +00:00
|
|
|
|
2021-12-29 23:12:20 +00:00
|
|
|
always_ff @(posedge clk)
|
|
|
|
if (reset) BusCurrState <= #1 STATE_BUS_READY;
|
|
|
|
else BusCurrState <= #1 BusNextState;
|
|
|
|
|
|
|
|
always_comb begin
|
|
|
|
case(BusCurrState)
|
2022-02-22 23:28:26 +00:00
|
|
|
STATE_BUS_READY: if(IgnoreRequest) BusNextState = STATE_BUS_READY;
|
2022-08-25 18:13:01 +00:00
|
|
|
else if(RW[0] & UnCachedAccess) BusNextState = STATE_BUS_UNCACHED_WRITE;
|
|
|
|
else if(RW[1] & UnCachedAccess) BusNextState = STATE_BUS_UNCACHED_READ;
|
2022-02-22 23:28:26 +00:00
|
|
|
else BusNextState = STATE_BUS_READY;
|
2022-08-25 16:52:08 +00:00
|
|
|
STATE_BUS_UNCACHED_WRITE: if(BusAck) BusNextState = STATE_BUS_UNCACHED_WRITE_DONE;
|
2022-02-22 23:28:26 +00:00
|
|
|
else BusNextState = STATE_BUS_UNCACHED_WRITE;
|
2022-08-25 16:52:08 +00:00
|
|
|
STATE_BUS_UNCACHED_READ: if(BusAck) BusNextState = STATE_BUS_UNCACHED_READ_DONE;
|
2022-02-22 23:28:26 +00:00
|
|
|
else BusNextState = STATE_BUS_UNCACHED_READ;
|
|
|
|
STATE_BUS_UNCACHED_WRITE_DONE: if(CPUBusy) BusNextState = STATE_BUS_CPU_BUSY;
|
|
|
|
else BusNextState = STATE_BUS_READY;
|
|
|
|
STATE_BUS_UNCACHED_READ_DONE: if(CPUBusy) BusNextState = STATE_BUS_CPU_BUSY;
|
|
|
|
else BusNextState = STATE_BUS_READY;
|
|
|
|
STATE_BUS_CPU_BUSY: if(CPUBusy) BusNextState = STATE_BUS_CPU_BUSY;
|
|
|
|
else BusNextState = STATE_BUS_READY;
|
|
|
|
default: BusNextState = STATE_BUS_READY;
|
2021-12-29 23:12:20 +00:00
|
|
|
endcase
|
|
|
|
end
|
|
|
|
|
2022-08-26 01:04:49 +00:00
|
|
|
assign LocalBurstType = 3'b000;
|
2022-05-26 23:29:13 +00:00
|
|
|
|
2022-08-26 01:19:41 +00:00
|
|
|
assign HBURST = 3'b0;
|
|
|
|
assign BusTransComplete = BusAck;
|
2022-06-11 03:43:56 +00:00
|
|
|
// Use SEQ if not doing first word, NONSEQ if doing the first read/write, and IDLE if finishing up.
|
2022-08-26 01:04:49 +00:00
|
|
|
assign HTRANS = (BusRead | BusWrite) & (~BusTransComplete) ? AHB_NONSEQ : AHB_IDLE;
|
2022-08-26 01:10:15 +00:00
|
|
|
|
|
|
|
assign BusStall = (BusCurrState == STATE_BUS_READY & ~IgnoreRequest & ((UnCachedAccess & (|RW)))) |
|
2021-12-29 23:12:20 +00:00
|
|
|
(BusCurrState == STATE_BUS_UNCACHED_WRITE) |
|
2022-08-26 01:10:15 +00:00
|
|
|
(BusCurrState == STATE_BUS_UNCACHED_READ);
|
2022-08-25 18:13:01 +00:00
|
|
|
assign UnCachedBusWrite = (BusCurrState == STATE_BUS_READY & UnCachedAccess & RW[0] & ~IgnoreRequest) |
|
2021-12-29 23:12:20 +00:00
|
|
|
(BusCurrState == STATE_BUS_UNCACHED_WRITE);
|
2022-08-26 01:12:09 +00:00
|
|
|
assign BusWrite = UnCachedBusWrite;
|
2022-08-25 18:18:13 +00:00
|
|
|
assign SelBusWord = (BusCurrState == STATE_BUS_READY & UnCachedAccess & RW[0]) |
|
2022-08-26 01:12:09 +00:00
|
|
|
(BusCurrState == STATE_BUS_UNCACHED_WRITE);
|
2021-12-29 23:12:20 +00:00
|
|
|
|
2022-08-25 18:13:01 +00:00
|
|
|
assign UnCachedBusRead = (BusCurrState == STATE_BUS_READY & UnCachedAccess & RW[1] & ~IgnoreRequest) |
|
2021-12-29 23:12:20 +00:00
|
|
|
(BusCurrState == STATE_BUS_UNCACHED_READ);
|
2022-08-26 01:12:09 +00:00
|
|
|
assign BusRead = UnCachedBusRead;
|
|
|
|
assign BufferCaptureEn = UnCachedBusRead;
|
2022-06-11 03:30:04 +00:00
|
|
|
|
2022-08-26 01:19:41 +00:00
|
|
|
assign BusCommitted = BusCurrState != STATE_BUS_READY;
|
2021-12-29 23:12:20 +00:00
|
|
|
endmodule
|