cvw/src/ieu/alu.sv

157 lines
7.6 KiB
Systemverilog
Raw Normal View History

2021-01-15 04:37:51 +00:00
///////////////////////////////////////////
// alu.sv
//
// Written: David_Harris@hmc.edu, Sarah.Harris@unlv.edu
// Created: 9 January 2021
2021-01-15 04:37:51 +00:00
// Modified:
//
// Purpose: RISC-V Arithmetic/Logic Unit
2023-01-12 00:52:46 +00:00
//
// Documentation: RISC-V System on Chip Design Chapter 4 (Figure 4.4)
2021-01-15 04:37:51 +00:00
//
2023-01-11 23:15:08 +00:00
// A component of the CORE-V-WALLY configurable RISC-V project.
2021-01-15 04:37:51 +00:00
//
// Copyright (C) 2021-23 Harvey Mudd College & Oklahoma State University
2021-01-15 04:37:51 +00:00
//
// SPDX-License-Identifier: Apache-2.0 WITH SHL-2.1
2021-01-15 04:37:51 +00:00
//
// Licensed under the Solderpad Hardware License v 2.1 (the “License”); you may not use this file
// except in compliance with the License, or, at your option, the Apache License version 2.0. You
// may obtain a copy of the License at
2021-01-15 04:37:51 +00:00
//
// https://solderpad.org/licenses/SHL-2.1/
//
// Unless required by applicable law or agreed to in writing, any work distributed under the
// License is distributed on an “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND,
// either express or implied. See the License for the specific language governing permissions
// and limitations under the License.
////////////////////////////////////////////////////////////////////////////////////////////////
2021-01-15 04:37:51 +00:00
`include "wally-config.vh"
2021-01-15 04:37:51 +00:00
module alu #(parameter WIDTH=32) (
2023-01-17 14:02:26 +00:00
input logic [WIDTH-1:0] A, B, // Operands
input logic [2:0] ALUControl, // With Funct3, indicates operation to perform
input logic [2:0] ALUSelect, // ALU mux select signal
2023-02-17 16:22:13 +00:00
input logic [3:0] BSelect, // One-Hot encoding of if it's a ZBA_ZBB_ZBC_ZBS instruction
input logic [2:0] Funct3, // With ALUControl, indicates operation to perform NOTE: Change signal name to ALUSelect
2023-01-17 14:02:26 +00:00
output logic [WIDTH-1:0] Result, // ALU result
output logic [WIDTH-1:0] Sum); // Sum of operands
2021-01-15 04:37:51 +00:00
2023-02-12 05:22:33 +00:00
// CondInvB = ~B when subtracting, B otherwise. Shift = shift result. SLT/U = result of a slt/u instruction.
2023-01-17 14:02:26 +00:00
// FullResult = ALU result before adjusting for a RV64 w-suffix instruction.
logic [WIDTH-1:0] CondInvB, Shift, SLT, SLTU, FullResult,ALUResult, ZBCResult; // Intermediate results
logic [WIDTH-1:0] MaskB; // BitMask of B
logic [WIDTH-1:0] CondMaskB; // Result of B mask select mux
logic [WIDTH-1:0] CondShiftA; // Result of A shifted select mux
logic [WIDTH-1:0] CondZextA; // Result of Zero Extend A select mux
logic Carry, Neg; // Flags: carry out, negative
logic LT, LTU; // Less than, Less than unsigned
logic W64; // RV64 W-type instruction
logic SubArith; // Performing subtraction or arithmetic right shift
logic ALUOp; // 0 for address generation addition or 1 for regular ALU ops
logic Asign, Bsign; // Sign bits of A, B
logic Rotate;
2021-01-15 04:37:51 +00:00
if (`ZBS_SUPPORTED) begin: zbsdec
decoder #($clog2(WIDTH)) maskgen (B[$clog2(WIDTH)-1:0], MaskB);
assign CondMaskB = (BSelect[0]) ? MaskB : B;
end else assign CondMaskB = B;
if (`ZBA_SUPPORTED) begin: zbamuxes
// Zero Extend Mux
if (WIDTH == 64) begin
assign CondZextA = (BSelect[3] & (W64 | Funct3[0])) ? {{(32){1'b0}}, A[31:0]} : A; //NOTE: do we move this mux select logic into the Decode Stage?
end else assign CondZextA = A;
// Pre-Shift Mux
always_comb
case (Funct3[2:1] & {2{BSelect[3]}})
2'b00: CondShiftA = CondZextA;
2'b01: CondShiftA = {CondZextA[WIDTH-2:0],{1'b0}}; // sh1add
2'b10: CondShiftA = {CondZextA[WIDTH-3:0],{2'b00}}; // sh2add
2'b11: CondShiftA = {CondZextA[WIDTH-4:0],{3'b000}}; // sh3add
endcase
end else assign CondShiftA = A;
2023-02-18 05:58:49 +00:00
if (`ZBB_SUPPORTED) begin: rotatelogic
assign Rotate = BSelect[2] & (ALUSelect == 3'b001); //NOTE: Do we want to move this logic into the Decode Stage?
end else assign Rotate = 1'b0;
2023-01-17 14:02:26 +00:00
// Extract control signals from ALUControl.
2021-12-08 20:33:53 +00:00
assign {W64, SubArith, ALUOp} = ALUControl;
2021-12-14 19:15:47 +00:00
2023-01-17 14:02:26 +00:00
// Addition
assign CondInvB = SubArith ? ~CondMaskB : CondMaskB;
assign {Carry, Sum} = CondShiftA + CondInvB + {{(WIDTH-1){1'b0}}, SubArith};
2021-01-15 04:37:51 +00:00
2021-12-14 19:15:47 +00:00
// Shifts
2023-02-18 05:58:49 +00:00
shifter sh(.A, .Amt(B[`LOG_XLEN-1:0]), .Right(Funct3[2]), .Arith(SubArith), .W64, .Y(Shift), .Rotate(Rotate));
2021-12-18 18:25:40 +00:00
2023-01-17 14:02:26 +00:00
// Condition code flags are based on subtraction output Sum = A-B.
2021-12-19 05:26:00 +00:00
// Overflow occurs when the numbers being subtracted have the opposite sign
2023-01-17 14:02:26 +00:00
// and the result has the opposite sign of A.
// LT is simplified from Overflow = Asign & Bsign & Asign & Neg; LT = Neg ^ Overflow
2021-12-14 19:15:47 +00:00
assign Neg = Sum[WIDTH-1];
2022-04-17 16:49:51 +00:00
assign Asign = A[WIDTH-1];
assign Bsign = B[WIDTH-1];
2023-01-17 14:02:26 +00:00
assign LT = Asign & ~Bsign | Asign & Neg | ~Bsign & Neg;
2021-12-14 19:15:47 +00:00
assign LTU = ~Carry;
2021-12-08 20:33:53 +00:00
2021-12-14 19:15:47 +00:00
// SLT
assign SLT = {{(WIDTH-1){1'b0}}, LT};
assign SLTU = {{(WIDTH-1){1'b0}}, LTU};
2021-01-15 04:37:51 +00:00
2021-12-14 19:15:47 +00:00
// Select appropriate ALU Result
if (`ZBS_SUPPORTED) begin
always_comb
if (~ALUOp) FullResult = Sum; // Always add for ALUOp = 0 (address generation)
else casez (ALUSelect) // Otherwise check Funct3 NOTE: change signal name to ALUSelect
3'b000: FullResult = Sum; // add or sub
3'b001: FullResult = Shift; // sll, sra, or srl
3'b010: FullResult = SLT; // slt
3'b011: FullResult = SLTU; // sltu
3'b100: FullResult = A ^ CondMaskB; // xor, binv
3'b110: FullResult = A | CondMaskB; // or, bset
3'b111: FullResult = A & CondInvB; // and, bclr
3'b101: FullResult = {{(WIDTH-1){1'b0}},{|(A & CondMaskB)}};// bext
endcase
end
else begin
always_comb
if (~ALUOp) FullResult = Sum; // Always add for ALUOp = 0 (address generation)
else casez (ALUSelect) // Otherwise check Funct3 NOTE: change signal name to ALUSelect
3'b000: FullResult = Sum; // add or sub
3'b?01: FullResult = Shift; // sll, sra, or srl
3'b010: FullResult = SLT; // slt
3'b011: FullResult = SLTU; // sltu
3'b100: FullResult = A ^ B; // xor
3'b110: FullResult = A | B; // or
3'b111: FullResult = A & B; // and
endcase
end
2021-12-18 17:27:25 +00:00
2023-01-17 14:02:26 +00:00
// Support RV64I W-type addw/subw/addiw/shifts that discard upper 32 bits and sign-extend 32-bit result to 64 bits
2023-02-15 17:13:10 +00:00
if (WIDTH == 64) assign ALUResult = W64 ? {{32{FullResult[31]}}, FullResult[31:0]} : FullResult;
else assign ALUResult = FullResult;
2023-02-16 01:42:32 +00:00
//NOTE: This looks good and can be merged.
2023-02-16 01:39:37 +00:00
if (`ZBC_SUPPORTED) begin: zbc
2023-02-15 17:35:07 +00:00
zbc #(WIDTH) ZBC(.A(A), .B(B), .Funct3(Funct3), .ZBCResult(ZBCResult));
2023-02-16 01:39:37 +00:00
end else assign ZBCResult = 0;
// Final Result B instruction select mux
if (`ZBC_SUPPORTED | `ZBS_SUPPORTED) begin : zbdecoder
2023-02-15 17:35:07 +00:00
always_comb
case (BSelect)
//ZBA_ZBB_ZBC_ZBS
4'b0001: Result = FullResult;
4'b0010: Result = ZBCResult;
4'b1000: Result = FullResult; // NOTE: We don't use ALUResult because ZBA instructions don't sign extend the MSB of the right-hand word.
default: Result = ALUResult;
2023-02-15 17:35:07 +00:00
endcase
2023-02-15 17:13:10 +00:00
end else assign Result = ALUResult;
2023-02-12 05:22:33 +00:00
endmodule