Moved getenv/getenvval declaration to config-shared so lint and regression both run

This commit is contained in:
David Harris 2024-04-20 17:19:42 -07:00
parent 571b67f565
commit 338f37b570
3 changed files with 6 additions and 11 deletions

View File

@ -129,3 +129,9 @@ localparam CORRSHIFTSZ = NORMSHIFTSZ-2; // Drop lead
/* verilator lint_off STMTDLY */
/* verilator lint_off ASSIGNDLY */
/* verilator lint_off PINCONNECTEMPTY */
`ifdef VERILATOR
import "DPI-C" function string getenvval(input string env_name);
`else
import "DPI-C" function string getenv(input string env_name);
`endif

View File

@ -26,12 +26,6 @@
// This model actually works correctly with vivado.
`ifdef VERILATOR
import "DPI-C" function string getenvval(input string env_name);
`else
import "DPI-C" function string getenv(input string env_name);
`endif
module rom1p1r #(parameter ADDR_WIDTH = 8, DATA_WIDTH = 32, PRELOAD_ENABLED = 0)
(input logic clk,
input logic ce,

View File

@ -34,11 +34,6 @@
`endif
import cvw::*;
`ifdef VERILATOR
import "DPI-C" function string getenvval(input string env_name);
`else
import "DPI-C" function string getenv(input string env_name);
`endif
module testbench;
/* verilator lint_off WIDTHTRUNC */