From 338f37b5704268403356dfdee4dfb406a2c5295e Mon Sep 17 00:00:00 2001 From: David Harris Date: Sat, 20 Apr 2024 17:19:42 -0700 Subject: [PATCH] Moved getenv/getenvval declaration to config-shared so lint and regression both run --- config/shared/config-shared.vh | 6 ++++++ src/generic/mem/rom1p1r.sv | 6 ------ testbench/testbench.sv | 5 ----- 3 files changed, 6 insertions(+), 11 deletions(-) diff --git a/config/shared/config-shared.vh b/config/shared/config-shared.vh index 25d0d8c65..3c316958d 100644 --- a/config/shared/config-shared.vh +++ b/config/shared/config-shared.vh @@ -129,3 +129,9 @@ localparam CORRSHIFTSZ = NORMSHIFTSZ-2; // Drop lead /* verilator lint_off STMTDLY */ /* verilator lint_off ASSIGNDLY */ /* verilator lint_off PINCONNECTEMPTY */ + +`ifdef VERILATOR +import "DPI-C" function string getenvval(input string env_name); +`else +import "DPI-C" function string getenv(input string env_name); +`endif \ No newline at end of file diff --git a/src/generic/mem/rom1p1r.sv b/src/generic/mem/rom1p1r.sv index 5bb82f642..7a53c529e 100644 --- a/src/generic/mem/rom1p1r.sv +++ b/src/generic/mem/rom1p1r.sv @@ -26,12 +26,6 @@ // This model actually works correctly with vivado. -`ifdef VERILATOR -import "DPI-C" function string getenvval(input string env_name); -`else -import "DPI-C" function string getenv(input string env_name); -`endif - module rom1p1r #(parameter ADDR_WIDTH = 8, DATA_WIDTH = 32, PRELOAD_ENABLED = 0) (input logic clk, input logic ce, diff --git a/testbench/testbench.sv b/testbench/testbench.sv index b74827165..190ea64b4 100644 --- a/testbench/testbench.sv +++ b/testbench/testbench.sv @@ -34,11 +34,6 @@ `endif import cvw::*; -`ifdef VERILATOR -import "DPI-C" function string getenvval(input string env_name); -`else -import "DPI-C" function string getenv(input string env_name); -`endif module testbench; /* verilator lint_off WIDTHTRUNC */