2021-01-15 04:37:51 +00:00
|
|
|
///////////////////////////////////////////
|
2021-02-15 15:10:50 +00:00
|
|
|
// privileged.sv
|
2021-01-15 04:37:51 +00:00
|
|
|
//
|
|
|
|
// Written: David_Harris@hmc.edu 5 January 2021
|
|
|
|
// Modified:
|
|
|
|
//
|
|
|
|
// Purpose: Implements the CSRs, Exceptions, and Privileged operations
|
|
|
|
// See RISC-V Privileged Mode Specification 20190608
|
|
|
|
//
|
|
|
|
// A component of the Wally configurable RISC-V project.
|
|
|
|
//
|
|
|
|
// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University
|
|
|
|
//
|
|
|
|
// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation
|
|
|
|
// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy,
|
|
|
|
// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software
|
|
|
|
// is furnished to do so, subject to the following conditions:
|
|
|
|
//
|
|
|
|
// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software.
|
|
|
|
//
|
|
|
|
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
|
|
|
|
// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS
|
|
|
|
// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT
|
2021-12-13 08:34:43 +00:00
|
|
|
// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
|
|
|
|
// SOFTWARE.
|
2021-01-15 04:37:51 +00:00
|
|
|
///////////////////////////////////////////
|
|
|
|
|
2021-01-23 15:48:12 +00:00
|
|
|
`include "wally-config.vh"
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2021-06-04 15:59:14 +00:00
|
|
|
// *** remove signals not needed by PMA/PMP now that it is moved
|
2021-01-23 15:48:12 +00:00
|
|
|
module privileged (
|
2021-02-02 04:44:41 +00:00
|
|
|
input logic clk, reset,
|
2021-06-08 16:41:25 +00:00
|
|
|
input logic FlushD, FlushE, FlushM, FlushW, StallD, StallE, StallM, StallW,
|
2022-01-18 23:29:21 +00:00
|
|
|
(* mark_debug = "true" *) input logic CSRReadM, CSRWriteM,
|
2021-01-23 15:48:12 +00:00
|
|
|
input logic [`XLEN-1:0] SrcAM,
|
2021-10-23 17:29:52 +00:00
|
|
|
input logic [`XLEN-1:0] PCM,
|
|
|
|
input logic [31:0] InstrM,
|
2021-06-24 21:39:37 +00:00
|
|
|
output logic [`XLEN-1:0] CSRReadValW,
|
2021-01-23 15:48:12 +00:00
|
|
|
output logic [`XLEN-1:0] PrivilegedNextPCM,
|
2021-07-17 19:22:24 +00:00
|
|
|
output logic RetM, TrapM,
|
2021-04-01 19:55:05 +00:00
|
|
|
output logic ITLBFlushF, DTLBFlushM,
|
2022-01-08 20:21:58 +00:00
|
|
|
input logic InstrValidM, CommittedM, DivE,
|
2021-07-13 17:20:30 +00:00
|
|
|
input logic FRegWriteM, LoadStallD,
|
2021-03-31 16:54:02 +00:00
|
|
|
input logic BPPredDirWrongM,
|
|
|
|
input logic BTBPredPCWrongM,
|
|
|
|
input logic RASPredPCWrongM,
|
|
|
|
input logic BPPredClassNonCFIWrongM,
|
|
|
|
input logic [4:0] InstrClassM,
|
2021-07-20 03:12:20 +00:00
|
|
|
input logic DCacheMiss,
|
|
|
|
input logic DCacheAccess,
|
2022-01-10 04:56:56 +00:00
|
|
|
input logic ICacheMiss,
|
|
|
|
input logic ICacheAccess,
|
2021-02-02 04:44:41 +00:00
|
|
|
input logic PrivilegedM,
|
2022-01-27 23:11:27 +00:00
|
|
|
input logic InstrPageFaultF, LoadPageFaultM, StoreAmoPageFaultM,
|
2021-05-01 02:18:01 +00:00
|
|
|
input logic InstrMisalignedFaultM, IllegalIEUInstrFaultD, IllegalFPUInstrD,
|
2021-04-22 19:34:02 +00:00
|
|
|
input logic LoadMisalignedFaultM,
|
2022-01-27 23:11:27 +00:00
|
|
|
input logic StoreAmoMisalignedFaultM,
|
2022-03-30 20:22:41 +00:00
|
|
|
input logic TimerIntM, MExtIntM, SExtIntM, SwIntM,
|
2021-12-31 06:40:21 +00:00
|
|
|
input logic [63:0] MTIME_CLINT,
|
2021-12-19 20:00:30 +00:00
|
|
|
input logic [`XLEN-1:0] InstrMisalignedAdrM, IEUAdrM,
|
2021-02-02 04:44:41 +00:00
|
|
|
input logic [4:0] SetFflagsM,
|
2021-06-04 21:05:07 +00:00
|
|
|
|
|
|
|
// Trap signals from pmp/pma in mmu
|
|
|
|
// *** do these need to be split up into one for dmem and one for ifu?
|
|
|
|
// instead, could we only care about the instr and F pins that come from ifu and only care about the load/store and m pins that come from dmem?
|
|
|
|
|
2021-07-06 18:43:53 +00:00
|
|
|
input logic InstrAccessFaultF,
|
|
|
|
input logic LoadAccessFaultM,
|
2022-01-27 23:11:27 +00:00
|
|
|
input logic StoreAmoAccessFaultM,
|
2021-06-04 21:05:07 +00:00
|
|
|
|
2021-07-14 20:00:33 +00:00
|
|
|
output logic ExceptionM,
|
2021-07-06 18:43:53 +00:00
|
|
|
output logic IllegalFPUInstrE,
|
2021-03-18 18:35:46 +00:00
|
|
|
output logic [1:0] PrivilegeModeW,
|
2021-03-05 06:22:53 +00:00
|
|
|
output logic [`XLEN-1:0] SATP_REGW,
|
2021-07-04 17:20:29 +00:00
|
|
|
output logic STATUS_MXR, STATUS_SUM, STATUS_MPRV,
|
|
|
|
output logic [1:0] STATUS_MPP,
|
2021-07-04 15:39:59 +00:00
|
|
|
output var logic [7:0] PMPCFG_ARRAY_REGW[`PMP_ENTRIES-1:0],
|
2021-06-21 05:17:08 +00:00
|
|
|
output var logic [`XLEN-1:0] PMPADDR_ARRAY_REGW [`PMP_ENTRIES-1:0],
|
2021-08-23 20:43:43 +00:00
|
|
|
output logic [2:0] FRM_REGW,
|
|
|
|
output logic BreakpointFaultM, EcallFaultM
|
|
|
|
|
2021-01-15 04:37:51 +00:00
|
|
|
);
|
|
|
|
|
2021-03-18 18:35:46 +00:00
|
|
|
logic [1:0] NextPrivilegeModeM;
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2021-01-23 15:48:12 +00:00
|
|
|
logic [`XLEN-1:0] CauseM, NextFaultMtvalM;
|
2022-02-15 19:59:29 +00:00
|
|
|
logic [`XLEN-1:0] MEPC_REGW, SEPC_REGW, STVEC_REGW, MTVEC_REGW;
|
2022-03-30 20:22:41 +00:00
|
|
|
logic [`XLEN-1:0] MEDELEG_REGW;
|
|
|
|
logic [11:0] MIDELEG_REGW;
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2022-02-15 19:48:49 +00:00
|
|
|
logic sretM, mretM, ecallM, ebreakM, wfiM, sfencevmaM;
|
2021-01-27 12:46:52 +00:00
|
|
|
logic IllegalCSRAccessM;
|
2021-04-13 17:39:22 +00:00
|
|
|
logic IllegalIEUInstrFaultE, IllegalIEUInstrFaultM;
|
2021-05-18 14:42:51 +00:00
|
|
|
logic IllegalFPUInstrM;
|
2022-01-27 23:11:27 +00:00
|
|
|
logic InstrPageFaultD, InstrPageFaultE, InstrPageFaultM;
|
2021-07-06 18:43:53 +00:00
|
|
|
logic InstrAccessFaultD, InstrAccessFaultE, InstrAccessFaultM;
|
2021-07-06 05:32:05 +00:00
|
|
|
logic IllegalInstrFaultM, TrappedSRETM;
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2021-04-29 19:21:08 +00:00
|
|
|
logic MTrapM, STrapM, UTrapM;
|
2021-12-17 20:40:25 +00:00
|
|
|
(* mark_debug = "true" *) logic InterruptM;
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2021-07-06 05:32:05 +00:00
|
|
|
logic STATUS_SPP, STATUS_TSR, STATUS_TW;
|
2021-01-15 04:37:51 +00:00
|
|
|
logic STATUS_MIE, STATUS_SIE;
|
2021-06-16 21:37:08 +00:00
|
|
|
logic [11:0] MIP_REGW, MIE_REGW, SIP_REGW, SIE_REGW;
|
2022-02-15 19:20:41 +00:00
|
|
|
logic md;
|
2021-07-21 21:43:36 +00:00
|
|
|
logic StallMQ;
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2021-04-29 06:20:39 +00:00
|
|
|
|
2021-01-29 04:21:12 +00:00
|
|
|
///////////////////////////////////////////
|
2021-01-15 04:37:51 +00:00
|
|
|
// track the current privilege level
|
2021-01-29 04:21:12 +00:00
|
|
|
///////////////////////////////////////////
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2021-01-29 04:21:12 +00:00
|
|
|
// get bits of DELEG registers based on CAUSE
|
2022-03-30 20:22:41 +00:00
|
|
|
assign md = CauseM[`XLEN-1] ? MIDELEG_REGW[CauseM[3:0]] : MEDELEG_REGW[CauseM[`LOG_XLEN-1:0]];
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2021-01-29 04:21:12 +00:00
|
|
|
// PrivilegeMode FSM
|
2021-07-06 05:32:05 +00:00
|
|
|
always_comb begin
|
|
|
|
TrappedSRETM = 0;
|
2022-02-15 19:20:41 +00:00
|
|
|
if (mretM) NextPrivilegeModeM = STATUS_MPP;
|
2021-07-06 05:32:05 +00:00
|
|
|
else if (sretM)
|
|
|
|
if (STATUS_TSR & PrivilegeModeW == `S_MODE) begin
|
|
|
|
TrappedSRETM = 1;
|
2022-02-15 19:20:41 +00:00
|
|
|
NextPrivilegeModeM = PrivilegeModeW;
|
|
|
|
end else NextPrivilegeModeM = {1'b0, STATUS_SPP};
|
2021-01-29 04:21:12 +00:00
|
|
|
else if (TrapM) begin // Change privilege based on DELEG registers (see 3.1.8)
|
2022-02-15 19:20:41 +00:00
|
|
|
if (`S_SUPPORTED & md & (PrivilegeModeW == `U_MODE | PrivilegeModeW == `S_MODE))
|
|
|
|
NextPrivilegeModeM = `S_MODE;
|
|
|
|
else NextPrivilegeModeM = `M_MODE;
|
|
|
|
end else NextPrivilegeModeM = PrivilegeModeW;
|
2021-07-06 05:32:05 +00:00
|
|
|
end
|
2021-01-29 04:21:12 +00:00
|
|
|
|
2021-02-15 15:10:50 +00:00
|
|
|
flopenl #(2) privmodereg(clk, reset, ~StallW, NextPrivilegeModeM, `M_MODE, PrivilegeModeW);
|
2021-01-29 04:21:12 +00:00
|
|
|
|
2022-02-15 19:20:41 +00:00
|
|
|
// *** WFI could be implemented here and depends on TW
|
|
|
|
|
2021-01-29 04:21:12 +00:00
|
|
|
///////////////////////////////////////////
|
|
|
|
// decode privileged instructions
|
2021-12-13 08:34:43 +00:00
|
|
|
///////////////////////////////////////////
|
2021-12-21 05:08:34 +00:00
|
|
|
|
|
|
|
privdec pmd(.InstrM(InstrM[31:20]),
|
2021-12-13 08:34:43 +00:00
|
|
|
.PrivilegedM, .IllegalIEUInstrFaultM, .IllegalCSRAccessM, .IllegalFPUInstrM, .TrappedSRETM,
|
|
|
|
.PrivilegeModeW, .STATUS_TSR, .IllegalInstrFaultM,
|
2022-02-15 19:48:49 +00:00
|
|
|
.sretM, .mretM, .ecallM, .ebreakM, .wfiM, .sfencevmaM);
|
2021-01-29 04:21:12 +00:00
|
|
|
|
|
|
|
///////////////////////////////////////////
|
|
|
|
// Control and Status Registers
|
|
|
|
///////////////////////////////////////////
|
2021-12-13 08:34:43 +00:00
|
|
|
csr csr(.clk, .reset,
|
|
|
|
.FlushE, .FlushM, .FlushW,
|
|
|
|
.StallE, .StallM, .StallW,
|
|
|
|
.InstrM, .PCM, .SrcAM,
|
2022-02-15 19:48:49 +00:00
|
|
|
.CSRReadM, .CSRWriteM, .TrapM, .MTrapM, .STrapM, .UTrapM, .mretM, .sretM,
|
2022-03-30 20:22:41 +00:00
|
|
|
.TimerIntM, .MExtIntM, .SExtIntM, .SwIntM,
|
2021-12-31 06:40:21 +00:00
|
|
|
.MTIME_CLINT,
|
2021-12-13 08:34:43 +00:00
|
|
|
.InstrValidM, .FRegWriteM, .LoadStallD,
|
|
|
|
.BPPredDirWrongM, .BTBPredPCWrongM, .RASPredPCWrongM,
|
2022-01-10 04:56:56 +00:00
|
|
|
.BPPredClassNonCFIWrongM, .InstrClassM, .DCacheMiss, .DCacheAccess, .ICacheMiss, .ICacheAccess,
|
2021-12-13 08:34:43 +00:00
|
|
|
.NextPrivilegeModeM, .PrivilegeModeW,
|
|
|
|
.CauseM, .NextFaultMtvalM, .STATUS_MPP,
|
|
|
|
.STATUS_SPP, .STATUS_TSR,
|
2022-02-15 19:59:29 +00:00
|
|
|
.MEPC_REGW, .SEPC_REGW, .STVEC_REGW, .MTVEC_REGW,
|
2022-03-30 20:22:41 +00:00
|
|
|
.MEDELEG_REGW,
|
2021-12-13 08:34:43 +00:00
|
|
|
.SATP_REGW,
|
2022-03-30 20:22:41 +00:00
|
|
|
.MIP_REGW, .MIE_REGW, .SIP_REGW, .SIE_REGW, .MIDELEG_REGW,
|
2021-12-13 08:34:43 +00:00
|
|
|
.STATUS_MIE, .STATUS_SIE,
|
|
|
|
.STATUS_MXR, .STATUS_SUM, .STATUS_MPRV, .STATUS_TW,
|
|
|
|
.PMPCFG_ARRAY_REGW,
|
|
|
|
.PMPADDR_ARRAY_REGW,
|
|
|
|
.SetFflagsM,
|
|
|
|
.FRM_REGW,
|
|
|
|
.CSRReadValW,
|
|
|
|
.IllegalCSRAccessM);
|
2021-01-29 04:21:12 +00:00
|
|
|
|
|
|
|
///////////////////////////////////////////
|
2021-01-15 04:37:51 +00:00
|
|
|
// Extract exceptions by name and handle them
|
2021-01-29 04:21:12 +00:00
|
|
|
///////////////////////////////////////////
|
|
|
|
|
2021-01-15 04:37:51 +00:00
|
|
|
assign BreakpointFaultM = ebreakM; // could have other causes too
|
|
|
|
assign EcallFaultM = ecallM;
|
2021-07-21 21:43:36 +00:00
|
|
|
|
|
|
|
flopr #(1) StallMReg(.clk, .reset, .d(StallM), .q(StallMQ));
|
|
|
|
assign ITLBFlushF = sfencevmaM & ~StallMQ;
|
2021-04-01 19:55:05 +00:00
|
|
|
assign DTLBFlushM = sfencevmaM;
|
2021-07-21 21:43:36 +00:00
|
|
|
// sets ITLBFlush to pulse for one cycle of the sfence.vma instruction
|
|
|
|
// In this instr we want to flush the tlb and then do a pagetable walk to update the itlb and continue the program.
|
|
|
|
// But we're still in the stalled sfence instruction, so if itlbflushf == sfencevmaM, tlbflush would never drop and
|
|
|
|
// the tlbwrite would never take place after the pagetable walk. by adding in ~StallMQ, we are able to drop itlbflush
|
|
|
|
// after a cycle AND pulse it for another cycle on any further back-to-back sfences.
|
|
|
|
|
2021-04-21 23:58:36 +00:00
|
|
|
|
|
|
|
// A page fault might occur because of insufficient privilege during a TLB
|
|
|
|
// lookup or a improperly formatted page table during walking
|
2021-07-06 18:43:53 +00:00
|
|
|
|
2021-01-27 12:46:52 +00:00
|
|
|
// pipeline fault signals
|
2021-04-13 17:39:22 +00:00
|
|
|
flopenrc #(2) faultregD(clk, reset, FlushD, ~StallD,
|
|
|
|
{InstrPageFaultF, InstrAccessFaultF},
|
|
|
|
{InstrPageFaultD, InstrAccessFaultD});
|
2021-05-01 02:18:01 +00:00
|
|
|
flopenrc #(4) faultregE(clk, reset, FlushE, ~StallE,
|
|
|
|
{IllegalIEUInstrFaultD, InstrPageFaultD, InstrAccessFaultD, IllegalFPUInstrD}, // ** vs IllegalInstrFaultInD
|
|
|
|
{IllegalIEUInstrFaultE, InstrPageFaultE, InstrAccessFaultE, IllegalFPUInstrE});
|
|
|
|
flopenrc #(4) faultregM(clk, reset, FlushM, ~StallM,
|
|
|
|
{IllegalIEUInstrFaultE, InstrPageFaultE, InstrAccessFaultE, IllegalFPUInstrE},
|
|
|
|
{IllegalIEUInstrFaultM, InstrPageFaultM, InstrAccessFaultM, IllegalFPUInstrM});
|
2021-12-13 08:34:43 +00:00
|
|
|
// *** it should be possible to combine some of these faults earlier to reduce module boundary crossings and save flops dh 5 july 2021
|
|
|
|
trap trap(.clk, .reset,
|
|
|
|
.InstrMisalignedFaultM, .InstrAccessFaultM, .IllegalInstrFaultM,
|
2022-01-27 23:11:27 +00:00
|
|
|
.BreakpointFaultM, .LoadMisalignedFaultM, .StoreAmoMisalignedFaultM,
|
|
|
|
.LoadAccessFaultM, .StoreAmoAccessFaultM, .EcallFaultM, .InstrPageFaultM,
|
|
|
|
.LoadPageFaultM, .StoreAmoPageFaultM,
|
2022-02-15 19:48:49 +00:00
|
|
|
.mretM, .sretM,
|
2021-12-13 08:34:43 +00:00
|
|
|
.PrivilegeModeW, .NextPrivilegeModeM,
|
2022-02-15 19:59:29 +00:00
|
|
|
.MEPC_REGW, .SEPC_REGW, .STVEC_REGW, .MTVEC_REGW,
|
2022-03-30 20:22:41 +00:00
|
|
|
.MIP_REGW, .MIE_REGW, .SIP_REGW, .SIE_REGW, .MIDELEG_REGW,
|
2021-12-13 08:34:43 +00:00
|
|
|
.STATUS_MIE, .STATUS_SIE,
|
|
|
|
.PCM,
|
2021-12-19 20:00:30 +00:00
|
|
|
.InstrMisalignedAdrM, .IEUAdrM,
|
2021-12-13 08:34:43 +00:00
|
|
|
.InstrM,
|
2022-01-08 20:21:58 +00:00
|
|
|
.InstrValidM, .CommittedM, .DivE,
|
2021-12-13 08:34:43 +00:00
|
|
|
.TrapM, .MTrapM, .STrapM, .UTrapM, .RetM,
|
|
|
|
.InterruptM,
|
|
|
|
.ExceptionM,
|
|
|
|
.PrivilegedNextPCM, .CauseM, .NextFaultMtvalM);
|
2021-01-15 04:37:51 +00:00
|
|
|
endmodule
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2021-12-13 08:31:51 +00:00
|
|
|
|