forked from Github_Repos/cvw
		
	Temporarily reverted my last few commits
This commit is contained in:
		
							parent
							
								
									7446a7b479
								
							
						
					
					
						commit
						4fd0ecff69
					
				@ -30,7 +30,7 @@ vlib work
 | 
			
		||||
# default to config/rv64ic, but allow this to be overridden at the command line.  For example:
 | 
			
		||||
# do wally-pipelined.do ../config/rv32ic
 | 
			
		||||
switch $argc {
 | 
			
		||||
    0 {vlog +incdir+../config/rv64ic ../testbench/testbench-imperas.sv ../testbench/function_radix.sv ../src/*/*.sv -suppress 2583}
 | 
			
		||||
    0 {vlog +incdir+../config/rv64ic ../testbench/testbench-imperas.sv ../src/*/*.sv -suppress 2583}
 | 
			
		||||
    1 {vlog +incdir+$1 ../testbench/testbench-imperas.sv ../testbench/function_radix.sv ../src/*/*.sv -suppress 2583}
 | 
			
		||||
}
 | 
			
		||||
# start and run simulation
 | 
			
		||||
 | 
			
		||||
@ -34,7 +34,6 @@ module testbench();
 | 
			
		||||
  string InstrFName, InstrDName, InstrEName, InstrMName, InstrWName;
 | 
			
		||||
  logic [`XLEN-1:0] meminit;
 | 
			
		||||
  string tests[];
 | 
			
		||||
  string ProgramAddrMapFile, ProgramLabelMapFile;
 | 
			
		||||
  logic [`AHBW-1:0] HRDATAEXT;
 | 
			
		||||
  logic             HREADYEXT, HRESPEXT;
 | 
			
		||||
  logic [31:0]      HADDR;
 | 
			
		||||
@ -77,8 +76,8 @@ module testbench();
 | 
			
		||||
      $readmemh(memfilename, dut.uncore.dtim.RAM);
 | 
			
		||||
      for(j=2371; j < 65535; j = j+1)
 | 
			
		||||
        dut.uncore.dtim.RAM[j] = 64'b0;
 | 
			
		||||
      ProgramAddrMapFile = "../../imperas-riscv-tests/riscv-ovpsim-plus/examples/CoreMark/coremark.RV64I.bare.elf.objdump.addr";
 | 
			
		||||
      ProgramAddrMapFile = "../../imperas-riscv-tests/riscv-ovpsim-plus/examples/CoreMark/coremark.RV64I.bare.elf.objdump.lab";
 | 
			
		||||
//      ProgramAddrMapFile = "../../imperas-riscv-tests/riscv-ovpsim-plus/examples/CoreMark/coremark.RV64I.bare.elf.objdump.addr";
 | 
			
		||||
//      ProgramAddrMapFile = "../../imperas-riscv-tests/riscv-ovpsim-plus/examples/CoreMark/coremark.RV64I.bare.elf.objdump.lab";
 | 
			
		||||
      reset = 1; # 22; reset = 0;
 | 
			
		||||
    end
 | 
			
		||||
  // generate clock to sequence tests
 | 
			
		||||
@ -95,12 +94,6 @@ module testbench();
 | 
			
		||||
      end
 | 
			
		||||
    end
 | 
			
		||||
 | 
			
		||||
  if (1 == 1) begin : functionRadix
 | 
			
		||||
    function_radix function_radix(.reset(reset),
 | 
			
		||||
                  .ProgramAddrMapFile(ProgramAddrMapFile),
 | 
			
		||||
                  .ProgramLabelMapFile(ProgramLabelMapFile));
 | 
			
		||||
  end
 | 
			
		||||
 | 
			
		||||
  initial begin
 | 
			
		||||
    $readmemb(`TWO_BIT_PRELOAD, dut.hart.ifu.bpred.DirPredictor.memory.memory);
 | 
			
		||||
    $readmemb(`BTB_PRELOAD, dut.hart.ifu.bpred.TargetPredictor.memory.memory);
 | 
			
		||||
 | 
			
		||||
		Loading…
	
		Reference in New Issue
	
	Block a user