forked from Github_Repos/cvw
		
	Merge branch 'mmu' of github.com:davidharrishmc/riscv-wally into mmu
This commit is contained in:
		
						commit
						38a0199260
					
				@ -26,7 +26,7 @@ vlib work-busybear
 | 
			
		||||
# suppress spurious warnngs about 
 | 
			
		||||
# "Extra checking for conflicts with always_comb done at vopt time"
 | 
			
		||||
# because vsim will run vopt
 | 
			
		||||
vlog +incdir+../config/busybear ../testbench/*.sv ../src/*/*.sv -suppress 2583
 | 
			
		||||
vlog +incdir+../config/busybear ../testbench/testbench-busybear.sv ../src/*/*.sv -suppress 2583
 | 
			
		||||
 | 
			
		||||
 | 
			
		||||
# start and run simulation
 | 
			
		||||
 | 
			
		||||
@ -26,7 +26,7 @@ vlib work-busybear
 | 
			
		||||
# suppress spurious warnngs about 
 | 
			
		||||
# "Extra checking for conflicts with always_comb done at vopt time"
 | 
			
		||||
# because vsim will run vopt
 | 
			
		||||
vlog +incdir+../config/busybear ../testbench/*.sv ../src/*/*.sv -suppress 2583
 | 
			
		||||
vlog +incdir+../config/busybear ../testbench/testbench-busybear.sv ../src/*/*.sv -suppress 2583
 | 
			
		||||
 | 
			
		||||
 | 
			
		||||
# start and run simulation
 | 
			
		||||
 | 
			
		||||
@ -145,7 +145,7 @@ module testbench_busybear();
 | 
			
		||||
  integer regNumExpected;
 | 
			
		||||
  logic [`XLEN-1:0] PCW;
 | 
			
		||||
  
 | 
			
		||||
  flopenr #(`XLEN) PCWReg(clk, reset, ~StallW, dut.hart.ifu.PCM, PCW);
 | 
			
		||||
  flopenr #(`XLEN) PCWReg(clk, reset, ~dut.hart.ieu.dp.StallW, dut.hart.ifu.PCM, PCW);
 | 
			
		||||
 | 
			
		||||
  genvar i;
 | 
			
		||||
  generate
 | 
			
		||||
@ -310,6 +310,7 @@ module testbench_busybear();
 | 
			
		||||
  `define CSRM dut.hart.priv.csr.genblk1.csrm
 | 
			
		||||
  `define CSRS dut.hart.priv.csr.genblk1.csrs.genblk1
 | 
			
		||||
 | 
			
		||||
  /*
 | 
			
		||||
  //`CHECK_CSR(FCSR)
 | 
			
		||||
  `CHECK_CSR2(MCAUSE, `CSRM)
 | 
			
		||||
  `CHECK_CSR(MCOUNTEREN)
 | 
			
		||||
@ -335,6 +336,7 @@ module testbench_busybear();
 | 
			
		||||
  `CHECK_CSR(SSTATUS)
 | 
			
		||||
  `CHECK_CSR2(STVAL, `CSRS)
 | 
			
		||||
  `CHECK_CSR(STVEC)
 | 
			
		||||
  */
 | 
			
		||||
 | 
			
		||||
  initial begin //this is temporary until the bug can be fixed!!!
 | 
			
		||||
    #11130100;
 | 
			
		||||
@ -484,7 +486,6 @@ module testbench_busybear();
 | 
			
		||||
  // Track names of instructions
 | 
			
		||||
  string InstrFName, InstrDName, InstrEName, InstrMName, InstrWName;
 | 
			
		||||
  logic [31:0] InstrW;
 | 
			
		||||
  flopenr  #(32)   InstrWReg(clk, reset, ~dut.hart.ieu.dp.StallW, dut.hart.ifu.InstrM, InstrW);
 | 
			
		||||
  instrNameDecTB dec(dut.hart.ifu.ic.InstrF, InstrFName);
 | 
			
		||||
  instrTrackerTB it(clk, reset, dut.hart.ieu.dp.FlushE,
 | 
			
		||||
                dut.hart.ifu.InstrD, dut.hart.ifu.InstrE,
 | 
			
		||||
 | 
			
		||||
		Loading…
	
		Reference in New Issue
	
	Block a user