forked from Github_Repos/cvw
		
	Still not working with rv32ic.
This commit is contained in:
		
							parent
							
								
									bbf668e460
								
							
						
					
					
						commit
						32f86b1b6b
					
				| @ -40,7 +40,7 @@ module irom( | ||||
|   localparam ADDR_WDITH = $clog2(`UNCORE_RAM_RANGE/8); // *** this is the wrong size
 | ||||
|   localparam OFFSET = $clog2(`LLEN/8); | ||||
| 
 | ||||
|   brom1p1rw #(ADDR_WDITH, 32)  | ||||
|   brom1p1r #(ADDR_WDITH, 32)  | ||||
|     rom(.clk, .addr(Adr[ADDR_WDITH+OFFSET-1:OFFSET]), .dout(ReadData)); | ||||
| endmodule   | ||||
|    | ||||
|  | ||||
| @ -232,9 +232,9 @@ logic [3:0] dummy; | ||||
|         // force sdc timers
 | ||||
|         force dut.uncore.uncore.sdc.SDC.LimitTimers = 1; | ||||
|       end else begin | ||||
|         if (`IROM) $readmemh(memfilename, dut.core.ifu.irom.irom.ram.memory.RAM); | ||||
|         if (`IROM) $readmemh(memfilename, dut.core.ifu.irom.irom.rom.ROM); | ||||
|         else if (`BUS) $readmemh(memfilename, dut.uncore.uncore.ram.ram.memory.RAM); | ||||
|         if (`DMEM) $readmemh(memfilename, dut.core.lsu.dtim.dtim.ram.memory.RAM); | ||||
|         if (`DMEM) $readmemh(memfilename, dut.core.lsu.dtim.dtim.ram.RAM); | ||||
|       end | ||||
| 
 | ||||
|       if (riscofTest) begin | ||||
| @ -328,7 +328,7 @@ logic [3:0] dummy; | ||||
|           /* verilator lint_off INFINITELOOP */ | ||||
|           while (signature[i] !== 'bx) begin | ||||
|             logic [`XLEN-1:0] sig; | ||||
|             if (`DMEM) sig = dut.core.lsu.dtim.dtim.ram.memory.RAM[testadrNoBase+i]; | ||||
|             if (`DMEM) sig = dut.core.lsu.dtim.dtim.ram.RAM[testadrNoBase+i]; | ||||
|             else if (`UNCORE_RAM_SUPPORTED) sig = dut.uncore.uncore.ram.ram.memory.RAM[testadrNoBase+i]; | ||||
|             //$display("signature[%h] = %h sig = %h", i, signature[i], sig);
 | ||||
|             if (signature[i] !== sig & (signature[i] !== DCacheFlushFSM.ShadowRAM[testadr+i])) begin   | ||||
| @ -361,9 +361,9 @@ logic [3:0] dummy; | ||||
|             if (riscofTest) memfilename = {pathname, tests[test], "/ref/ref.elf.memfile"}; | ||||
|             else memfilename = {pathname, tests[test], ".elf.memfile"}; | ||||
|             //$readmemh(memfilename, dut.uncore.uncore.ram.ram.memory.RAM);
 | ||||
|             if (`IROM)               $readmemh(memfilename, dut.core.ifu.irom.irom.ram.memory.RAM); | ||||
|             if (`IROM)               $readmemh(memfilename, dut.core.ifu.irom.irom.rom.ROM); | ||||
|             else if (`UNCORE_RAM_SUPPORTED) $readmemh(memfilename, dut.uncore.uncore.ram.ram.memory.RAM); | ||||
|             if (`DMEM)               $readmemh(memfilename, dut.core.lsu.dtim.dtim.ram.memory.RAM); | ||||
|             if (`DMEM)               $readmemh(memfilename, dut.core.lsu.dtim.dtim.ram.RAM); | ||||
| 
 | ||||
|             if (riscofTest) begin | ||||
|               ProgramAddrMapFile = {pathname, tests[test], "/ref/ref.elf.objdump.addr"}; | ||||
|  | ||||
		Loading…
	
		Reference in New Issue
	
	Block a user