forked from Github_Repos/cvw
Fixed syntax errors in arty7 top level.
This commit is contained in:
parent
81fb076e9e
commit
2abd164d03
@ -33,8 +33,13 @@ read_verilog -sv [glob -type f ../src/sdc/*.sv]
|
|||||||
set_property include_dirs {../../config/fpga ../../config/shared} [current_fileset]
|
set_property include_dirs {../../config/fpga ../../config/shared} [current_fileset]
|
||||||
|
|
||||||
|
|
||||||
add_files -fileset constrs_1 -norecurse ../constraints/constraints-$boardSubName.xdc
|
if {$board=="ArtyA7"} {
|
||||||
set_property PROCESSING_ORDER NORMAL [get_files ../constraints/constraints-$boardSubName.xdc]
|
add_files -fileset constrs_1 -norecurse ../constraints/constraints-$board.xdc
|
||||||
|
set_property PROCESSING_ORDER NORMAL [get_files ../constraints/constraints-$board.xdc]
|
||||||
|
} else {
|
||||||
|
add_files -fileset constrs_1 -norecurse ../constraints/constraints-$boardSubName.xdc
|
||||||
|
set_property PROCESSING_ORDER NORMAL [get_files ../constraints/constraints-$boardSubName.xdc]
|
||||||
|
}
|
||||||
|
|
||||||
# define top level
|
# define top level
|
||||||
set_property top fpgaTop [current_fileset]
|
set_property top fpgaTop [current_fileset]
|
||||||
|
@ -405,7 +405,7 @@ module fpgaTop
|
|||||||
.ui_clk_sync_rst(ui_clk_sync_rst),
|
.ui_clk_sync_rst(ui_clk_sync_rst),
|
||||||
.aresetn(~reset),
|
.aresetn(~reset),
|
||||||
.sys_rst(reset),
|
.sys_rst(reset),
|
||||||
.mmcm_locked(mmcm_locked);
|
.mmcm_locked(mmcm_locked),
|
||||||
|
|
||||||
// *** What are these?
|
// *** What are these?
|
||||||
.app_sr_req(1'b0),
|
.app_sr_req(1'b0),
|
||||||
|
Loading…
Reference in New Issue
Block a user