forked from Github_Repos/cvw
add busybear boot files with git-lfs
This commit is contained in:
parent
0e3f013212
commit
284d583877
1
.gitattributes
vendored
Normal file
1
.gitattributes
vendored
Normal file
@ -0,0 +1 @@
|
||||
wally-pipelined/busybear_boot/* filter=lfs diff=lfs merge=lfs -text
|
3
wally-pipelined/busybear_boot/.gitattributes
vendored
Normal file
3
wally-pipelined/busybear_boot/.gitattributes
vendored
Normal file
@ -0,0 +1,3 @@
|
||||
version https://git-lfs.github.com/spec/v1
|
||||
oid sha256:98d37dc9827be44c4005ccdeffd016d65c9b6191d523a0c79ee01cff2d129cf6
|
||||
size 38
|
3
wally-pipelined/busybear_boot/bootmem.txt
Executable file
3
wally-pipelined/busybear_boot/bootmem.txt
Executable file
@ -0,0 +1,3 @@
|
||||
version https://git-lfs.github.com/spec/v1
|
||||
oid sha256:af285ccf2228e1091bba96386f3fa6bd1c4e7eaa57c05397913395dfa44d702e
|
||||
size 17408
|
3
wally-pipelined/busybear_boot/parsedCSRs.txt
Executable file
3
wally-pipelined/busybear_boot/parsedCSRs.txt
Executable file
@ -0,0 +1,3 @@
|
||||
version https://git-lfs.github.com/spec/v1
|
||||
oid sha256:01e38c32d43bd5dcad5819cd8c1b384c90a71905a3736b20c668610cd8d692ac
|
||||
size 661
|
3
wally-pipelined/busybear_boot/parsedMemRead.txt
Executable file
3
wally-pipelined/busybear_boot/parsedMemRead.txt
Executable file
@ -0,0 +1,3 @@
|
||||
version https://git-lfs.github.com/spec/v1
|
||||
oid sha256:269d261add22d8139461c0158e0842489d3c3e3bbf0e1d46114cd8a63f70c96b
|
||||
size 1252471
|
3
wally-pipelined/busybear_boot/parsedMemWrite.txt
Executable file
3
wally-pipelined/busybear_boot/parsedMemWrite.txt
Executable file
@ -0,0 +1,3 @@
|
||||
version https://git-lfs.github.com/spec/v1
|
||||
oid sha256:24084d8d8d93ab638fac73cf1d99d0938b5d8f275b5343c9a29d153dacb6352b
|
||||
size 1661698
|
3
wally-pipelined/busybear_boot/parsedPC.txt
Executable file
3
wally-pipelined/busybear_boot/parsedPC.txt
Executable file
@ -0,0 +1,3 @@
|
||||
version https://git-lfs.github.com/spec/v1
|
||||
oid sha256:43b786a15e7553854c0e27631d16272f6da37af8f2248911dd1b1488506d1951
|
||||
size 34086183
|
3
wally-pipelined/busybear_boot/parsedRegs.txt
Executable file
3
wally-pipelined/busybear_boot/parsedRegs.txt
Executable file
@ -0,0 +1,3 @@
|
||||
version https://git-lfs.github.com/spec/v1
|
||||
oid sha256:cd5d85e5deebdc6df2f82daec3f44e3b2016026245864accd2b4a337ae396a37
|
||||
size 8107451
|
3
wally-pipelined/busybear_boot/ram.txt
Executable file
3
wally-pipelined/busybear_boot/ram.txt
Executable file
@ -0,0 +1,3 @@
|
||||
version https://git-lfs.github.com/spec/v1
|
||||
oid sha256:e02d222be96706879c1f47790d956358321a5faf0c0d6384cf003df15a9f10fa
|
||||
size 285212672
|
@ -39,7 +39,7 @@ module testbench_busybear();
|
||||
// read pc trace file
|
||||
integer data_file_PC, scan_file_PC;
|
||||
initial begin
|
||||
data_file_PC = $fopen("/courses/e190ax/busybear_boot/parsedPC.txt", "r");
|
||||
data_file_PC = $fopen("../busybear_boot/parsedPC.txt", "r");
|
||||
if (data_file_PC == 0) begin
|
||||
$display("file couldn't be opened");
|
||||
$stop;
|
||||
@ -48,7 +48,7 @@ module testbench_busybear();
|
||||
|
||||
integer data_file_PCW, scan_file_PCW;
|
||||
initial begin
|
||||
data_file_PCW = $fopen("/courses/e190ax/busybear_boot/parsedPC.txt", "r");
|
||||
data_file_PCW = $fopen("../busybear_boot/parsedPC.txt", "r");
|
||||
if (data_file_PCW == 0) begin
|
||||
$display("file couldn't be opened");
|
||||
$stop;
|
||||
@ -58,7 +58,7 @@ module testbench_busybear();
|
||||
// read register trace file
|
||||
integer data_file_rf, scan_file_rf;
|
||||
initial begin
|
||||
data_file_rf = $fopen("/courses/e190ax/busybear_boot/parsedRegs.txt", "r");
|
||||
data_file_rf = $fopen("../busybear_boot/parsedRegs.txt", "r");
|
||||
if (data_file_rf == 0) begin
|
||||
$display("file couldn't be opened");
|
||||
$stop;
|
||||
@ -68,7 +68,7 @@ module testbench_busybear();
|
||||
// read CSR trace file
|
||||
integer data_file_csr, scan_file_csr;
|
||||
initial begin
|
||||
data_file_csr = $fopen("/courses/e190ax/busybear_boot/parsedCSRs.txt", "r");
|
||||
data_file_csr = $fopen("../busybear_boot/parsedCSRs.txt", "r");
|
||||
if (data_file_csr == 0) begin
|
||||
$display("file couldn't be opened");
|
||||
$stop;
|
||||
@ -78,7 +78,7 @@ module testbench_busybear();
|
||||
// read memreads trace file
|
||||
integer data_file_memR, scan_file_memR;
|
||||
initial begin
|
||||
data_file_memR = $fopen("/courses/e190ax/busybear_boot/parsedMemRead.txt", "r");
|
||||
data_file_memR = $fopen("../busybear_boot/parsedMemRead.txt", "r");
|
||||
if (data_file_memR == 0) begin
|
||||
$display("file couldn't be opened");
|
||||
$stop;
|
||||
@ -88,7 +88,7 @@ module testbench_busybear();
|
||||
// read memwrite trace file
|
||||
integer data_file_memW, scan_file_memW;
|
||||
initial begin
|
||||
data_file_memW = $fopen("/courses/e190ax/busybear_boot/parsedMemWrite.txt", "r");
|
||||
data_file_memW = $fopen("../busybear_boot/parsedMemWrite.txt", "r");
|
||||
if (data_file_memW == 0) begin
|
||||
$display("file couldn't be opened");
|
||||
$stop;
|
||||
@ -97,10 +97,10 @@ module testbench_busybear();
|
||||
|
||||
// initial loading of memories
|
||||
initial begin
|
||||
$readmemh("/courses/e190ax/busybear_boot/bootmem.txt", dut.uncore.bootdtim.RAM, 'h1000 >> 3);
|
||||
$readmemh("/courses/e190ax/busybear_boot/ram.txt", dut.uncore.dtim.RAM);
|
||||
$readmemh("/courses/e190ax/busybear_boot/bootmem.txt", dut.imem.bootram, 'h1000 >> 3);
|
||||
$readmemh("/courses/e190ax/busybear_boot/ram.txt", dut.imem.RAM);
|
||||
$readmemh("../busybear_boot/bootmem.txt", dut.uncore.bootdtim.RAM, 'h1000 >> 3);
|
||||
$readmemh("../busybear_boot/ram.txt", dut.uncore.dtim.RAM);
|
||||
$readmemh("../busybear_boot/bootmem.txt", dut.imem.bootram, 'h1000 >> 3);
|
||||
$readmemh("../busybear_boot/ram.txt", dut.imem.RAM);
|
||||
$readmemb(`TWO_BIT_PRELOAD, dut.hart.ifu.bpred.Predictor.DirPredictor.PHT.memory);
|
||||
$readmemb(`BTB_PRELOAD, dut.hart.ifu.bpred.TargetPredictor.memory.memory);
|
||||
end
|
||||
|
Loading…
Reference in New Issue
Block a user