cvw/wally-pipelined/regression/wally-pipelined-batch.do

40 lines
1.2 KiB
Plaintext
Raw Normal View History

2021-01-15 04:37:51 +00:00
# wally-pipelined-batch.do
#
# Modification by Oklahoma State University & Harvey Mudd College
# Use with Testbench
# James Stine, 2008; David Harris 2021
# Go Cowboys!!!!!!
#
# Takes 1:10 to run RV64IC tests using gui
# 11 seconds to run batch mode
# Use this wally-pipelined.do file to run this example.
# Either bring up ModelSim and type the following at the "ModelSim>" prompt:
2021-01-25 16:28:43 +00:00
# do wally-pipelined.do ../config/rv64ic
2021-01-15 04:37:51 +00:00
# or, to run from a shell, type the following at the shell prompt:
2021-01-25 16:28:43 +00:00
# vsim -c -do wally-pipelined.do ../config/rv64ic
2021-01-15 04:37:51 +00:00
# (omit the "-c" to see the GUI while running from the shell)
onbreak {resume}
# create library
if [file exists work] {
vdel -all
}
vlib work
# compile source files
# suppress spurious warnngs about
# "Extra checking for conflicts with always_comb done at vopt time"
# because vsim will run vopt
2021-01-25 16:28:43 +00:00
#vlog +incdir+../config/rv64ic ../testbench/testbench-imperas.sv ../src/*.sv -suppress 2583
vlog +incdir+$1 ../testbench/testbench-imperas.sv ../src/*.sv -suppress 2583
2021-01-15 04:37:51 +00:00
# start and run simulation
# remove +acc flag for faster sim during regressions if there is no need to access internal signals
vopt work.testbench -o workopt
vsim workopt
run -all
quit