2021-11-30 19:20:09 +00:00
|
|
|
# srt.do
|
2021-10-19 21:08:16 +00:00
|
|
|
#
|
|
|
|
# David_Harris@hmc.edu 19 October 2021
|
|
|
|
|
|
|
|
# Use this wally-pipelined.do file to run this example.
|
|
|
|
# Either bring up ModelSim and type the following at the "ModelSim>" prompt:
|
|
|
|
# do wally-pipelined.do
|
|
|
|
# or, to run from a shell, type the following at the shell prompt:
|
|
|
|
# vsim -do wally-pipelined.do -c
|
|
|
|
# (omit the "-c" to see the GUI while running from the shell)
|
|
|
|
|
|
|
|
onbreak {resume}
|
|
|
|
|
|
|
|
# create library
|
|
|
|
if [file exists work] {
|
|
|
|
vdel -all
|
|
|
|
}
|
|
|
|
vlib work
|
|
|
|
|
2022-01-13 21:45:54 +00:00
|
|
|
vlog +incdir+../config/rv64gc +incdir+../config/shared srt.sv ../src/generic/flop/flop*.sv ../src/generic/mux.sv
|
2021-10-19 21:08:16 +00:00
|
|
|
vopt +acc work.testbench -o workopt
|
|
|
|
vsim workopt
|
|
|
|
|
|
|
|
-- display input and output signals as hexidecimal values
|
|
|
|
do ./srt-waves.do
|
|
|
|
|
|
|
|
-- Run the Simulation
|
|
|
|
run -all
|