mirror of
https://github.com/openhwgroup/cvw
synced 2025-02-01 17:34:27 +00:00
Removed da page fault from spill logic.
This commit is contained in:
parent
190f03e15c
commit
f4a0f3f71f
@ -128,8 +128,8 @@ module ifu (
|
||||
/////////////////////////////////////////////////////////////////////////////////////////////
|
||||
|
||||
if(`C_SUPPORTED) begin : SpillSupport
|
||||
spillsupport #(`ICACHE) spillsupport(.clk, .reset, .StallF, .Flush(FlushD), .PCF, .PCPlus4F, .PCNextF, .InstrRawF(InstrRawF),
|
||||
.InstrDAPageFaultF, .IFUCacheBusStallD, .ITLBMissF, .PCNextFSpill, .PCFSpill,
|
||||
spillsupport #(`ICACHE) spillsupport(.clk, .reset, .StallF, .Flush(FlushD), .PCF, .PCPlus4F, .PCNextF, .InstrRawF,
|
||||
.IFUCacheBusStallD, .ITLBMissF, .PCNextFSpill, .PCFSpill,
|
||||
.SelNextSpillF, .PostSpillInstrRawF, .CompressedF);
|
||||
end else begin : NoSpillSupport
|
||||
assign PCNextFSpill = PCNextF;
|
||||
|
@ -42,7 +42,6 @@ module spillsupport #(parameter CACHE_ENABLED)
|
||||
input logic [31:0] InstrRawF,
|
||||
input logic IFUCacheBusStallD,
|
||||
input logic ITLBMissF,
|
||||
input logic InstrDAPageFaultF,
|
||||
output logic [`XLEN-1:0] PCNextFSpill,
|
||||
output logic [`XLEN-1:0] PCFSpill,
|
||||
output logic SelNextSpillF,
|
||||
@ -68,7 +67,7 @@ module spillsupport #(parameter CACHE_ENABLED)
|
||||
|
||||
assign PossibleSpillF = &PCF[$clog2(SPILLTHRESHOLD)+1:1];
|
||||
assign SpillF = PossibleSpillF & ~FirstHalfCompressedF & ~IFUCacheBusStallD;
|
||||
assign TakeSpillF = SpillF & ~IFUCacheBusStallD & ~(ITLBMissF | (`HPTW_WRITES_SUPPORTED & InstrDAPageFaultF));
|
||||
assign TakeSpillF = SpillF & ~ITLBMissF;
|
||||
|
||||
always_ff @(posedge clk)
|
||||
if (reset | Flush) CurrState <= #1 STATE_READY;
|
||||
|
Loading…
Reference in New Issue
Block a user