mirror of
https://github.com/openhwgroup/cvw
synced 2025-02-03 10:15:19 +00:00
Fixed syntax errors in arty7 top level.
This commit is contained in:
parent
0700202001
commit
5bcb0f6ace
@ -33,8 +33,13 @@ read_verilog -sv [glob -type f ../src/sdc/*.sv]
|
||||
set_property include_dirs {../../config/fpga ../../config/shared} [current_fileset]
|
||||
|
||||
|
||||
add_files -fileset constrs_1 -norecurse ../constraints/constraints-$boardSubName.xdc
|
||||
set_property PROCESSING_ORDER NORMAL [get_files ../constraints/constraints-$boardSubName.xdc]
|
||||
if {$board=="ArtyA7"} {
|
||||
add_files -fileset constrs_1 -norecurse ../constraints/constraints-$board.xdc
|
||||
set_property PROCESSING_ORDER NORMAL [get_files ../constraints/constraints-$board.xdc]
|
||||
} else {
|
||||
add_files -fileset constrs_1 -norecurse ../constraints/constraints-$boardSubName.xdc
|
||||
set_property PROCESSING_ORDER NORMAL [get_files ../constraints/constraints-$boardSubName.xdc]
|
||||
}
|
||||
|
||||
# define top level
|
||||
set_property top fpgaTop [current_fileset]
|
||||
|
@ -405,7 +405,7 @@ module fpgaTop
|
||||
.ui_clk_sync_rst(ui_clk_sync_rst),
|
||||
.aresetn(~reset),
|
||||
.sys_rst(reset),
|
||||
.mmcm_locked(mmcm_locked);
|
||||
.mmcm_locked(mmcm_locked),
|
||||
|
||||
// *** What are these?
|
||||
.app_sr_req(1'b0),
|
||||
|
Loading…
Reference in New Issue
Block a user