Fixed syntax errors in arty7 top level.

This commit is contained in:
Ross Thompson 2023-04-10 16:08:40 -05:00
parent 0700202001
commit 5bcb0f6ace
3 changed files with 8 additions and 3 deletions

View File

@ -33,8 +33,13 @@ read_verilog -sv [glob -type f ../src/sdc/*.sv]
set_property include_dirs {../../config/fpga ../../config/shared} [current_fileset]
add_files -fileset constrs_1 -norecurse ../constraints/constraints-$boardSubName.xdc
set_property PROCESSING_ORDER NORMAL [get_files ../constraints/constraints-$boardSubName.xdc]
if {$board=="ArtyA7"} {
add_files -fileset constrs_1 -norecurse ../constraints/constraints-$board.xdc
set_property PROCESSING_ORDER NORMAL [get_files ../constraints/constraints-$board.xdc]
} else {
add_files -fileset constrs_1 -norecurse ../constraints/constraints-$boardSubName.xdc
set_property PROCESSING_ORDER NORMAL [get_files ../constraints/constraints-$boardSubName.xdc]
}
# define top level
set_property top fpgaTop [current_fileset]

View File

@ -405,7 +405,7 @@ module fpgaTop
.ui_clk_sync_rst(ui_clk_sync_rst),
.aresetn(~reset),
.sys_rst(reset),
.mmcm_locked(mmcm_locked);
.mmcm_locked(mmcm_locked),
// *** What are these?
.app_sr_req(1'b0),