change flop in ahb controller to use normal flop module

This commit is contained in:
Noah Boorstin 2021-03-10 19:14:02 +00:00
parent 0baa004bb4
commit 2d1f63b590
2 changed files with 6 additions and 8 deletions

View File

@ -84,9 +84,7 @@ module ahblite (
typedef enum {IDLE, MEMREAD, MEMWRITE, INSTRREAD, INSTRREADMEMPENDING} statetype;
statetype BusState, NextBusState;
always_ff @(posedge HCLK, negedge HRESETn)
if (~HRESETn) BusState <= #1 IDLE;
else BusState <= #1 NextBusState;
flopenl #(.TYPE(statetype)) busreg(HCLK, ~HRESETn, 1'b1, NextBusState, IDLE, BusState);
always_comb
case (BusState)

View File

@ -82,11 +82,11 @@ module flopenr #(parameter WIDTH = 8) (
endmodule
// flop with enable, asynchronous load
module flopenl #(parameter WIDTH = 8) (
input logic clk, load, en,
input logic [WIDTH-1:0] d,
input logic [WIDTH-1:0] val,
output logic [WIDTH-1:0] q);
module flopenl #(parameter WIDTH = 8, parameter type TYPE=logic [WIDTH-1:0]) (
input logic clk, load, en,
input TYPE d,
input TYPE val,
output TYPE q);
always_ff @(posedge clk, posedge load)
if (load) q <= #1 val;