2021-01-15 04:37:51 +00:00
|
|
|
///////////////////////////////////////////
|
2021-01-28 03:49:47 +00:00
|
|
|
// ifu.sv
|
2021-01-15 04:37:51 +00:00
|
|
|
//
|
|
|
|
// Written: David_Harris@hmc.edu 9 January 2021
|
2021-03-30 19:25:07 +00:00
|
|
|
// Modified:
|
2021-01-15 04:37:51 +00:00
|
|
|
//
|
2021-01-28 03:49:47 +00:00
|
|
|
// Purpose: Instrunction Fetch Unit
|
|
|
|
// PC, branch prediction, instruction cache
|
2021-01-15 04:37:51 +00:00
|
|
|
//
|
|
|
|
// A component of the Wally configurable RISC-V project.
|
|
|
|
//
|
|
|
|
// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University
|
|
|
|
//
|
|
|
|
// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation
|
|
|
|
// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy,
|
|
|
|
// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software
|
|
|
|
// is furnished to do so, subject to the following conditions:
|
|
|
|
//
|
|
|
|
// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software.
|
|
|
|
//
|
|
|
|
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
|
|
|
|
// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS
|
|
|
|
// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT
|
|
|
|
// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
|
|
|
|
///////////////////////////////////////////
|
|
|
|
|
2021-01-23 15:48:12 +00:00
|
|
|
`include "wally-config.vh"
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2021-01-28 03:49:47 +00:00
|
|
|
module ifu (
|
2021-03-31 16:54:02 +00:00
|
|
|
input logic clk, reset,
|
|
|
|
input logic StallF, StallD, StallE, StallM, StallW,
|
|
|
|
input logic FlushF, FlushD, FlushE, FlushM, FlushW,
|
2021-02-02 04:44:41 +00:00
|
|
|
// Fetch
|
2021-02-24 12:25:03 +00:00
|
|
|
input logic [`XLEN-1:0] InstrInF,
|
2021-03-24 20:56:44 +00:00
|
|
|
input logic InstrAckF,
|
2021-02-02 04:44:41 +00:00
|
|
|
output logic [`XLEN-1:0] PCF,
|
2021-02-02 20:09:24 +00:00
|
|
|
output logic [`XLEN-1:0] InstrPAdrF,
|
2021-02-08 04:21:55 +00:00
|
|
|
output logic InstrReadF,
|
2021-03-30 19:25:07 +00:00
|
|
|
output logic ICacheStallF,
|
2021-05-29 03:11:37 +00:00
|
|
|
// Decode
|
|
|
|
output logic [`XLEN-1:0] PCD,
|
2021-02-02 04:44:41 +00:00
|
|
|
// Execute
|
2021-02-27 02:12:27 +00:00
|
|
|
output logic [`XLEN-1:0] PCLinkE,
|
2021-02-18 04:19:17 +00:00
|
|
|
input logic PCSrcE,
|
|
|
|
input logic [`XLEN-1:0] PCTargetE,
|
|
|
|
output logic [`XLEN-1:0] PCE,
|
2021-02-27 02:12:27 +00:00
|
|
|
output logic BPPredWrongE,
|
2021-02-02 04:44:41 +00:00
|
|
|
// Mem
|
2021-02-18 04:19:17 +00:00
|
|
|
input logic RetM, TrapM,
|
|
|
|
input logic [`XLEN-1:0] PrivilegedNextPCM,
|
2021-05-29 03:11:37 +00:00
|
|
|
output logic [31:0] InstrD, InstrE, InstrM, InstrW,
|
2021-02-02 04:44:41 +00:00
|
|
|
output logic [`XLEN-1:0] PCM,
|
2021-03-31 16:54:02 +00:00
|
|
|
output logic [4:0] InstrClassM,
|
|
|
|
output logic BPPredDirWrongM,
|
|
|
|
output logic BTBPredPCWrongM,
|
|
|
|
output logic RASPredPCWrongM,
|
|
|
|
output logic BPPredClassNonCFIWrongM,
|
2021-02-02 04:44:41 +00:00
|
|
|
// Writeback
|
2021-03-18 20:31:21 +00:00
|
|
|
// output logic [`XLEN-1:0] PCLinkW,
|
2021-02-02 04:44:41 +00:00
|
|
|
// Faults
|
|
|
|
input logic IllegalBaseInstrFaultD,
|
2021-04-21 23:58:36 +00:00
|
|
|
output logic ITLBInstrPageFaultF,
|
2021-02-02 04:44:41 +00:00
|
|
|
output logic IllegalIEUInstrFaultD,
|
|
|
|
output logic InstrMisalignedFaultM,
|
2021-02-24 12:25:03 +00:00
|
|
|
output logic [`XLEN-1:0] InstrMisalignedAdrM,
|
2021-03-04 08:30:06 +00:00
|
|
|
// TLB management
|
2021-03-18 18:35:46 +00:00
|
|
|
input logic [1:0] PrivilegeModeW,
|
|
|
|
input logic [`XLEN-1:0] PageTableEntryF,
|
2021-04-08 06:44:59 +00:00
|
|
|
input logic [1:0] PageTypeF,
|
2021-03-05 06:22:53 +00:00
|
|
|
input logic [`XLEN-1:0] SATP_REGW,
|
2021-04-21 23:58:36 +00:00
|
|
|
input logic STATUS_MXR, STATUS_SUM,
|
2021-04-01 19:55:05 +00:00
|
|
|
input logic ITLBWriteF, ITLBFlushF,
|
2021-03-30 19:25:07 +00:00
|
|
|
output logic ITLBMissF, ITLBHitF
|
2021-01-28 03:49:47 +00:00
|
|
|
);
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2021-01-28 03:49:47 +00:00
|
|
|
logic [`XLEN-1:0] UnalignedPCNextF, PCNextF;
|
2021-03-30 19:25:07 +00:00
|
|
|
logic misaligned, BranchMisalignedFaultE, BranchMisalignedFaultM, TrapMisalignedFaultM;
|
|
|
|
logic PrivilegedChangePCM;
|
|
|
|
logic IllegalCompInstrD;
|
2021-05-29 03:11:37 +00:00
|
|
|
logic [`XLEN-1:0] PCPlusUpperF, PCPlus2or4F, PCW, PCLinkD, PCLinkM, PCNextPF, PCPF;
|
2021-03-30 19:25:07 +00:00
|
|
|
logic CompressedF;
|
2021-05-29 03:11:37 +00:00
|
|
|
logic [31:0] InstrRawD;
|
2021-04-21 21:06:27 +00:00
|
|
|
localparam [31:0] nop = 32'h00000013; // instruction for NOP
|
2021-04-26 11:43:16 +00:00
|
|
|
logic reset_q; // *** look at this later.
|
2021-01-28 03:49:47 +00:00
|
|
|
|
2021-04-26 02:25:36 +00:00
|
|
|
logic BPPredDirWrongE, BTBPredPCWrongE, RASPredPCWrongE, BPPredClassNonCFIWrongE;
|
|
|
|
|
2021-01-28 03:49:47 +00:00
|
|
|
|
2021-04-21 23:58:36 +00:00
|
|
|
tlb #(.ENTRY_BITS(3), .ITLB(1)) itlb(.TLBAccessType(2'b10), .VirtualAddress(PCF),
|
2021-04-08 06:44:59 +00:00
|
|
|
.PageTableEntryWrite(PageTableEntryF), .PageTypeWrite(PageTypeF),
|
|
|
|
.TLBWrite(ITLBWriteF), .TLBFlush(ITLBFlushF),
|
2021-04-15 18:48:39 +00:00
|
|
|
.PhysicalAddress(PCPF), .TLBMiss(ITLBMissF),
|
2021-04-21 23:58:36 +00:00
|
|
|
.TLBHit(ITLBHitF), .TLBPageFault(ITLBInstrPageFaultF),
|
2021-04-08 06:44:59 +00:00
|
|
|
.*);
|
2021-03-04 08:11:34 +00:00
|
|
|
|
2021-02-18 04:19:17 +00:00
|
|
|
// branch predictor signals
|
|
|
|
logic SelBPPredF;
|
2021-04-22 15:20:36 +00:00
|
|
|
logic [`XLEN-1:0] BPPredPCF, PCCorrectE, PCNext0F, PCNext1F, PCNext2F, PCNext3F;
|
2021-03-30 18:57:40 +00:00
|
|
|
logic [4:0] InstrClassD, InstrClassE;
|
2021-03-04 15:23:35 +00:00
|
|
|
|
2021-02-18 04:19:17 +00:00
|
|
|
|
2021-01-28 03:49:47 +00:00
|
|
|
// *** put memory interface on here, InstrF becomes output
|
2021-03-04 08:11:34 +00:00
|
|
|
//assign InstrPAdrF = PCF; // *** no MMU
|
2021-02-15 15:10:50 +00:00
|
|
|
//assign InstrReadF = ~StallD; // *** & ICacheMissF; add later
|
2021-03-30 19:25:07 +00:00
|
|
|
// assign InstrReadF = 1; // *** & ICacheMissF; add later
|
|
|
|
|
|
|
|
// jarred 2021-03-14 Add instrution cache block to remove rd2
|
2021-04-13 05:06:57 +00:00
|
|
|
assign PCNextPF = PCNextF; // Temporary workaround until iTLB is live
|
2021-05-03 17:03:17 +00:00
|
|
|
icache icache(.*);
|
|
|
|
|
|
|
|
|
2021-01-15 04:37:51 +00:00
|
|
|
|
|
|
|
assign PrivilegedChangePCM = RetM | TrapM;
|
|
|
|
|
2021-02-18 04:19:17 +00:00
|
|
|
//mux3 #(`XLEN) pcmux(PCPlus2or4F, PCCorrectE, PrivilegedNextPCM, {PrivilegedChangePCM, BPPredWrongE}, UnalignedPCNextF);
|
|
|
|
mux2 #(`XLEN) pcmux0(.d0(PCPlus2or4F),
|
|
|
|
.d1(BPPredPCF),
|
|
|
|
.s(SelBPPredF),
|
|
|
|
.y(PCNext0F));
|
|
|
|
|
|
|
|
mux2 #(`XLEN) pcmux1(.d0(PCNext0F),
|
|
|
|
.d1(PCCorrectE),
|
|
|
|
.s(BPPredWrongE),
|
|
|
|
.y(PCNext1F));
|
|
|
|
|
|
|
|
mux2 #(`XLEN) pcmux2(.d0(PCNext1F),
|
|
|
|
.d1(PrivilegedNextPCM),
|
|
|
|
.s(PrivilegedChangePCM),
|
2021-04-21 00:55:49 +00:00
|
|
|
.y(PCNext2F));
|
|
|
|
|
2021-04-22 15:20:36 +00:00
|
|
|
// *** try to remove this in the future as it can add a long path.
|
|
|
|
// StallF may arrive late.
|
|
|
|
/* -----\/----- EXCLUDED -----\/-----
|
2021-04-21 00:55:49 +00:00
|
|
|
mux2 #(`XLEN) pcmux3(.d0(PCNext2F),
|
2021-04-22 15:20:36 +00:00
|
|
|
.d1(PCF),
|
|
|
|
.s(StallF),
|
|
|
|
.y(PCNext3F));
|
|
|
|
-----/\----- EXCLUDED -----/\----- */
|
|
|
|
|
|
|
|
mux2 #(`XLEN) pcmux4(.d0(PCNext2F),
|
2021-04-21 00:55:49 +00:00
|
|
|
.d1(`RESET_VECTOR),
|
|
|
|
.s(reset_q),
|
2021-04-22 15:20:36 +00:00
|
|
|
.y(UnalignedPCNextF));
|
|
|
|
|
2021-04-21 00:55:49 +00:00
|
|
|
flop #(1) resetReg (.clk(clk),
|
|
|
|
.d(reset),
|
|
|
|
.q(reset_q));
|
|
|
|
|
2021-02-18 04:19:17 +00:00
|
|
|
|
2021-01-23 15:48:12 +00:00
|
|
|
assign PCNextF = {UnalignedPCNextF[`XLEN-1:1], 1'b0}; // hart-SPEC p. 21 about 16-bit alignment
|
2021-03-30 19:25:07 +00:00
|
|
|
flopenl #(`XLEN) pcreg(clk, reset, ~StallF & ~ICacheStallF, PCNextF, `RESET_VECTOR, PCF);
|
2021-01-19 01:16:53 +00:00
|
|
|
|
2021-02-18 04:19:17 +00:00
|
|
|
// branch and jump predictor
|
2021-04-26 19:27:42 +00:00
|
|
|
generate
|
|
|
|
if (`BPRED_ENABLED == 1) begin : bpred
|
|
|
|
// I am making the port connection explicit for now as I want to see them and they will be changing.
|
2021-05-28 04:06:28 +00:00
|
|
|
bpred bpred(.*,
|
2021-04-26 19:27:42 +00:00
|
|
|
.PCNextF(PCNextF),
|
|
|
|
.BPPredPCF(BPPredPCF),
|
|
|
|
.SelBPPredF(SelBPPredF),
|
|
|
|
.PCE(PCE),
|
|
|
|
.PCSrcE(PCSrcE),
|
|
|
|
.PCTargetE(PCTargetE),
|
|
|
|
.PCD(PCD),
|
|
|
|
.PCLinkE(PCLinkE),
|
|
|
|
.InstrClassE(InstrClassE),
|
|
|
|
.BPPredWrongE(BPPredWrongE),
|
|
|
|
.BPPredDirWrongE(BPPredDirWrongE),
|
|
|
|
.BTBPredPCWrongE(BTBPredPCWrongE),
|
|
|
|
.RASPredPCWrongE(RASPredPCWrongE),
|
|
|
|
.BPPredClassNonCFIWrongE(BPPredClassNonCFIWrongE));
|
|
|
|
end else begin : bpred
|
|
|
|
assign BPPredPCF = {`XLEN{1'b0}};
|
|
|
|
assign SelBPPredF = 1'b0;
|
|
|
|
assign BPPredWrongE = PCSrcE;
|
|
|
|
assign BPPredDirWrongE = 1'b0;
|
|
|
|
assign BTBPredPCWrongE = 1'b0;
|
|
|
|
assign RASPredPCWrongE = 1'b0;
|
2021-05-03 13:56:45 +00:00
|
|
|
assign BPPredClassNonCFIWrongE = 1'b0;
|
2021-04-26 19:27:42 +00:00
|
|
|
end
|
|
|
|
endgenerate
|
2021-02-18 04:19:17 +00:00
|
|
|
// The true correct target is PCTargetE if PCSrcE is 1 else it is the fall through PCLinkE.
|
|
|
|
assign PCCorrectE = PCSrcE ? PCTargetE : PCLinkE;
|
|
|
|
|
2021-01-19 01:16:53 +00:00
|
|
|
// pcadder
|
|
|
|
// add 2 or 4 to the PC, based on whether the instruction is 16 bits or 32
|
2021-01-23 15:48:12 +00:00
|
|
|
assign PCPlusUpperF = PCF[`XLEN-1:2] + 1; // add 4 to PC
|
2021-01-19 01:16:53 +00:00
|
|
|
// choose PC+2 or PC+4
|
|
|
|
always_comb
|
|
|
|
if (CompressedF) // add 2
|
|
|
|
if (PCF[1]) PCPlus2or4F = {PCPlusUpperF, 2'b00};
|
2021-01-23 15:48:12 +00:00
|
|
|
else PCPlus2or4F = {PCF[`XLEN-1:2], 2'b10};
|
2021-01-19 01:16:53 +00:00
|
|
|
else PCPlus2or4F = {PCPlusUpperF, PCF[1:0]}; // add 4
|
2021-01-15 04:37:51 +00:00
|
|
|
|
2021-01-28 03:49:47 +00:00
|
|
|
// Decode stage pipeline register and logic
|
|
|
|
flopenrc #(`XLEN) PCDReg(clk, reset, FlushD, ~StallD, PCF, PCD);
|
|
|
|
|
2021-01-28 05:22:05 +00:00
|
|
|
// expand 16-bit compressed instructions to 32 bits
|
|
|
|
decompress decomp(.*);
|
2021-01-28 03:49:47 +00:00
|
|
|
assign IllegalIEUInstrFaultD = IllegalBaseInstrFaultD | IllegalCompInstrD; // illegal if bad 32 or 16-bit instr
|
|
|
|
// *** combine these with others in better way, including M, F
|
|
|
|
|
2021-03-04 15:23:35 +00:00
|
|
|
|
|
|
|
// the branch predictor needs a compact decoding of the instruction class.
|
|
|
|
// *** consider adding in the alternate return address x5 for returns.
|
2021-03-30 18:57:40 +00:00
|
|
|
assign InstrClassD[4] = (InstrD[6:0] & 7'h77) == 7'h67 && (InstrD[11:07] & 5'h1B) == 5'h01; // jal(r) must link to ra or r5
|
2021-05-24 18:41:14 +00:00
|
|
|
assign InstrClassD[3] = InstrD[6:0] == 7'h67 && (InstrD[19:15] & 5'h1B) == 5'h01; // return must return to ra or r5
|
|
|
|
assign InstrClassD[2] = InstrD[6:0] == 7'h67 && (InstrD[19:15] & 5'h1B) != 5'h01 && (InstrD[11:7] & 5'h1B) != 5'h01; // jump register, but not return
|
2021-05-24 17:37:16 +00:00
|
|
|
assign InstrClassD[1] = InstrD[6:0] == 7'h6F && (InstrD[11:7] & 5'h1B) != 5'h01; // jump, RD != x1 or x5
|
2021-03-04 15:23:35 +00:00
|
|
|
assign InstrClassD[0] = InstrD[6:0] == 7'h63; // branch
|
|
|
|
|
2021-01-15 04:37:51 +00:00
|
|
|
// Misaligned PC logic
|
|
|
|
|
|
|
|
generate
|
|
|
|
if (`C_SUPPORTED) // C supports compressed instructions on halfword boundaries
|
|
|
|
assign misaligned = PCNextF[0];
|
|
|
|
else // instructions must be on word boundaries
|
|
|
|
assign misaligned = |PCNextF[1:0];
|
|
|
|
endgenerate
|
|
|
|
|
|
|
|
// pipeline misaligned faults to M stage
|
|
|
|
assign BranchMisalignedFaultE = misaligned & PCSrcE; // E-stage (Branch/Jump) misaligned
|
2021-02-08 04:21:55 +00:00
|
|
|
flopenr #(1) InstrMisalginedReg(clk, reset, ~StallM, BranchMisalignedFaultE, BranchMisalignedFaultM);
|
|
|
|
flopenr #(`XLEN) InstrMisalignedAdrReg(clk, reset, ~StallM, PCNextF, InstrMisalignedAdrM);
|
2021-01-15 04:37:51 +00:00
|
|
|
assign TrapMisalignedFaultM = misaligned & PrivilegedChangePCM;
|
|
|
|
assign InstrMisalignedFaultM = BranchMisalignedFaultM; // | TrapMisalignedFaultM; *** put this back in without causing a cyclic path
|
|
|
|
|
2021-02-08 04:21:55 +00:00
|
|
|
flopenr #(32) InstrEReg(clk, reset, ~StallE, FlushE ? nop : InstrD, InstrE);
|
|
|
|
flopenr #(32) InstrMReg(clk, reset, ~StallM, FlushM ? nop : InstrE, InstrM);
|
2021-03-30 19:25:07 +00:00
|
|
|
// flopenr #(32) InstrWReg(clk, reset, ~StallW, FlushW ? nop : InstrM, InstrW); // just for testbench, delete later
|
2021-02-08 04:21:55 +00:00
|
|
|
flopenr #(`XLEN) PCEReg(clk, reset, ~StallE, PCD, PCE);
|
|
|
|
flopenr #(`XLEN) PCMReg(clk, reset, ~StallM, PCE, PCM);
|
2021-03-30 19:25:07 +00:00
|
|
|
// flopenr #(`XLEN) PCWReg(clk, reset, ~StallW, PCM, PCW); // *** probably not needed; delete later
|
2021-01-28 05:22:05 +00:00
|
|
|
|
2021-03-30 18:57:40 +00:00
|
|
|
flopenrc #(5) InstrClassRegE(.clk(clk),
|
2021-03-04 15:23:35 +00:00
|
|
|
.reset(reset),
|
2021-03-12 20:57:53 +00:00
|
|
|
.en(~StallE),
|
|
|
|
.clear(FlushE),
|
2021-03-04 15:23:35 +00:00
|
|
|
.d(InstrClassD),
|
|
|
|
.q(InstrClassE));
|
|
|
|
|
2021-03-30 18:57:40 +00:00
|
|
|
flopenrc #(5) InstrClassRegM(.clk(clk),
|
2021-03-23 18:25:51 +00:00
|
|
|
.reset(reset),
|
|
|
|
.en(~StallM),
|
|
|
|
.clear(FlushM),
|
|
|
|
.d(InstrClassE),
|
|
|
|
.q(InstrClassM));
|
|
|
|
|
2021-03-31 16:54:02 +00:00
|
|
|
flopenrc #(4) BPPredWrongRegM(.clk(clk),
|
2021-03-23 18:25:51 +00:00
|
|
|
.reset(reset),
|
|
|
|
.en(~StallM),
|
|
|
|
.clear(FlushM),
|
2021-03-31 16:54:02 +00:00
|
|
|
.d({BPPredDirWrongE, BTBPredPCWrongE, RASPredPCWrongE, BPPredClassNonCFIWrongE}),
|
|
|
|
.q({BPPredDirWrongM, BTBPredPCWrongM, RASPredPCWrongM, BPPredClassNonCFIWrongM}));
|
2021-03-23 18:25:51 +00:00
|
|
|
|
2021-01-29 02:40:48 +00:00
|
|
|
// seems like there should be a lower-cost way of doing this PC+2 or PC+4 for JAL.
|
|
|
|
// either have ALU compute PC+2/4 and feed into ALUResult input of ResultMux or
|
|
|
|
// have dedicated adder in Mem stage based on PCM + 2 or 4
|
|
|
|
// *** redo this
|
2021-02-08 04:21:55 +00:00
|
|
|
flopenr #(`XLEN) PCPDReg(clk, reset, ~StallD, PCPlus2or4F, PCLinkD);
|
|
|
|
flopenr #(`XLEN) PCPEReg(clk, reset, ~StallE, PCLinkD, PCLinkE);
|
2021-03-18 20:31:21 +00:00
|
|
|
// flopenr #(`XLEN) PCPMReg(clk, reset, ~StallM, PCLinkE, PCLinkM);
|
|
|
|
// /flopenr #(`XLEN) PCPWReg(clk, reset, ~StallW, PCLinkM, PCLinkW);
|
2021-01-28 03:49:47 +00:00
|
|
|
|
2021-01-15 04:37:51 +00:00
|
|
|
endmodule
|
|
|
|
|