diff --git a/.gitattributes b/.gitattributes index 915d34ec..3848b3e2 100644 --- a/.gitattributes +++ b/.gitattributes @@ -1 +1 @@ -wally-pipelined/busybear_boot/* filter=lfs diff=lfs merge=lfs -text +pipelined/busybear_boot/* filter=lfs diff=lfs merge=lfs -text diff --git a/.gitignore b/.gitignore index 4225869c..5596d294 100644 --- a/.gitignore +++ b/.gitignore @@ -13,7 +13,7 @@ addins/riscv-arch-test/Makefile.include #vsim work files to ignore transcript vsim.wlf -wally-pipelined/wlft* +pipelined/wlft* wlft* /imperas-riscv-tests/FunctionRadix_32.addr /imperas-riscv-tests/FunctionRadix_64.addr @@ -35,8 +35,8 @@ tests/linux-testgen/buildroot-image-output tests/linux-testgen/buildroot-config-src/main.config.old tests/linux-testgen/buildroot-config-src/linux.config.old tests/linux-testgen/buildroot-config-src/busybox.config.old -wally-pipelined/regression/slack-notifier/slack-webhook-url.txt -wally-pipelined/regression/logs +pipelined/regression/slack-notifier/slack-webhook-url.txt +pipelined/regression/logs fpga/generator/IP fpga/generator/vivado.* fpga/generator/.Xil/* diff --git a/Makefile b/Makefile index b8343783..5e94adce 100644 --- a/Makefile +++ b/Makefile @@ -11,7 +11,7 @@ install: echo export RISCV_PREFIX = riscv64-unknown-elf- >> addins/riscv-arch-test/Makefile.include regression: - make -C wally-pipelined/regression + make -C pipelined/regression diff --git a/fpga/README.md b/fpga/README.md index eb08171a..3f9853c7 100644 --- a/fpga/README.md +++ b/fpga/README.md @@ -16,7 +16,7 @@ a large number of debuging signals. * Programming the flash card You'll need to write the linux image to the flash card. Use the convert2bin.py -script in wally-pipelined/linux-testgen/linux-testvectors/ to convert the ram.txt +script in pipelined/linux-testgen/linux-testvectors/ to convert the ram.txt file from QEMU's preload to generate the binary. Then to copy sudo dd if=ram.bin of=. diff --git a/fpga/generator/wally.tcl b/fpga/generator/wally.tcl index af1c105d..824574d9 100644 --- a/fpga/generator/wally.tcl +++ b/fpga/generator/wally.tcl @@ -14,10 +14,10 @@ read_ip IP/xlnx_axi_clock_converter.srcs/sources_1/ip/xlnx_axi_clock_converter/x read_ip IP/xlnx_ddr4.srcs/sources_1/ip/xlnx_ddr4/xlnx_ddr4.xci -read_verilog -sv [glob -type f ../../wally-pipelined/src/*/*.sv ../../wally-pipelined/src/*/*/*.sv] +read_verilog -sv [glob -type f ../../pipelined/src/*/*.sv ../../pipelined/src/*/*/*.sv] read_verilog {../src/fpgaTop.v} -set_property include_dirs {../../wally-pipelined/config/fpga ../../wally-pipelined/config/shared} [current_fileset] +set_property include_dirs {../../pipelined/config/fpga ../../pipelined/config/shared} [current_fileset] add_files -fileset constrs_1 -norecurse ../constraints/constraints.xdc set_property PROCESSING_ORDER NORMAL [get_files ../constraints/constraints.xdc] diff --git a/wally-pipelined/README.txt b/pipelined/README.txt similarity index 100% rename from wally-pipelined/README.txt rename to pipelined/README.txt diff --git a/wally-pipelined/bin/exe2memfile0.pl b/pipelined/bin/exe2memfile0.pl similarity index 100% rename from wally-pipelined/bin/exe2memfile0.pl rename to pipelined/bin/exe2memfile0.pl diff --git a/wally-pipelined/config/buildroot/BTBPredictor.txt b/pipelined/config/buildroot/BTBPredictor.txt similarity index 100% rename from wally-pipelined/config/buildroot/BTBPredictor.txt rename to pipelined/config/buildroot/BTBPredictor.txt diff --git a/wally-pipelined/config/buildroot/twoBitPredictor.txt b/pipelined/config/buildroot/twoBitPredictor.txt similarity index 100% rename from wally-pipelined/config/buildroot/twoBitPredictor.txt rename to pipelined/config/buildroot/twoBitPredictor.txt diff --git a/wally-pipelined/config/buildroot/wally-config.vh b/pipelined/config/buildroot/wally-config.vh similarity index 100% rename from wally-pipelined/config/buildroot/wally-config.vh rename to pipelined/config/buildroot/wally-config.vh diff --git a/wally-pipelined/config/busybear/wally-config.vh b/pipelined/config/busybear/wally-config.vh similarity index 100% rename from wally-pipelined/config/busybear/wally-config.vh rename to pipelined/config/busybear/wally-config.vh diff --git a/wally-pipelined/config/coremark/BTBPredictor.txt b/pipelined/config/coremark/BTBPredictor.txt similarity index 100% rename from wally-pipelined/config/coremark/BTBPredictor.txt rename to pipelined/config/coremark/BTBPredictor.txt diff --git a/wally-pipelined/config/coremark/twoBitPredictor.txt b/pipelined/config/coremark/twoBitPredictor.txt similarity index 100% rename from wally-pipelined/config/coremark/twoBitPredictor.txt rename to pipelined/config/coremark/twoBitPredictor.txt diff --git a/wally-pipelined/config/coremark/wally-config.vh b/pipelined/config/coremark/wally-config.vh similarity index 100% rename from wally-pipelined/config/coremark/wally-config.vh rename to pipelined/config/coremark/wally-config.vh diff --git a/wally-pipelined/config/coremark_bare/BTBPredictor.txt b/pipelined/config/coremark_bare/BTBPredictor.txt similarity index 100% rename from wally-pipelined/config/coremark_bare/BTBPredictor.txt rename to pipelined/config/coremark_bare/BTBPredictor.txt diff --git a/wally-pipelined/config/coremark_bare/twoBitPredictor.txt b/pipelined/config/coremark_bare/twoBitPredictor.txt similarity index 100% rename from wally-pipelined/config/coremark_bare/twoBitPredictor.txt rename to pipelined/config/coremark_bare/twoBitPredictor.txt diff --git a/wally-pipelined/config/coremark_bare/wally-config.vh b/pipelined/config/coremark_bare/wally-config.vh similarity index 100% rename from wally-pipelined/config/coremark_bare/wally-config.vh rename to pipelined/config/coremark_bare/wally-config.vh diff --git a/wally-pipelined/config/fpga/BTBPredictor.txt b/pipelined/config/fpga/BTBPredictor.txt similarity index 100% rename from wally-pipelined/config/fpga/BTBPredictor.txt rename to pipelined/config/fpga/BTBPredictor.txt diff --git a/wally-pipelined/config/fpga/twoBitPredictor.txt b/pipelined/config/fpga/twoBitPredictor.txt similarity index 100% rename from wally-pipelined/config/fpga/twoBitPredictor.txt rename to pipelined/config/fpga/twoBitPredictor.txt diff --git a/wally-pipelined/config/fpga/wally-config.vh b/pipelined/config/fpga/wally-config.vh similarity index 100% rename from wally-pipelined/config/fpga/wally-config.vh rename to pipelined/config/fpga/wally-config.vh diff --git a/wally-pipelined/config/rv32gc/BTBPredictor.txt b/pipelined/config/rv32gc/BTBPredictor.txt similarity index 100% rename from wally-pipelined/config/rv32gc/BTBPredictor.txt rename to pipelined/config/rv32gc/BTBPredictor.txt diff --git a/wally-pipelined/config/rv32gc/twoBitPredictor.txt b/pipelined/config/rv32gc/twoBitPredictor.txt similarity index 100% rename from wally-pipelined/config/rv32gc/twoBitPredictor.txt rename to pipelined/config/rv32gc/twoBitPredictor.txt diff --git a/wally-pipelined/config/rv32gc/wally-config.vh b/pipelined/config/rv32gc/wally-config.vh similarity index 100% rename from wally-pipelined/config/rv32gc/wally-config.vh rename to pipelined/config/rv32gc/wally-config.vh diff --git a/wally-pipelined/config/rv32ic/BTBPredictor.txt b/pipelined/config/rv32ic/BTBPredictor.txt similarity index 100% rename from wally-pipelined/config/rv32ic/BTBPredictor.txt rename to pipelined/config/rv32ic/BTBPredictor.txt diff --git a/wally-pipelined/config/rv32ic/twoBitPredictor.txt b/pipelined/config/rv32ic/twoBitPredictor.txt similarity index 100% rename from wally-pipelined/config/rv32ic/twoBitPredictor.txt rename to pipelined/config/rv32ic/twoBitPredictor.txt diff --git a/wally-pipelined/config/rv32ic/wally-config.vh b/pipelined/config/rv32ic/wally-config.vh similarity index 100% rename from wally-pipelined/config/rv32ic/wally-config.vh rename to pipelined/config/rv32ic/wally-config.vh diff --git a/wally-pipelined/config/rv64BP/BTBPredictor.txt b/pipelined/config/rv64BP/BTBPredictor.txt similarity index 100% rename from wally-pipelined/config/rv64BP/BTBPredictor.txt rename to pipelined/config/rv64BP/BTBPredictor.txt diff --git a/wally-pipelined/config/rv64BP/twoBitPredictor.txt b/pipelined/config/rv64BP/twoBitPredictor.txt similarity index 100% rename from wally-pipelined/config/rv64BP/twoBitPredictor.txt rename to pipelined/config/rv64BP/twoBitPredictor.txt diff --git a/wally-pipelined/config/rv64BP/wally-config.vh b/pipelined/config/rv64BP/wally-config.vh similarity index 100% rename from wally-pipelined/config/rv64BP/wally-config.vh rename to pipelined/config/rv64BP/wally-config.vh diff --git a/wally-pipelined/config/rv64gc/BTBPredictor.txt b/pipelined/config/rv64gc/BTBPredictor.txt similarity index 100% rename from wally-pipelined/config/rv64gc/BTBPredictor.txt rename to pipelined/config/rv64gc/BTBPredictor.txt diff --git a/wally-pipelined/config/rv64gc/twoBitPredictor.txt b/pipelined/config/rv64gc/twoBitPredictor.txt similarity index 100% rename from wally-pipelined/config/rv64gc/twoBitPredictor.txt rename to pipelined/config/rv64gc/twoBitPredictor.txt diff --git a/wally-pipelined/config/rv64gc/wally-config.vh b/pipelined/config/rv64gc/wally-config.vh similarity index 100% rename from wally-pipelined/config/rv64gc/wally-config.vh rename to pipelined/config/rv64gc/wally-config.vh diff --git a/wally-pipelined/config/rv64ic/BTBPredictor.txt b/pipelined/config/rv64ic/BTBPredictor.txt similarity index 100% rename from wally-pipelined/config/rv64ic/BTBPredictor.txt rename to pipelined/config/rv64ic/BTBPredictor.txt diff --git a/wally-pipelined/config/rv64ic/twoBitPredictor.txt b/pipelined/config/rv64ic/twoBitPredictor.txt similarity index 100% rename from wally-pipelined/config/rv64ic/twoBitPredictor.txt rename to pipelined/config/rv64ic/twoBitPredictor.txt diff --git a/wally-pipelined/config/rv64ic/wally-config.vh b/pipelined/config/rv64ic/wally-config.vh similarity index 100% rename from wally-pipelined/config/rv64ic/wally-config.vh rename to pipelined/config/rv64ic/wally-config.vh diff --git a/wally-pipelined/config/shared/README b/pipelined/config/shared/README similarity index 100% rename from wally-pipelined/config/shared/README rename to pipelined/config/shared/README diff --git a/wally-pipelined/config/shared/wally-constants.vh b/pipelined/config/shared/wally-constants.vh similarity index 100% rename from wally-pipelined/config/shared/wally-constants.vh rename to pipelined/config/shared/wally-constants.vh diff --git a/wally-pipelined/config/shared/wally-shared.vh b/pipelined/config/shared/wally-shared.vh similarity index 100% rename from wally-pipelined/config/shared/wally-shared.vh rename to pipelined/config/shared/wally-shared.vh diff --git a/wally-pipelined/fpu-testfloat/FMA/tbgen/tb.sv b/pipelined/fpu-testfloat/FMA/tbgen/tb.sv similarity index 99% rename from wally-pipelined/fpu-testfloat/FMA/tbgen/tb.sv rename to pipelined/fpu-testfloat/FMA/tbgen/tb.sv index 7d984dff..9091af1a 100644 --- a/wally-pipelined/fpu-testfloat/FMA/tbgen/tb.sv +++ b/pipelined/fpu-testfloat/FMA/tbgen/tb.sv @@ -180,7 +180,7 @@ always @(posedge clk) always @(negedge clk) begin if((FmtE==1'b1) & (FMAFlgM != flags[4:0] || (!wnan && (FMAResM != ans)) || (wnan && ansnan && ~((XNaNE && (FMAResM[`FLEN-2:0] == {XExpE,1'b1,X[`NF-2:0]})) || (YNaNE && (FMAResM[`FLEN-2:0] == {YExpE,1'b1,Y[`NF-2:0]})) || (ZNaNE && (FMAResM[`FLEN-2:0] == {ZExpE,1'b1,Z[`NF-2:0]})) || (FMAResM[`FLEN-2:0] == ans[`FLEN-2:0]))))) begin - // fp = $fopen("/home/kparry/riscv-wally/wally-pipelined/src/fpu/FMA/tbgen/results.dat","w"); + // fp = $fopen("/home/kparry/riscv-wally/pipelined/src/fpu/FMA/tbgen/results.dat","w"); // if((FmtE==1'b1) & (FMAFlgM != flags[4:0] || (FMAResM != ans))) begin $display( "%h %h %h %h %h %h %h Wrong ",X,Y, Z, FMAResM, ans, FMAFlgM, flags); if(FMAResM == 64'h8000000000000000) $display( "FMAResM=-zero "); diff --git a/wally-pipelined/fpu-testfloat/FMA/tbgen/test_gen.sh b/pipelined/fpu-testfloat/FMA/tbgen/test_gen.sh similarity index 100% rename from wally-pipelined/fpu-testfloat/FMA/tbgen/test_gen.sh rename to pipelined/fpu-testfloat/FMA/tbgen/test_gen.sh diff --git a/wally-pipelined/misc/lzd.sv b/pipelined/misc/lzd.sv similarity index 100% rename from wally-pipelined/misc/lzd.sv rename to pipelined/misc/lzd.sv diff --git a/wally-pipelined/ppa/config/config.sv b/pipelined/ppa/config/config.sv similarity index 100% rename from wally-pipelined/ppa/config/config.sv rename to pipelined/ppa/config/config.sv diff --git a/wally-pipelined/ppa/ppa.sv b/pipelined/ppa/ppa.sv similarity index 100% rename from wally-pipelined/ppa/ppa.sv rename to pipelined/ppa/ppa.sv diff --git a/wally-pipelined/proposed-sdc.txt b/pipelined/proposed-sdc.txt similarity index 100% rename from wally-pipelined/proposed-sdc.txt rename to pipelined/proposed-sdc.txt diff --git a/wally-pipelined/regression/Makefile b/pipelined/regression/Makefile similarity index 100% rename from wally-pipelined/regression/Makefile rename to pipelined/regression/Makefile diff --git a/wally-pipelined/regression/buildrootBugFinder.py b/pipelined/regression/buildrootBugFinder.py similarity index 100% rename from wally-pipelined/regression/buildrootBugFinder.py rename to pipelined/regression/buildrootBugFinder.py diff --git a/wally-pipelined/regression/fpga-wave.do b/pipelined/regression/fpga-wave.do similarity index 100% rename from wally-pipelined/regression/fpga-wave.do rename to pipelined/regression/fpga-wave.do diff --git a/wally-pipelined/regression/lint-wally b/pipelined/regression/lint-wally similarity index 100% rename from wally-pipelined/regression/lint-wally rename to pipelined/regression/lint-wally diff --git a/wally-pipelined/regression/linux-wave.do b/pipelined/regression/linux-wave.do similarity index 100% rename from wally-pipelined/regression/linux-wave.do rename to pipelined/regression/linux-wave.do diff --git a/wally-pipelined/regression/make-tests.sh b/pipelined/regression/make-tests.sh similarity index 85% rename from wally-pipelined/regression/make-tests.sh rename to pipelined/regression/make-tests.sh index dfc7d618..c5d3644d 100755 --- a/wally-pipelined/regression/make-tests.sh +++ b/pipelined/regression/make-tests.sh @@ -11,4 +11,4 @@ make XLEN=32 exe2memfile.pl work/*/*/*.elf cd ../linux-testgen/linux-testvectors ./tvLinker.sh -cd ../../../wally-pipelined/regression +cd ../../../pipelined/regression diff --git a/wally-pipelined/regression/regression-wally.py b/pipelined/regression/regression-wally.py similarity index 100% rename from wally-pipelined/regression/regression-wally.py rename to pipelined/regression/regression-wally.py diff --git a/wally-pipelined/regression/sim-buildroot b/pipelined/regression/sim-buildroot similarity index 100% rename from wally-pipelined/regression/sim-buildroot rename to pipelined/regression/sim-buildroot diff --git a/wally-pipelined/regression/sim-buildroot-batch b/pipelined/regression/sim-buildroot-batch similarity index 100% rename from wally-pipelined/regression/sim-buildroot-batch rename to pipelined/regression/sim-buildroot-batch diff --git a/wally-pipelined/regression/sim-coremark-batch b/pipelined/regression/sim-coremark-batch similarity index 100% rename from wally-pipelined/regression/sim-coremark-batch rename to pipelined/regression/sim-coremark-batch diff --git a/wally-pipelined/regression/old/sim-fp64 b/pipelined/regression/sim-fp64 similarity index 100% rename from wally-pipelined/regression/old/sim-fp64 rename to pipelined/regression/sim-fp64 diff --git a/wally-pipelined/regression/sim-fp64-batch b/pipelined/regression/sim-fp64-batch similarity index 100% rename from wally-pipelined/regression/sim-fp64-batch rename to pipelined/regression/sim-fp64-batch diff --git a/wally-pipelined/regression/sim-wally b/pipelined/regression/sim-wally similarity index 100% rename from wally-pipelined/regression/sim-wally rename to pipelined/regression/sim-wally diff --git a/wally-pipelined/regression/sim-wally-batch b/pipelined/regression/sim-wally-batch similarity index 100% rename from wally-pipelined/regression/sim-wally-batch rename to pipelined/regression/sim-wally-batch diff --git a/wally-pipelined/regression/slack-notifier/slack-notifier.py b/pipelined/regression/slack-notifier/slack-notifier.py similarity index 100% rename from wally-pipelined/regression/slack-notifier/slack-notifier.py rename to pipelined/regression/slack-notifier/slack-notifier.py diff --git a/wally-pipelined/regression/wally-buildroot-batch.do b/pipelined/regression/wally-buildroot-batch.do similarity index 100% rename from wally-pipelined/regression/wally-buildroot-batch.do rename to pipelined/regression/wally-buildroot-batch.do diff --git a/wally-pipelined/regression/wally-buildroot.do b/pipelined/regression/wally-buildroot.do similarity index 100% rename from wally-pipelined/regression/wally-buildroot.do rename to pipelined/regression/wally-buildroot.do diff --git a/wally-pipelined/regression/wally-coremark.do b/pipelined/regression/wally-coremark.do similarity index 100% rename from wally-pipelined/regression/wally-coremark.do rename to pipelined/regression/wally-coremark.do diff --git a/wally-pipelined/regression/wally-fp64-batch.do b/pipelined/regression/wally-fp64-batch.do similarity index 100% rename from wally-pipelined/regression/wally-fp64-batch.do rename to pipelined/regression/wally-fp64-batch.do diff --git a/wally-pipelined/regression/wally-fp64.do b/pipelined/regression/wally-fp64.do similarity index 100% rename from wally-pipelined/regression/wally-fp64.do rename to pipelined/regression/wally-fp64.do diff --git a/wally-pipelined/regression/wally-pipelined-batch.do b/pipelined/regression/wally-pipelined-batch.do similarity index 100% rename from wally-pipelined/regression/wally-pipelined-batch.do rename to pipelined/regression/wally-pipelined-batch.do diff --git a/wally-pipelined/regression/wally-pipelined-fpga.do b/pipelined/regression/wally-pipelined-fpga.do similarity index 100% rename from wally-pipelined/regression/wally-pipelined-fpga.do rename to pipelined/regression/wally-pipelined-fpga.do diff --git a/wally-pipelined/regression/wally-pipelined.do b/pipelined/regression/wally-pipelined.do similarity index 100% rename from wally-pipelined/regression/wally-pipelined.do rename to pipelined/regression/wally-pipelined.do diff --git a/wally-pipelined/regression/wave-all.do b/pipelined/regression/wave-all.do similarity index 100% rename from wally-pipelined/regression/wave-all.do rename to pipelined/regression/wave-all.do diff --git a/wally-pipelined/regression/wave-coremark.do b/pipelined/regression/wave-coremark.do similarity index 100% rename from wally-pipelined/regression/wave-coremark.do rename to pipelined/regression/wave-coremark.do diff --git a/wally-pipelined/regression/wave-dos/ahb-muldiv.do b/pipelined/regression/wave-dos/ahb-muldiv.do similarity index 100% rename from wally-pipelined/regression/wave-dos/ahb-muldiv.do rename to pipelined/regression/wave-dos/ahb-muldiv.do diff --git a/wally-pipelined/regression/wave-dos/ahb-waves.do b/pipelined/regression/wave-dos/ahb-waves.do similarity index 100% rename from wally-pipelined/regression/wave-dos/ahb-waves.do rename to pipelined/regression/wave-dos/ahb-waves.do diff --git a/wally-pipelined/regression/wave-dos/cache-waves.do b/pipelined/regression/wave-dos/cache-waves.do similarity index 100% rename from wally-pipelined/regression/wave-dos/cache-waves.do rename to pipelined/regression/wave-dos/cache-waves.do diff --git a/wally-pipelined/regression/wave-dos/default-waves.do b/pipelined/regression/wave-dos/default-waves.do similarity index 100% rename from wally-pipelined/regression/wave-dos/default-waves.do rename to pipelined/regression/wave-dos/default-waves.do diff --git a/wally-pipelined/regression/wave-dos/generic.do b/pipelined/regression/wave-dos/generic.do similarity index 100% rename from wally-pipelined/regression/wave-dos/generic.do rename to pipelined/regression/wave-dos/generic.do diff --git a/wally-pipelined/regression/wave-dos/linux-waves.do b/pipelined/regression/wave-dos/linux-waves.do similarity index 100% rename from wally-pipelined/regression/wave-dos/linux-waves.do rename to pipelined/regression/wave-dos/linux-waves.do diff --git a/wally-pipelined/regression/wave-dos/peripheral-waves.do b/pipelined/regression/wave-dos/peripheral-waves.do similarity index 100% rename from wally-pipelined/regression/wave-dos/peripheral-waves.do rename to pipelined/regression/wave-dos/peripheral-waves.do diff --git a/wally-pipelined/regression/wave.do b/pipelined/regression/wave.do similarity index 100% rename from wally-pipelined/regression/wave.do rename to pipelined/regression/wave.do diff --git a/wally-pipelined/src/cache/cachereplacementpolicy.sv b/pipelined/src/cache/cachereplacementpolicy.sv similarity index 100% rename from wally-pipelined/src/cache/cachereplacementpolicy.sv rename to pipelined/src/cache/cachereplacementpolicy.sv diff --git a/wally-pipelined/src/cache/cacheway.sv b/pipelined/src/cache/cacheway.sv similarity index 100% rename from wally-pipelined/src/cache/cacheway.sv rename to pipelined/src/cache/cacheway.sv diff --git a/wally-pipelined/src/cache/dcache.sv b/pipelined/src/cache/dcache.sv similarity index 100% rename from wally-pipelined/src/cache/dcache.sv rename to pipelined/src/cache/dcache.sv diff --git a/wally-pipelined/src/cache/dcache_ptw_interaction_README.txt b/pipelined/src/cache/dcache_ptw_interaction_README.txt similarity index 100% rename from wally-pipelined/src/cache/dcache_ptw_interaction_README.txt rename to pipelined/src/cache/dcache_ptw_interaction_README.txt diff --git a/wally-pipelined/src/cache/dcachefsm.sv b/pipelined/src/cache/dcachefsm.sv similarity index 100% rename from wally-pipelined/src/cache/dcachefsm.sv rename to pipelined/src/cache/dcachefsm.sv diff --git a/wally-pipelined/src/cache/icache.sv b/pipelined/src/cache/icache.sv similarity index 100% rename from wally-pipelined/src/cache/icache.sv rename to pipelined/src/cache/icache.sv diff --git a/wally-pipelined/src/cache/icachefsm.sv b/pipelined/src/cache/icachefsm.sv similarity index 100% rename from wally-pipelined/src/cache/icachefsm.sv rename to pipelined/src/cache/icachefsm.sv diff --git a/wally-pipelined/src/cache/sram1rw.sv b/pipelined/src/cache/sram1rw.sv similarity index 100% rename from wally-pipelined/src/cache/sram1rw.sv rename to pipelined/src/cache/sram1rw.sv diff --git a/wally-pipelined/src/ebu/ahblite.sv b/pipelined/src/ebu/ahblite.sv similarity index 100% rename from wally-pipelined/src/ebu/ahblite.sv rename to pipelined/src/ebu/ahblite.sv diff --git a/wally-pipelined/src/ebu/amoalu.sv b/pipelined/src/ebu/amoalu.sv similarity index 100% rename from wally-pipelined/src/ebu/amoalu.sv rename to pipelined/src/ebu/amoalu.sv diff --git a/wally-pipelined/src/fpu/adderparts.sv b/pipelined/src/fpu/adderparts.sv similarity index 100% rename from wally-pipelined/src/fpu/adderparts.sv rename to pipelined/src/fpu/adderparts.sv diff --git a/wally-pipelined/src/fpu/cla12.sv b/pipelined/src/fpu/cla12.sv similarity index 100% rename from wally-pipelined/src/fpu/cla12.sv rename to pipelined/src/fpu/cla12.sv diff --git a/wally-pipelined/src/fpu/cla52.sv b/pipelined/src/fpu/cla52.sv similarity index 100% rename from wally-pipelined/src/fpu/cla52.sv rename to pipelined/src/fpu/cla52.sv diff --git a/wally-pipelined/src/fpu/cla64.sv b/pipelined/src/fpu/cla64.sv similarity index 100% rename from wally-pipelined/src/fpu/cla64.sv rename to pipelined/src/fpu/cla64.sv diff --git a/wally-pipelined/src/fpu/convert_inputs.sv b/pipelined/src/fpu/convert_inputs.sv similarity index 100% rename from wally-pipelined/src/fpu/convert_inputs.sv rename to pipelined/src/fpu/convert_inputs.sv diff --git a/wally-pipelined/src/fpu/convert_inputs_div.sv b/pipelined/src/fpu/convert_inputs_div.sv similarity index 100% rename from wally-pipelined/src/fpu/convert_inputs_div.sv rename to pipelined/src/fpu/convert_inputs_div.sv diff --git a/wally-pipelined/src/fpu/cvtfp.sv b/pipelined/src/fpu/cvtfp.sv similarity index 100% rename from wally-pipelined/src/fpu/cvtfp.sv rename to pipelined/src/fpu/cvtfp.sv diff --git a/wally-pipelined/src/fpu/divconv.sv b/pipelined/src/fpu/divconv.sv similarity index 100% rename from wally-pipelined/src/fpu/divconv.sv rename to pipelined/src/fpu/divconv.sv diff --git a/wally-pipelined/src/fpu/divconv_pipe.sv b/pipelined/src/fpu/divconv_pipe.sv similarity index 100% rename from wally-pipelined/src/fpu/divconv_pipe.sv rename to pipelined/src/fpu/divconv_pipe.sv diff --git a/wally-pipelined/src/fpu/exception.sv b/pipelined/src/fpu/exception.sv similarity index 100% rename from wally-pipelined/src/fpu/exception.sv rename to pipelined/src/fpu/exception.sv diff --git a/wally-pipelined/src/fpu/exception_div.sv b/pipelined/src/fpu/exception_div.sv similarity index 100% rename from wally-pipelined/src/fpu/exception_div.sv rename to pipelined/src/fpu/exception_div.sv diff --git a/wally-pipelined/src/fpu/faddcvt.sv b/pipelined/src/fpu/faddcvt.sv similarity index 100% rename from wally-pipelined/src/fpu/faddcvt.sv rename to pipelined/src/fpu/faddcvt.sv diff --git a/wally-pipelined/src/fpu/fclassify.sv b/pipelined/src/fpu/fclassify.sv similarity index 100% rename from wally-pipelined/src/fpu/fclassify.sv rename to pipelined/src/fpu/fclassify.sv diff --git a/wally-pipelined/src/fpu/fcmp.sv b/pipelined/src/fpu/fcmp.sv similarity index 100% rename from wally-pipelined/src/fpu/fcmp.sv rename to pipelined/src/fpu/fcmp.sv diff --git a/wally-pipelined/src/fpu/fctrl.sv b/pipelined/src/fpu/fctrl.sv similarity index 100% rename from wally-pipelined/src/fpu/fctrl.sv rename to pipelined/src/fpu/fctrl.sv diff --git a/wally-pipelined/src/fpu/fcvt.sv b/pipelined/src/fpu/fcvt.sv similarity index 100% rename from wally-pipelined/src/fpu/fcvt.sv rename to pipelined/src/fpu/fcvt.sv diff --git a/wally-pipelined/src/fpu/fhazard.sv b/pipelined/src/fpu/fhazard.sv similarity index 100% rename from wally-pipelined/src/fpu/fhazard.sv rename to pipelined/src/fpu/fhazard.sv diff --git a/wally-pipelined/src/fpu/fma.sv b/pipelined/src/fpu/fma.sv similarity index 100% rename from wally-pipelined/src/fpu/fma.sv rename to pipelined/src/fpu/fma.sv diff --git a/wally-pipelined/src/fpu/fpdiv.sv b/pipelined/src/fpu/fpdiv.sv similarity index 100% rename from wally-pipelined/src/fpu/fpdiv.sv rename to pipelined/src/fpu/fpdiv.sv diff --git a/wally-pipelined/src/fpu/fpdiv_pipe.sv b/pipelined/src/fpu/fpdiv_pipe.sv similarity index 100% rename from wally-pipelined/src/fpu/fpdiv_pipe.sv rename to pipelined/src/fpu/fpdiv_pipe.sv diff --git a/wally-pipelined/src/fpu/fpu.sv b/pipelined/src/fpu/fpu.sv similarity index 100% rename from wally-pipelined/src/fpu/fpu.sv rename to pipelined/src/fpu/fpu.sv diff --git a/wally-pipelined/src/fpu/fpudivsqrtrecur.sv b/pipelined/src/fpu/fpudivsqrtrecur.sv similarity index 100% rename from wally-pipelined/src/fpu/fpudivsqrtrecur.sv rename to pipelined/src/fpu/fpudivsqrtrecur.sv diff --git a/wally-pipelined/src/fpu/fpudivsqrtrecurcore.sv b/pipelined/src/fpu/fpudivsqrtrecurcore.sv similarity index 100% rename from wally-pipelined/src/fpu/fpudivsqrtrecurcore.sv rename to pipelined/src/fpu/fpudivsqrtrecurcore.sv diff --git a/wally-pipelined/src/fpu/fregfile.sv b/pipelined/src/fpu/fregfile.sv similarity index 100% rename from wally-pipelined/src/fpu/fregfile.sv rename to pipelined/src/fpu/fregfile.sv diff --git a/wally-pipelined/src/fpu/fsgn.sv b/pipelined/src/fpu/fsgn.sv similarity index 100% rename from wally-pipelined/src/fpu/fsgn.sv rename to pipelined/src/fpu/fsgn.sv diff --git a/wally-pipelined/src/fpu/fsm_fpdiv.sv b/pipelined/src/fpu/fsm_fpdiv.sv similarity index 100% rename from wally-pipelined/src/fpu/fsm_fpdiv.sv rename to pipelined/src/fpu/fsm_fpdiv.sv diff --git a/wally-pipelined/src/fpu/fsm_fpdiv_pipe.sv b/pipelined/src/fpu/fsm_fpdiv_pipe.sv similarity index 100% rename from wally-pipelined/src/fpu/fsm_fpdiv_pipe.sv rename to pipelined/src/fpu/fsm_fpdiv_pipe.sv diff --git a/wally-pipelined/src/fpu/lzd_denorm.sv b/pipelined/src/fpu/lzd_denorm.sv similarity index 100% rename from wally-pipelined/src/fpu/lzd_denorm.sv rename to pipelined/src/fpu/lzd_denorm.sv diff --git a/wally-pipelined/src/fpu/rounder_denorm.sv b/pipelined/src/fpu/rounder_denorm.sv similarity index 100% rename from wally-pipelined/src/fpu/rounder_denorm.sv rename to pipelined/src/fpu/rounder_denorm.sv diff --git a/wally-pipelined/src/fpu/rounder_div.sv b/pipelined/src/fpu/rounder_div.sv similarity index 100% rename from wally-pipelined/src/fpu/rounder_div.sv rename to pipelined/src/fpu/rounder_div.sv diff --git a/wally-pipelined/src/fpu/sbtm_a0.sv b/pipelined/src/fpu/sbtm_a0.sv similarity index 100% rename from wally-pipelined/src/fpu/sbtm_a0.sv rename to pipelined/src/fpu/sbtm_a0.sv diff --git a/wally-pipelined/src/fpu/sbtm_a1.sv b/pipelined/src/fpu/sbtm_a1.sv similarity index 100% rename from wally-pipelined/src/fpu/sbtm_a1.sv rename to pipelined/src/fpu/sbtm_a1.sv diff --git a/wally-pipelined/src/fpu/sbtm_a2.sv b/pipelined/src/fpu/sbtm_a2.sv similarity index 100% rename from wally-pipelined/src/fpu/sbtm_a2.sv rename to pipelined/src/fpu/sbtm_a2.sv diff --git a/wally-pipelined/src/fpu/sbtm_a3.sv b/pipelined/src/fpu/sbtm_a3.sv similarity index 100% rename from wally-pipelined/src/fpu/sbtm_a3.sv rename to pipelined/src/fpu/sbtm_a3.sv diff --git a/wally-pipelined/src/fpu/sbtm_div.sv b/pipelined/src/fpu/sbtm_div.sv similarity index 100% rename from wally-pipelined/src/fpu/sbtm_div.sv rename to pipelined/src/fpu/sbtm_div.sv diff --git a/wally-pipelined/src/fpu/sbtm_sqrt.sv b/pipelined/src/fpu/sbtm_sqrt.sv similarity index 100% rename from wally-pipelined/src/fpu/sbtm_sqrt.sv rename to pipelined/src/fpu/sbtm_sqrt.sv diff --git a/wally-pipelined/src/fpu/shifter_denorm.sv b/pipelined/src/fpu/shifter_denorm.sv similarity index 100% rename from wally-pipelined/src/fpu/shifter_denorm.sv rename to pipelined/src/fpu/shifter_denorm.sv diff --git a/wally-pipelined/src/fpu/unpacking.sv b/pipelined/src/fpu/unpacking.sv similarity index 100% rename from wally-pipelined/src/fpu/unpacking.sv rename to pipelined/src/fpu/unpacking.sv diff --git a/wally-pipelined/src/generic/adder.sv b/pipelined/src/generic/adder.sv similarity index 100% rename from wally-pipelined/src/generic/adder.sv rename to pipelined/src/generic/adder.sv diff --git a/wally-pipelined/src/generic/arrs.sv b/pipelined/src/generic/arrs.sv similarity index 100% rename from wally-pipelined/src/generic/arrs.sv rename to pipelined/src/generic/arrs.sv diff --git a/wally-pipelined/src/generic/clockgater.sv b/pipelined/src/generic/clockgater.sv similarity index 100% rename from wally-pipelined/src/generic/clockgater.sv rename to pipelined/src/generic/clockgater.sv diff --git a/wally-pipelined/src/generic/counter.sv b/pipelined/src/generic/counter.sv similarity index 100% rename from wally-pipelined/src/generic/counter.sv rename to pipelined/src/generic/counter.sv diff --git a/wally-pipelined/src/generic/flop/flop.sv b/pipelined/src/generic/flop/flop.sv similarity index 100% rename from wally-pipelined/src/generic/flop/flop.sv rename to pipelined/src/generic/flop/flop.sv diff --git a/wally-pipelined/src/generic/flop/flopen.sv b/pipelined/src/generic/flop/flopen.sv similarity index 100% rename from wally-pipelined/src/generic/flop/flopen.sv rename to pipelined/src/generic/flop/flopen.sv diff --git a/wally-pipelined/src/generic/flop/flopenl.sv b/pipelined/src/generic/flop/flopenl.sv similarity index 100% rename from wally-pipelined/src/generic/flop/flopenl.sv rename to pipelined/src/generic/flop/flopenl.sv diff --git a/wally-pipelined/src/generic/flop/flopenr.sv b/pipelined/src/generic/flop/flopenr.sv similarity index 100% rename from wally-pipelined/src/generic/flop/flopenr.sv rename to pipelined/src/generic/flop/flopenr.sv diff --git a/wally-pipelined/src/generic/flop/flopenrc.sv b/pipelined/src/generic/flop/flopenrc.sv similarity index 100% rename from wally-pipelined/src/generic/flop/flopenrc.sv rename to pipelined/src/generic/flop/flopenrc.sv diff --git a/wally-pipelined/src/generic/flop/flopens.sv b/pipelined/src/generic/flop/flopens.sv similarity index 100% rename from wally-pipelined/src/generic/flop/flopens.sv rename to pipelined/src/generic/flop/flopens.sv diff --git a/wally-pipelined/src/generic/flop/flopr.sv b/pipelined/src/generic/flop/flopr.sv similarity index 100% rename from wally-pipelined/src/generic/flop/flopr.sv rename to pipelined/src/generic/flop/flopr.sv diff --git a/wally-pipelined/src/generic/flop/floprc.sv b/pipelined/src/generic/flop/floprc.sv similarity index 100% rename from wally-pipelined/src/generic/flop/floprc.sv rename to pipelined/src/generic/flop/floprc.sv diff --git a/wally-pipelined/src/generic/flop/synchronizer.sv b/pipelined/src/generic/flop/synchronizer.sv similarity index 100% rename from wally-pipelined/src/generic/flop/synchronizer.sv rename to pipelined/src/generic/flop/synchronizer.sv diff --git a/wally-pipelined/src/generic/lzd.sv b/pipelined/src/generic/lzd.sv similarity index 100% rename from wally-pipelined/src/generic/lzd.sv rename to pipelined/src/generic/lzd.sv diff --git a/wally-pipelined/src/generic/mux.sv b/pipelined/src/generic/mux.sv similarity index 100% rename from wally-pipelined/src/generic/mux.sv rename to pipelined/src/generic/mux.sv diff --git a/wally-pipelined/src/generic/neg.sv b/pipelined/src/generic/neg.sv similarity index 100% rename from wally-pipelined/src/generic/neg.sv rename to pipelined/src/generic/neg.sv diff --git a/wally-pipelined/src/generic/onehotdecoder.sv b/pipelined/src/generic/onehotdecoder.sv similarity index 100% rename from wally-pipelined/src/generic/onehotdecoder.sv rename to pipelined/src/generic/onehotdecoder.sv diff --git a/wally-pipelined/src/generic/or_rows.sv b/pipelined/src/generic/or_rows.sv similarity index 100% rename from wally-pipelined/src/generic/or_rows.sv rename to pipelined/src/generic/or_rows.sv diff --git a/wally-pipelined/src/generic/shift.sv b/pipelined/src/generic/shift.sv similarity index 100% rename from wally-pipelined/src/generic/shift.sv rename to pipelined/src/generic/shift.sv diff --git a/wally-pipelined/src/hazard/hazard.sv b/pipelined/src/hazard/hazard.sv similarity index 100% rename from wally-pipelined/src/hazard/hazard.sv rename to pipelined/src/hazard/hazard.sv diff --git a/wally-pipelined/src/ieu/alu.sv b/pipelined/src/ieu/alu.sv similarity index 100% rename from wally-pipelined/src/ieu/alu.sv rename to pipelined/src/ieu/alu.sv diff --git a/wally-pipelined/src/ieu/comparator.sv b/pipelined/src/ieu/comparator.sv similarity index 100% rename from wally-pipelined/src/ieu/comparator.sv rename to pipelined/src/ieu/comparator.sv diff --git a/wally-pipelined/src/ieu/controller.sv b/pipelined/src/ieu/controller.sv similarity index 100% rename from wally-pipelined/src/ieu/controller.sv rename to pipelined/src/ieu/controller.sv diff --git a/wally-pipelined/src/ieu/datapath.sv b/pipelined/src/ieu/datapath.sv similarity index 100% rename from wally-pipelined/src/ieu/datapath.sv rename to pipelined/src/ieu/datapath.sv diff --git a/wally-pipelined/src/ieu/extend.sv b/pipelined/src/ieu/extend.sv similarity index 98% rename from wally-pipelined/src/ieu/extend.sv rename to pipelined/src/ieu/extend.sv index e1307537..61ca4624 100644 --- a/wally-pipelined/src/ieu/extend.sv +++ b/pipelined/src/ieu/extend.sv @@ -32,7 +32,7 @@ module extend ( localparam [`XLEN-1:0] undefined = {(`XLEN){1'bx}}; // could change to 0 after debug - generate +// generate always_comb case(ImmSrcD) // I-type @@ -50,5 +50,5 @@ module extend ( else ExtImmD = undefined; default: ExtImmD = undefined; // undefined endcase - endgenerate +// endgenerate endmodule diff --git a/wally-pipelined/src/ieu/forward.sv b/pipelined/src/ieu/forward.sv similarity index 100% rename from wally-pipelined/src/ieu/forward.sv rename to pipelined/src/ieu/forward.sv diff --git a/wally-pipelined/src/ieu/ieu.sv b/pipelined/src/ieu/ieu.sv similarity index 100% rename from wally-pipelined/src/ieu/ieu.sv rename to pipelined/src/ieu/ieu.sv diff --git a/wally-pipelined/src/ieu/regfile.sv b/pipelined/src/ieu/regfile.sv similarity index 100% rename from wally-pipelined/src/ieu/regfile.sv rename to pipelined/src/ieu/regfile.sv diff --git a/wally-pipelined/src/ieu/shifter.sv b/pipelined/src/ieu/shifter.sv similarity index 100% rename from wally-pipelined/src/ieu/shifter.sv rename to pipelined/src/ieu/shifter.sv diff --git a/wally-pipelined/src/ifu/.ifu.sv.swp b/pipelined/src/ifu/.ifu.sv.swp similarity index 100% rename from wally-pipelined/src/ifu/.ifu.sv.swp rename to pipelined/src/ifu/.ifu.sv.swp diff --git a/wally-pipelined/src/ifu/BTBPredictor.sv b/pipelined/src/ifu/BTBPredictor.sv similarity index 100% rename from wally-pipelined/src/ifu/BTBPredictor.sv rename to pipelined/src/ifu/BTBPredictor.sv diff --git a/wally-pipelined/src/ifu/CodeAligner.py b/pipelined/src/ifu/CodeAligner.py similarity index 100% rename from wally-pipelined/src/ifu/CodeAligner.py rename to pipelined/src/ifu/CodeAligner.py diff --git a/wally-pipelined/src/ifu/RAsPredictor.sv b/pipelined/src/ifu/RAsPredictor.sv similarity index 100% rename from wally-pipelined/src/ifu/RAsPredictor.sv rename to pipelined/src/ifu/RAsPredictor.sv diff --git a/wally-pipelined/src/ifu/SRAM2P1R1W.sv b/pipelined/src/ifu/SRAM2P1R1W.sv similarity index 100% rename from wally-pipelined/src/ifu/SRAM2P1R1W.sv rename to pipelined/src/ifu/SRAM2P1R1W.sv diff --git a/wally-pipelined/src/ifu/bpred.sv b/pipelined/src/ifu/bpred.sv similarity index 100% rename from wally-pipelined/src/ifu/bpred.sv rename to pipelined/src/ifu/bpred.sv diff --git a/wally-pipelined/src/ifu/decompress.sv b/pipelined/src/ifu/decompress.sv similarity index 100% rename from wally-pipelined/src/ifu/decompress.sv rename to pipelined/src/ifu/decompress.sv diff --git a/wally-pipelined/src/ifu/globalHistoryPredictor.sv b/pipelined/src/ifu/globalHistoryPredictor.sv similarity index 100% rename from wally-pipelined/src/ifu/globalHistoryPredictor.sv rename to pipelined/src/ifu/globalHistoryPredictor.sv diff --git a/wally-pipelined/src/ifu/gsharePredictor.sv b/pipelined/src/ifu/gsharePredictor.sv similarity index 100% rename from wally-pipelined/src/ifu/gsharePredictor.sv rename to pipelined/src/ifu/gsharePredictor.sv diff --git a/wally-pipelined/src/ifu/ifu.sv b/pipelined/src/ifu/ifu.sv similarity index 100% rename from wally-pipelined/src/ifu/ifu.sv rename to pipelined/src/ifu/ifu.sv diff --git a/wally-pipelined/src/ifu/localHistoryPredictor.sv b/pipelined/src/ifu/localHistoryPredictor.sv similarity index 100% rename from wally-pipelined/src/ifu/localHistoryPredictor.sv rename to pipelined/src/ifu/localHistoryPredictor.sv diff --git a/wally-pipelined/src/ifu/satCounter2.sv b/pipelined/src/ifu/satCounter2.sv similarity index 100% rename from wally-pipelined/src/ifu/satCounter2.sv rename to pipelined/src/ifu/satCounter2.sv diff --git a/wally-pipelined/src/ifu/twoBitPredictor.sv b/pipelined/src/ifu/twoBitPredictor.sv similarity index 100% rename from wally-pipelined/src/ifu/twoBitPredictor.sv rename to pipelined/src/ifu/twoBitPredictor.sv diff --git a/wally-pipelined/src/lsu/busfsm.sv b/pipelined/src/lsu/busfsm.sv similarity index 100% rename from wally-pipelined/src/lsu/busfsm.sv rename to pipelined/src/lsu/busfsm.sv diff --git a/wally-pipelined/src/lsu/interlockfsm.sv b/pipelined/src/lsu/interlockfsm.sv similarity index 100% rename from wally-pipelined/src/lsu/interlockfsm.sv rename to pipelined/src/lsu/interlockfsm.sv diff --git a/wally-pipelined/src/lsu/lrsc.sv b/pipelined/src/lsu/lrsc.sv similarity index 100% rename from wally-pipelined/src/lsu/lrsc.sv rename to pipelined/src/lsu/lrsc.sv diff --git a/wally-pipelined/src/lsu/lsu.sv b/pipelined/src/lsu/lsu.sv similarity index 100% rename from wally-pipelined/src/lsu/lsu.sv rename to pipelined/src/lsu/lsu.sv diff --git a/wally-pipelined/src/lsu/subwordread.sv b/pipelined/src/lsu/subwordread.sv similarity index 100% rename from wally-pipelined/src/lsu/subwordread.sv rename to pipelined/src/lsu/subwordread.sv diff --git a/wally-pipelined/src/mmu/adrdec.sv b/pipelined/src/mmu/adrdec.sv similarity index 100% rename from wally-pipelined/src/mmu/adrdec.sv rename to pipelined/src/mmu/adrdec.sv diff --git a/wally-pipelined/src/mmu/adrdecs.sv b/pipelined/src/mmu/adrdecs.sv similarity index 100% rename from wally-pipelined/src/mmu/adrdecs.sv rename to pipelined/src/mmu/adrdecs.sv diff --git a/wally-pipelined/src/mmu/decoder.sv b/pipelined/src/mmu/decoder.sv similarity index 100% rename from wally-pipelined/src/mmu/decoder.sv rename to pipelined/src/mmu/decoder.sv diff --git a/wally-pipelined/src/mmu/hptw.sv b/pipelined/src/mmu/hptw.sv similarity index 100% rename from wally-pipelined/src/mmu/hptw.sv rename to pipelined/src/mmu/hptw.sv diff --git a/wally-pipelined/src/mmu/mmu.sv b/pipelined/src/mmu/mmu.sv similarity index 100% rename from wally-pipelined/src/mmu/mmu.sv rename to pipelined/src/mmu/mmu.sv diff --git a/wally-pipelined/src/mmu/pmachecker.sv b/pipelined/src/mmu/pmachecker.sv similarity index 100% rename from wally-pipelined/src/mmu/pmachecker.sv rename to pipelined/src/mmu/pmachecker.sv diff --git a/wally-pipelined/src/mmu/pmpadrdec.sv b/pipelined/src/mmu/pmpadrdec.sv similarity index 100% rename from wally-pipelined/src/mmu/pmpadrdec.sv rename to pipelined/src/mmu/pmpadrdec.sv diff --git a/wally-pipelined/src/mmu/pmpchecker.sv b/pipelined/src/mmu/pmpchecker.sv similarity index 100% rename from wally-pipelined/src/mmu/pmpchecker.sv rename to pipelined/src/mmu/pmpchecker.sv diff --git a/wally-pipelined/src/mmu/priorityonehot.sv b/pipelined/src/mmu/priorityonehot.sv similarity index 100% rename from wally-pipelined/src/mmu/priorityonehot.sv rename to pipelined/src/mmu/priorityonehot.sv diff --git a/wally-pipelined/src/mmu/prioritythermometer.sv b/pipelined/src/mmu/prioritythermometer.sv similarity index 100% rename from wally-pipelined/src/mmu/prioritythermometer.sv rename to pipelined/src/mmu/prioritythermometer.sv diff --git a/wally-pipelined/src/mmu/tlb.sv b/pipelined/src/mmu/tlb.sv similarity index 100% rename from wally-pipelined/src/mmu/tlb.sv rename to pipelined/src/mmu/tlb.sv diff --git a/wally-pipelined/src/mmu/tlbcam.sv b/pipelined/src/mmu/tlbcam.sv similarity index 100% rename from wally-pipelined/src/mmu/tlbcam.sv rename to pipelined/src/mmu/tlbcam.sv diff --git a/wally-pipelined/src/mmu/tlbcamline.sv b/pipelined/src/mmu/tlbcamline.sv similarity index 100% rename from wally-pipelined/src/mmu/tlbcamline.sv rename to pipelined/src/mmu/tlbcamline.sv diff --git a/wally-pipelined/src/mmu/tlbcontrol.sv b/pipelined/src/mmu/tlbcontrol.sv similarity index 100% rename from wally-pipelined/src/mmu/tlbcontrol.sv rename to pipelined/src/mmu/tlbcontrol.sv diff --git a/wally-pipelined/src/mmu/tlblru.sv b/pipelined/src/mmu/tlblru.sv similarity index 100% rename from wally-pipelined/src/mmu/tlblru.sv rename to pipelined/src/mmu/tlblru.sv diff --git a/wally-pipelined/src/mmu/tlbmixer.sv b/pipelined/src/mmu/tlbmixer.sv similarity index 100% rename from wally-pipelined/src/mmu/tlbmixer.sv rename to pipelined/src/mmu/tlbmixer.sv diff --git a/wally-pipelined/src/mmu/tlbram.sv b/pipelined/src/mmu/tlbram.sv similarity index 100% rename from wally-pipelined/src/mmu/tlbram.sv rename to pipelined/src/mmu/tlbram.sv diff --git a/wally-pipelined/src/mmu/tlbramline.sv b/pipelined/src/mmu/tlbramline.sv similarity index 100% rename from wally-pipelined/src/mmu/tlbramline.sv rename to pipelined/src/mmu/tlbramline.sv diff --git a/wally-pipelined/src/muldiv/div.sv b/pipelined/src/muldiv/div.sv similarity index 100% rename from wally-pipelined/src/muldiv/div.sv rename to pipelined/src/muldiv/div.sv diff --git a/wally-pipelined/src/muldiv/intdivrestoring.sv b/pipelined/src/muldiv/intdivrestoring.sv similarity index 100% rename from wally-pipelined/src/muldiv/intdivrestoring.sv rename to pipelined/src/muldiv/intdivrestoring.sv diff --git a/wally-pipelined/src/muldiv/intdivrestoringstep.sv b/pipelined/src/muldiv/intdivrestoringstep.sv similarity index 100% rename from wally-pipelined/src/muldiv/intdivrestoringstep.sv rename to pipelined/src/muldiv/intdivrestoringstep.sv diff --git a/wally-pipelined/src/muldiv/mul.sv b/pipelined/src/muldiv/mul.sv similarity index 100% rename from wally-pipelined/src/muldiv/mul.sv rename to pipelined/src/muldiv/mul.sv diff --git a/wally-pipelined/src/muldiv/muldiv.sv b/pipelined/src/muldiv/muldiv.sv similarity index 100% rename from wally-pipelined/src/muldiv/muldiv.sv rename to pipelined/src/muldiv/muldiv.sv diff --git a/wally-pipelined/src/muldiv/redundantmul.sv b/pipelined/src/muldiv/redundantmul.sv similarity index 100% rename from wally-pipelined/src/muldiv/redundantmul.sv rename to pipelined/src/muldiv/redundantmul.sv diff --git a/wally-pipelined/src/privileged/csr.sv b/pipelined/src/privileged/csr.sv similarity index 100% rename from wally-pipelined/src/privileged/csr.sv rename to pipelined/src/privileged/csr.sv diff --git a/wally-pipelined/src/privileged/csrc.sv b/pipelined/src/privileged/csrc.sv similarity index 100% rename from wally-pipelined/src/privileged/csrc.sv rename to pipelined/src/privileged/csrc.sv diff --git a/wally-pipelined/src/privileged/csri.sv b/pipelined/src/privileged/csri.sv similarity index 100% rename from wally-pipelined/src/privileged/csri.sv rename to pipelined/src/privileged/csri.sv diff --git a/wally-pipelined/src/privileged/csrm.sv b/pipelined/src/privileged/csrm.sv similarity index 100% rename from wally-pipelined/src/privileged/csrm.sv rename to pipelined/src/privileged/csrm.sv diff --git a/wally-pipelined/src/privileged/csrn.sv b/pipelined/src/privileged/csrn.sv similarity index 100% rename from wally-pipelined/src/privileged/csrn.sv rename to pipelined/src/privileged/csrn.sv diff --git a/wally-pipelined/src/privileged/csrs.sv b/pipelined/src/privileged/csrs.sv similarity index 100% rename from wally-pipelined/src/privileged/csrs.sv rename to pipelined/src/privileged/csrs.sv diff --git a/wally-pipelined/src/privileged/csrsr.sv b/pipelined/src/privileged/csrsr.sv similarity index 100% rename from wally-pipelined/src/privileged/csrsr.sv rename to pipelined/src/privileged/csrsr.sv diff --git a/wally-pipelined/src/privileged/csru.sv b/pipelined/src/privileged/csru.sv similarity index 100% rename from wally-pipelined/src/privileged/csru.sv rename to pipelined/src/privileged/csru.sv diff --git a/wally-pipelined/src/privileged/privdec.sv b/pipelined/src/privileged/privdec.sv similarity index 100% rename from wally-pipelined/src/privileged/privdec.sv rename to pipelined/src/privileged/privdec.sv diff --git a/wally-pipelined/src/privileged/privileged.sv b/pipelined/src/privileged/privileged.sv similarity index 100% rename from wally-pipelined/src/privileged/privileged.sv rename to pipelined/src/privileged/privileged.sv diff --git a/wally-pipelined/src/privileged/trap.sv b/pipelined/src/privileged/trap.sv similarity index 100% rename from wally-pipelined/src/privileged/trap.sv rename to pipelined/src/privileged/trap.sv diff --git a/wally-pipelined/src/uncore/clint.sv b/pipelined/src/uncore/clint.sv similarity index 100% rename from wally-pipelined/src/uncore/clint.sv rename to pipelined/src/uncore/clint.sv diff --git a/wally-pipelined/src/uncore/gpio.sv b/pipelined/src/uncore/gpio.sv similarity index 100% rename from wally-pipelined/src/uncore/gpio.sv rename to pipelined/src/uncore/gpio.sv diff --git a/wally-pipelined/src/uncore/plic.sv b/pipelined/src/uncore/plic.sv similarity index 100% rename from wally-pipelined/src/uncore/plic.sv rename to pipelined/src/uncore/plic.sv diff --git a/wally-pipelined/src/uncore/ram.sv b/pipelined/src/uncore/ram.sv similarity index 100% rename from wally-pipelined/src/uncore/ram.sv rename to pipelined/src/uncore/ram.sv diff --git a/wally-pipelined/src/uncore/sdc/SDC.sv b/pipelined/src/uncore/sdc/SDC.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/SDC.sv rename to pipelined/src/uncore/sdc/SDC.sv diff --git a/wally-pipelined/src/uncore/sdc/SDCcounter.sv b/pipelined/src/uncore/sdc/SDCcounter.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/SDCcounter.sv rename to pipelined/src/uncore/sdc/SDCcounter.sv diff --git a/wally-pipelined/src/uncore/sdc/clkdivider.sv b/pipelined/src/uncore/sdc/clkdivider.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/clkdivider.sv rename to pipelined/src/uncore/sdc/clkdivider.sv diff --git a/wally-pipelined/src/uncore/sdc/crc16_sipo_np_ce.sv b/pipelined/src/uncore/sdc/crc16_sipo_np_ce.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/crc16_sipo_np_ce.sv rename to pipelined/src/uncore/sdc/crc16_sipo_np_ce.sv diff --git a/wally-pipelined/src/uncore/sdc/crc7_pipo.sv b/pipelined/src/uncore/sdc/crc7_pipo.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/crc7_pipo.sv rename to pipelined/src/uncore/sdc/crc7_pipo.sv diff --git a/wally-pipelined/src/uncore/sdc/crc7_sipo_np_ce.sv b/pipelined/src/uncore/sdc/crc7_sipo_np_ce.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/crc7_sipo_np_ce.sv rename to pipelined/src/uncore/sdc/crc7_sipo_np_ce.sv diff --git a/wally-pipelined/src/uncore/sdc/piso_generic_ce.sv b/pipelined/src/uncore/sdc/piso_generic_ce.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/piso_generic_ce.sv rename to pipelined/src/uncore/sdc/piso_generic_ce.sv diff --git a/wally-pipelined/src/uncore/sdc/regfile_p2r1w1_nibo.sv b/pipelined/src/uncore/sdc/regfile_p2r1w1_nibo.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/regfile_p2r1w1_nibo.sv rename to pipelined/src/uncore/sdc/regfile_p2r1w1_nibo.sv diff --git a/wally-pipelined/src/uncore/sdc/regfile_p2r1w1bwen.sv b/pipelined/src/uncore/sdc/regfile_p2r1w1bwen.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/regfile_p2r1w1bwen.sv rename to pipelined/src/uncore/sdc/regfile_p2r1w1bwen.sv diff --git a/wally-pipelined/src/uncore/sdc/sd_clk_fsm.sv b/pipelined/src/uncore/sdc/sd_clk_fsm.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/sd_clk_fsm.sv rename to pipelined/src/uncore/sdc/sd_clk_fsm.sv diff --git a/wally-pipelined/src/uncore/sdc/sd_cmd_fsm.sv b/pipelined/src/uncore/sdc/sd_cmd_fsm.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/sd_cmd_fsm.sv rename to pipelined/src/uncore/sdc/sd_cmd_fsm.sv diff --git a/wally-pipelined/src/uncore/sdc/sd_dat_fsm.sv b/pipelined/src/uncore/sdc/sd_dat_fsm.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/sd_dat_fsm.sv rename to pipelined/src/uncore/sdc/sd_dat_fsm.sv diff --git a/wally-pipelined/src/uncore/sdc/sd_top.sv b/pipelined/src/uncore/sdc/sd_top.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/sd_top.sv rename to pipelined/src/uncore/sdc/sd_top.sv diff --git a/wally-pipelined/src/uncore/sdc/sd_top_wrapper.v b/pipelined/src/uncore/sdc/sd_top_wrapper.v similarity index 100% rename from wally-pipelined/src/uncore/sdc/sd_top_wrapper.v rename to pipelined/src/uncore/sdc/sd_top_wrapper.v diff --git a/wally-pipelined/src/uncore/sdc/simple_timer.sv b/pipelined/src/uncore/sdc/simple_timer.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/simple_timer.sv rename to pipelined/src/uncore/sdc/simple_timer.sv diff --git a/wally-pipelined/src/uncore/sdc/sipo_generic_ce.sv b/pipelined/src/uncore/sdc/sipo_generic_ce.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/sipo_generic_ce.sv rename to pipelined/src/uncore/sdc/sipo_generic_ce.sv diff --git a/wally-pipelined/src/uncore/sdc/up_down_counter.sv b/pipelined/src/uncore/sdc/up_down_counter.sv similarity index 100% rename from wally-pipelined/src/uncore/sdc/up_down_counter.sv rename to pipelined/src/uncore/sdc/up_down_counter.sv diff --git a/wally-pipelined/src/uncore/subwordwrite.sv b/pipelined/src/uncore/subwordwrite.sv similarity index 100% rename from wally-pipelined/src/uncore/subwordwrite.sv rename to pipelined/src/uncore/subwordwrite.sv diff --git a/wally-pipelined/src/uncore/uart.sv b/pipelined/src/uncore/uart.sv similarity index 100% rename from wally-pipelined/src/uncore/uart.sv rename to pipelined/src/uncore/uart.sv diff --git a/wally-pipelined/src/uncore/uartPC16550D.sv b/pipelined/src/uncore/uartPC16550D.sv similarity index 100% rename from wally-pipelined/src/uncore/uartPC16550D.sv rename to pipelined/src/uncore/uartPC16550D.sv diff --git a/wally-pipelined/src/uncore/uncore.sv b/pipelined/src/uncore/uncore.sv similarity index 99% rename from wally-pipelined/src/uncore/uncore.sv rename to pipelined/src/uncore/uncore.sv index 85d1404a..57fdb8d9 100644 --- a/wally-pipelined/src/uncore/uncore.sv +++ b/pipelined/src/uncore/uncore.sv @@ -91,7 +91,7 @@ module uncore ( .HADDRD, .HSIZED, .HWDATAIN, .HWDATA); - generate +// generate // on-chip RAM outside hart if (`RAM_SUPPORTED) begin : ram ram #( @@ -181,7 +181,7 @@ module uncore ( assign SDCCmdOut = 0; assign SDCCmdOE = 0; end - endgenerate +// endgenerate // mux could also include external memory // AHB Read Multiplexer diff --git a/wally-pipelined/src/wally/wallypipelinedhart.sv b/pipelined/src/wally/wallypipelinedhart.sv similarity index 100% rename from wally-pipelined/src/wally/wallypipelinedhart.sv rename to pipelined/src/wally/wallypipelinedhart.sv diff --git a/wally-pipelined/src/wally/wallypipelinedsoc.sv b/pipelined/src/wally/wallypipelinedsoc.sv similarity index 100% rename from wally-pipelined/src/wally/wallypipelinedsoc.sv rename to pipelined/src/wally/wallypipelinedsoc.sv diff --git a/wally-pipelined/src/wally/wallypipelinedsocwrapper.v b/pipelined/src/wally/wallypipelinedsocwrapper.v similarity index 100% rename from wally-pipelined/src/wally/wallypipelinedsocwrapper.v rename to pipelined/src/wally/wallypipelinedsocwrapper.v diff --git a/wally-pipelined/srt/Makefile b/pipelined/srt/Makefile similarity index 100% rename from wally-pipelined/srt/Makefile rename to pipelined/srt/Makefile diff --git a/wally-pipelined/srt/sim-srt b/pipelined/srt/sim-srt similarity index 100% rename from wally-pipelined/srt/sim-srt rename to pipelined/srt/sim-srt diff --git a/wally-pipelined/srt/sqrttestgen b/pipelined/srt/sqrttestgen similarity index 100% rename from wally-pipelined/srt/sqrttestgen rename to pipelined/srt/sqrttestgen diff --git a/wally-pipelined/srt/sqrttestgen.c b/pipelined/srt/sqrttestgen.c similarity index 100% rename from wally-pipelined/srt/sqrttestgen.c rename to pipelined/srt/sqrttestgen.c diff --git a/wally-pipelined/srt/sqrttestvectors b/pipelined/srt/sqrttestvectors similarity index 100% rename from wally-pipelined/srt/sqrttestvectors rename to pipelined/srt/sqrttestvectors diff --git a/wally-pipelined/srt/srt-waves.do b/pipelined/srt/srt-waves.do similarity index 100% rename from wally-pipelined/srt/srt-waves.do rename to pipelined/srt/srt-waves.do diff --git a/wally-pipelined/srt/srt.do b/pipelined/srt/srt.do similarity index 100% rename from wally-pipelined/srt/srt.do rename to pipelined/srt/srt.do diff --git a/wally-pipelined/srt/srt.sv b/pipelined/srt/srt.sv similarity index 100% rename from wally-pipelined/srt/srt.sv rename to pipelined/srt/srt.sv diff --git a/wally-pipelined/srt/testgen b/pipelined/srt/testgen similarity index 100% rename from wally-pipelined/srt/testgen rename to pipelined/srt/testgen diff --git a/wally-pipelined/srt/testgen.c b/pipelined/srt/testgen.c similarity index 100% rename from wally-pipelined/srt/testgen.c rename to pipelined/srt/testgen.c diff --git a/wally-pipelined/srt/testvectors b/pipelined/srt/testvectors similarity index 100% rename from wally-pipelined/srt/testvectors rename to pipelined/srt/testvectors diff --git a/wally-pipelined/testbench/common/function_radix.sv b/pipelined/testbench/common/function_radix.sv similarity index 100% rename from wally-pipelined/testbench/common/function_radix.sv rename to pipelined/testbench/common/function_radix.sv diff --git a/wally-pipelined/testbench/common/instrNameDecTB.sv b/pipelined/testbench/common/instrNameDecTB.sv similarity index 100% rename from wally-pipelined/testbench/common/instrNameDecTB.sv rename to pipelined/testbench/common/instrNameDecTB.sv diff --git a/wally-pipelined/testbench/common/instrTrackerTB.sv b/pipelined/testbench/common/instrTrackerTB.sv similarity index 100% rename from wally-pipelined/testbench/common/instrTrackerTB.sv rename to pipelined/testbench/common/instrTrackerTB.sv diff --git a/wally-pipelined/testbench/common/logging.sv b/pipelined/testbench/common/logging.sv similarity index 100% rename from wally-pipelined/testbench/common/logging.sv rename to pipelined/testbench/common/logging.sv diff --git a/wally-pipelined/testbench/common/sdModel.sv b/pipelined/testbench/common/sdModel.sv similarity index 100% rename from wally-pipelined/testbench/common/sdModel.sv rename to pipelined/testbench/common/sdModel.sv diff --git a/wally-pipelined/testbench/common/sd_crc_16.sv b/pipelined/testbench/common/sd_crc_16.sv similarity index 100% rename from wally-pipelined/testbench/common/sd_crc_16.sv rename to pipelined/testbench/common/sd_crc_16.sv diff --git a/wally-pipelined/testbench/common/sd_crc_7.sv b/pipelined/testbench/common/sd_crc_7.sv similarity index 100% rename from wally-pipelined/testbench/common/sd_crc_7.sv rename to pipelined/testbench/common/sd_crc_7.sv diff --git a/wally-pipelined/testbench/common/sd_defines.h b/pipelined/testbench/common/sd_defines.h similarity index 100% rename from wally-pipelined/testbench/common/sd_defines.h rename to pipelined/testbench/common/sd_defines.h diff --git a/wally-pipelined/testbench/fp/README.md b/pipelined/testbench/fp/README.md similarity index 100% rename from wally-pipelined/testbench/fp/README.md rename to pipelined/testbench/fp/README.md diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/COPYING.txt b/pipelined/testbench/fp/SoftFloat-3e/COPYING.txt similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/COPYING.txt rename to pipelined/testbench/fp/SoftFloat-3e/COPYING.txt diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/README.html b/pipelined/testbench/fp/SoftFloat-3e/README.html similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/README.html rename to pipelined/testbench/fp/SoftFloat-3e/README.html diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/README.txt b/pipelined/testbench/fp/SoftFloat-3e/README.txt similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/README.txt rename to pipelined/testbench/fp/SoftFloat-3e/README.txt diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-GCC/Makefile b/pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-GCC/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-GCC/Makefile rename to pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-GCC/Makefile diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-GCC/platform.h b/pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-GCC/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-GCC/platform.h rename to pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-GCC/platform.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-SSE2-GCC/Makefile b/pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-SSE2-GCC/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-SSE2-GCC/Makefile rename to pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-SSE2-GCC/Makefile diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-SSE2-GCC/platform.h b/pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-SSE2-GCC/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-SSE2-GCC/platform.h rename to pipelined/testbench/fp/SoftFloat-3e/build/Linux-386-SSE2-GCC/platform.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-ARM-VFPv2-GCC/Makefile b/pipelined/testbench/fp/SoftFloat-3e/build/Linux-ARM-VFPv2-GCC/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-ARM-VFPv2-GCC/Makefile rename to pipelined/testbench/fp/SoftFloat-3e/build/Linux-ARM-VFPv2-GCC/Makefile diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-ARM-VFPv2-GCC/platform.h b/pipelined/testbench/fp/SoftFloat-3e/build/Linux-ARM-VFPv2-GCC/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-ARM-VFPv2-GCC/platform.h rename to pipelined/testbench/fp/SoftFloat-3e/build/Linux-ARM-VFPv2-GCC/platform.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-x86_64-GCC/Makefile b/pipelined/testbench/fp/SoftFloat-3e/build/Linux-x86_64-GCC/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-x86_64-GCC/Makefile rename to pipelined/testbench/fp/SoftFloat-3e/build/Linux-x86_64-GCC/Makefile diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-x86_64-GCC/platform.h b/pipelined/testbench/fp/SoftFloat-3e/build/Linux-x86_64-GCC/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-x86_64-GCC/platform.h rename to pipelined/testbench/fp/SoftFloat-3e/build/Linux-x86_64-GCC/platform.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-x86_64-GCC/softfloat.a b/pipelined/testbench/fp/SoftFloat-3e/build/Linux-x86_64-GCC/softfloat.a similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Linux-x86_64-GCC/softfloat.a rename to pipelined/testbench/fp/SoftFloat-3e/build/Linux-x86_64-GCC/softfloat.a diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Win32-MinGW/Makefile b/pipelined/testbench/fp/SoftFloat-3e/build/Win32-MinGW/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Win32-MinGW/Makefile rename to pipelined/testbench/fp/SoftFloat-3e/build/Win32-MinGW/Makefile diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Win32-MinGW/platform.h b/pipelined/testbench/fp/SoftFloat-3e/build/Win32-MinGW/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Win32-MinGW/platform.h rename to pipelined/testbench/fp/SoftFloat-3e/build/Win32-MinGW/platform.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Win32-SSE2-MinGW/Makefile b/pipelined/testbench/fp/SoftFloat-3e/build/Win32-SSE2-MinGW/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Win32-SSE2-MinGW/Makefile rename to pipelined/testbench/fp/SoftFloat-3e/build/Win32-SSE2-MinGW/Makefile diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Win32-SSE2-MinGW/platform.h b/pipelined/testbench/fp/SoftFloat-3e/build/Win32-SSE2-MinGW/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Win32-SSE2-MinGW/platform.h rename to pipelined/testbench/fp/SoftFloat-3e/build/Win32-SSE2-MinGW/platform.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Win64-MinGW-w64/Makefile b/pipelined/testbench/fp/SoftFloat-3e/build/Win64-MinGW-w64/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Win64-MinGW-w64/Makefile rename to pipelined/testbench/fp/SoftFloat-3e/build/Win64-MinGW-w64/Makefile diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/Win64-MinGW-w64/platform.h b/pipelined/testbench/fp/SoftFloat-3e/build/Win64-MinGW-w64/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/Win64-MinGW-w64/platform.h rename to pipelined/testbench/fp/SoftFloat-3e/build/Win64-MinGW-w64/platform.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/template-FAST_INT64/Makefile b/pipelined/testbench/fp/SoftFloat-3e/build/template-FAST_INT64/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/template-FAST_INT64/Makefile rename to pipelined/testbench/fp/SoftFloat-3e/build/template-FAST_INT64/Makefile diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/template-FAST_INT64/platform.h b/pipelined/testbench/fp/SoftFloat-3e/build/template-FAST_INT64/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/template-FAST_INT64/platform.h rename to pipelined/testbench/fp/SoftFloat-3e/build/template-FAST_INT64/platform.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/template-not-FAST_INT64/Makefile b/pipelined/testbench/fp/SoftFloat-3e/build/template-not-FAST_INT64/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/template-not-FAST_INT64/Makefile rename to pipelined/testbench/fp/SoftFloat-3e/build/template-not-FAST_INT64/Makefile diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/build/template-not-FAST_INT64/platform.h b/pipelined/testbench/fp/SoftFloat-3e/build/template-not-FAST_INT64/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/build/template-not-FAST_INT64/platform.h rename to pipelined/testbench/fp/SoftFloat-3e/build/template-not-FAST_INT64/platform.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/doc/SoftFloat-history.html b/pipelined/testbench/fp/SoftFloat-3e/doc/SoftFloat-history.html similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/doc/SoftFloat-history.html rename to pipelined/testbench/fp/SoftFloat-3e/doc/SoftFloat-history.html diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/doc/SoftFloat-source.html b/pipelined/testbench/fp/SoftFloat-3e/doc/SoftFloat-source.html similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/doc/SoftFloat-source.html rename to pipelined/testbench/fp/SoftFloat-3e/doc/SoftFloat-source.html diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/doc/SoftFloat.html b/pipelined/testbench/fp/SoftFloat-3e/doc/SoftFloat.html similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/doc/SoftFloat.html rename to pipelined/testbench/fp/SoftFloat-3e/doc/SoftFloat.html diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/extF80M_isSignalingNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/extF80M_isSignalingNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/extF80M_isSignalingNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/extF80M_isSignalingNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/f128M_isSignalingNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/f128M_isSignalingNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/f128M_isSignalingNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/f128M_isSignalingNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToExtF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToExtF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToExtF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToExtF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToExtF80UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToExtF80UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToExtF80UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToExtF80UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF128UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF128UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF128UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF128UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF16UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF16UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF16UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF16UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF32UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF32UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF32UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF32UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF64UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF64UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF64UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_commonNaNToF64UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_extF80MToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_extF80MToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_extF80MToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_extF80MToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_extF80UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_extF80UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_extF80UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_extF80UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f128MToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f128MToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f128MToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f128MToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f128UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f128UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f128UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f128UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f16UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f16UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f16UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f16UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f32UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f32UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f32UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f32UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f64UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f64UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f64UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_f64UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNExtF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNExtF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNExtF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNExtF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNExtF80UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNExtF80UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNExtF80UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNExtF80UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF128UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF128UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF128UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF128UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF16UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF16UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF16UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF16UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF32UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF32UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF32UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF32UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF64UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF64UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF64UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/s_propagateNaNF64UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/softfloat_raiseFlags.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/softfloat_raiseFlags.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/softfloat_raiseFlags.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/softfloat_raiseFlags.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/specialize.h b/pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/specialize.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/specialize.h rename to pipelined/testbench/fp/SoftFloat-3e/source/8086-SSE/specialize.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/extF80M_isSignalingNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/extF80M_isSignalingNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/extF80M_isSignalingNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/extF80M_isSignalingNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/f128M_isSignalingNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/f128M_isSignalingNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/f128M_isSignalingNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/f128M_isSignalingNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToExtF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToExtF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToExtF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToExtF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToExtF80UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToExtF80UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToExtF80UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToExtF80UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF128UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF128UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF128UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF128UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF16UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF16UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF16UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF16UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF32UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF32UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF32UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF32UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF64UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF64UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF64UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_commonNaNToF64UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_extF80MToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_extF80MToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_extF80MToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_extF80MToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_extF80UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_extF80UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_extF80UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_extF80UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f128MToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f128MToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f128MToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f128MToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f128UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f128UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f128UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f128UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f16UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f16UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f16UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f16UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f32UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f32UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f32UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f32UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f64UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f64UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f64UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_f64UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNExtF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNExtF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNExtF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNExtF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNExtF80UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNExtF80UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNExtF80UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNExtF80UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF128UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF128UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF128UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF128UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF16UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF16UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF16UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF16UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF32UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF32UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF32UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF32UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF64UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF64UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF64UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/s_propagateNaNF64UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/softfloat_raiseFlags.c b/pipelined/testbench/fp/SoftFloat-3e/source/8086/softfloat_raiseFlags.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/softfloat_raiseFlags.c rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/softfloat_raiseFlags.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/specialize.h b/pipelined/testbench/fp/SoftFloat-3e/source/8086/specialize.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/8086/specialize.h rename to pipelined/testbench/fp/SoftFloat-3e/source/8086/specialize.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/extF80M_isSignalingNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/extF80M_isSignalingNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/extF80M_isSignalingNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/extF80M_isSignalingNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/f128M_isSignalingNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/f128M_isSignalingNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/f128M_isSignalingNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/f128M_isSignalingNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToExtF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToExtF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToExtF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToExtF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToExtF80UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToExtF80UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToExtF80UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToExtF80UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF128UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF128UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF128UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF128UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF16UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF16UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF16UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF16UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF32UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF32UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF32UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF32UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF64UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF64UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF64UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_commonNaNToF64UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_extF80MToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_extF80MToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_extF80MToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_extF80MToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_extF80UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_extF80UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_extF80UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_extF80UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f128MToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f128MToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f128MToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f128MToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f128UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f128UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f128UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f128UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f16UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f16UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f16UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f16UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f32UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f32UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f32UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f32UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f64UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f64UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f64UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_f64UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNExtF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNExtF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNExtF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNExtF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNExtF80UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNExtF80UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNExtF80UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNExtF80UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF128UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF128UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF128UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF128UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF16UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF16UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF16UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF16UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF32UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF32UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF32UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF32UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF64UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF64UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF64UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/s_propagateNaNF64UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/softfloat_raiseFlags.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/softfloat_raiseFlags.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/softfloat_raiseFlags.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/softfloat_raiseFlags.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/specialize.h b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/specialize.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/specialize.h rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2-defaultNaN/specialize.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/extF80M_isSignalingNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/extF80M_isSignalingNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/extF80M_isSignalingNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/extF80M_isSignalingNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/f128M_isSignalingNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/f128M_isSignalingNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/f128M_isSignalingNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/f128M_isSignalingNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToExtF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToExtF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToExtF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToExtF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToExtF80UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToExtF80UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToExtF80UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToExtF80UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF128UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF128UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF128UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF128UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF16UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF16UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF16UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF16UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF32UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF32UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF32UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF32UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF64UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF64UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF64UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_commonNaNToF64UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_extF80MToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_extF80MToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_extF80MToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_extF80MToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_extF80UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_extF80UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_extF80UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_extF80UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f128MToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f128MToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f128MToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f128MToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f128UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f128UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f128UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f128UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f16UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f16UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f16UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f16UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f32UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f32UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f32UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f32UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f64UIToCommonNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f64UIToCommonNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f64UIToCommonNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_f64UIToCommonNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNExtF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNExtF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNExtF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNExtF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNExtF80UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNExtF80UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNExtF80UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNExtF80UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF128UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF128UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF128UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF128UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF16UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF16UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF16UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF16UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF32UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF32UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF32UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF32UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF64UI.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF64UI.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF64UI.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/s_propagateNaNF64UI.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/softfloat_raiseFlags.c b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/softfloat_raiseFlags.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/softfloat_raiseFlags.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/softfloat_raiseFlags.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/specialize.h b/pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/specialize.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/specialize.h rename to pipelined/testbench/fp/SoftFloat-3e/source/ARM-VFPv2/specialize.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_add.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_add.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_add.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_add.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_div.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_div.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_div.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_div.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_eq.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_eq.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_eq.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_eq.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_eq_signaling.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_eq_signaling.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_eq_signaling.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_eq_signaling.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_le.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_le.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_le.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_le.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_le_quiet.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_le_quiet.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_le_quiet.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_le_quiet.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_lt.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_lt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_lt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_lt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_lt_quiet.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_lt_quiet.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_lt_quiet.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_lt_quiet.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_mul.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_mul.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_mul.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_mul.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_rem.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_rem.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_rem.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_rem.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_roundToInt.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_roundToInt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_roundToInt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_roundToInt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_sqrt.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_sqrt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_sqrt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_sqrt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_sub.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_sub.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_sub.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_sub.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f16.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f32.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f64.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_f64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i32.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i32_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i32_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i32_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i32_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i64.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i64_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i64_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i64_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_i64_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui32.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui32_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui32_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui32_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui32_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui64.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui64_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui64_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui64_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80M_to_ui64_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_add.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_add.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_add.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_add.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_div.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_div.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_div.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_div.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_eq.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_eq.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_eq.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_eq.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_eq_signaling.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_eq_signaling.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_eq_signaling.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_eq_signaling.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_isSignalingNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_isSignalingNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_isSignalingNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_isSignalingNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_le.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_le.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_le.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_le.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_le_quiet.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_le_quiet.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_le_quiet.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_le_quiet.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_lt.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_lt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_lt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_lt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_lt_quiet.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_lt_quiet.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_lt_quiet.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_lt_quiet.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_mul.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_mul.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_mul.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_mul.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_rem.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_rem.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_rem.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_rem.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_roundToInt.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_roundToInt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_roundToInt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_roundToInt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_sqrt.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_sqrt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_sqrt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_sqrt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_sub.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_sub.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_sub.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_sub.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f128.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f16.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f32.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f64.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_f64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i32.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i32_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i32_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i32_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i32_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i64.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i64_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i64_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i64_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_i64_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui32.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui32_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui32_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui32_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui32_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui64.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui64_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui64_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui64_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/extF80_to_ui64_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_add.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_add.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_add.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_add.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_div.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_div.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_div.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_div.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_eq.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_eq.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_eq.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_eq.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_eq_signaling.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_eq_signaling.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_eq_signaling.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_eq_signaling.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_le.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_le.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_le.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_le.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_le_quiet.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_le_quiet.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_le_quiet.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_le_quiet.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_lt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_lt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_lt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_lt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_lt_quiet.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_lt_quiet.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_lt_quiet.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_lt_quiet.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_mul.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_mul.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_mul.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_mul.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_mulAdd.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_mulAdd.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_mulAdd.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_mulAdd.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_rem.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_rem.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_rem.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_rem.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_roundToInt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_roundToInt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_roundToInt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_roundToInt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_sqrt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_sqrt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_sqrt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_sqrt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_sub.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_sub.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_sub.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_sub.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_extF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_extF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_extF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_extF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_f16.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_f16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_f16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_f32.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_f32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_f32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_f64.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_f64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_f64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i32.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i32_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i32_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i32_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i32_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i64.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i64_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i64_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i64_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_i64_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui32.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui32_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui32_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui32_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui32_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui64.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui64_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui64_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui64_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128M_to_ui64_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_add.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_add.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_add.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_add.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_div.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_div.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_div.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_div.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_eq.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_eq.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_eq.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_eq.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_eq_signaling.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_eq_signaling.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_eq_signaling.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_eq_signaling.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_isSignalingNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_isSignalingNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_isSignalingNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_isSignalingNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_le.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_le.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_le.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_le.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_le_quiet.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_le_quiet.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_le_quiet.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_le_quiet.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_lt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_lt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_lt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_lt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_lt_quiet.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_lt_quiet.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_lt_quiet.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_lt_quiet.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_mul.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_mul.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_mul.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_mul.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_mulAdd.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_mulAdd.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_mulAdd.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_mulAdd.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_rem.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_rem.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_rem.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_rem.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_roundToInt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_roundToInt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_roundToInt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_roundToInt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_sqrt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_sqrt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_sqrt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_sqrt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_sub.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_sub.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_sub.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_sub.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_extF80.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_to_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_extF80.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_to_extF80.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_f16.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_to_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_f16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_to_f16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_f32.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_to_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_f32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_to_f32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_f64.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_to_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_f64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_to_f64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i32.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i32_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i32_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i32_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i32_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i64.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i64_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i64_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i64_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_to_i64_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui32.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui32_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui32_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui32_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui32_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui64.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui64_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui64_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui64_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f128_to_ui64_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_add.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_add.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_add.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_add.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_div.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_div.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_div.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_div.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_eq.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_eq.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_eq.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_eq.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_eq_signaling.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_eq_signaling.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_eq_signaling.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_eq_signaling.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_isSignalingNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_isSignalingNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_isSignalingNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_isSignalingNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_le.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_le.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_le.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_le.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_le_quiet.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_le_quiet.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_le_quiet.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_le_quiet.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_lt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_lt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_lt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_lt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_lt_quiet.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_lt_quiet.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_lt_quiet.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_lt_quiet.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_mul.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_mul.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_mul.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_mul.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_mulAdd.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_mulAdd.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_mulAdd.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_mulAdd.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_rem.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_rem.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_rem.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_rem.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_roundToInt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_roundToInt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_roundToInt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_roundToInt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_sqrt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_sqrt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_sqrt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_sqrt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_sub.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_sub.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_sub.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_sub.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_extF80.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_to_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_extF80.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_to_extF80.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_extF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_to_extF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_extF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_to_extF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f128.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f32.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f64.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_to_f64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i32.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i32_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i32_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i32_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i32_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i64.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i64_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i64_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i64_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_to_i64_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui32.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui32_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui32_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui32_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui32_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui64.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui64_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui64_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui64_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f16_to_ui64_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_add.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_add.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_add.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_add.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_div.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_div.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_div.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_div.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_eq.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_eq.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_eq.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_eq.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_eq_signaling.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_eq_signaling.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_eq_signaling.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_eq_signaling.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_isSignalingNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_isSignalingNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_isSignalingNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_isSignalingNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_le.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_le.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_le.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_le.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_le_quiet.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_le_quiet.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_le_quiet.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_le_quiet.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_lt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_lt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_lt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_lt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_lt_quiet.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_lt_quiet.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_lt_quiet.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_lt_quiet.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_mul.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_mul.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_mul.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_mul.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_mulAdd.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_mulAdd.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_mulAdd.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_mulAdd.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_rem.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_rem.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_rem.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_rem.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_roundToInt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_roundToInt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_roundToInt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_roundToInt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_sqrt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_sqrt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_sqrt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_sqrt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_sub.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_sub.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_sub.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_sub.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_extF80.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_to_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_extF80.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_to_extF80.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_extF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_to_extF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_extF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_to_extF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f128.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f16.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f64.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_to_f64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i32.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i32_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i32_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i32_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i32_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i64.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i64_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i64_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i64_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_to_i64_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui32.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui32_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui32_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui32_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui32_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui64.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui64_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui64_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui64_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f32_to_ui64_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_add.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_add.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_add.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_add.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_div.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_div.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_div.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_div.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_eq.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_eq.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_eq.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_eq.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_eq_signaling.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_eq_signaling.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_eq_signaling.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_eq_signaling.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_isSignalingNaN.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_isSignalingNaN.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_isSignalingNaN.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_isSignalingNaN.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_le.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_le.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_le.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_le.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_le_quiet.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_le_quiet.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_le_quiet.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_le_quiet.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_lt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_lt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_lt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_lt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_lt_quiet.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_lt_quiet.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_lt_quiet.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_lt_quiet.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_mul.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_mul.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_mul.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_mul.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_mulAdd.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_mulAdd.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_mulAdd.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_mulAdd.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_rem.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_rem.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_rem.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_rem.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_roundToInt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_roundToInt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_roundToInt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_roundToInt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_sqrt.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_sqrt.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_sqrt.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_sqrt.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_sub.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_sub.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_sub.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_sub.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_extF80.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_to_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_extF80.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_to_extF80.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_extF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_to_extF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_extF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_to_extF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f128.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f16.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f32.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_to_f32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i32.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i32_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i32_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i32_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i32_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i64.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i64_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i64_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i64_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_to_i64_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui32.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui32_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui32_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui32_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui32_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui64.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui64_r_minMag.c b/pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui64_r_minMag.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui64_r_minMag.c rename to pipelined/testbench/fp/SoftFloat-3e/source/f64_to_ui64_r_minMag.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/i32_to_extF80.c b/pipelined/testbench/fp/SoftFloat-3e/source/i32_to_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/i32_to_extF80.c rename to pipelined/testbench/fp/SoftFloat-3e/source/i32_to_extF80.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/i32_to_extF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/i32_to_extF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/i32_to_extF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/i32_to_extF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f128.c b/pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f16.c b/pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f32.c b/pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f64.c b/pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/i32_to_f64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/i64_to_extF80.c b/pipelined/testbench/fp/SoftFloat-3e/source/i64_to_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/i64_to_extF80.c rename to pipelined/testbench/fp/SoftFloat-3e/source/i64_to_extF80.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/i64_to_extF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/i64_to_extF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/i64_to_extF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/i64_to_extF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f128.c b/pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f16.c b/pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f32.c b/pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f64.c b/pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/i64_to_f64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/include/internals.h b/pipelined/testbench/fp/SoftFloat-3e/source/include/internals.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/include/internals.h rename to pipelined/testbench/fp/SoftFloat-3e/source/include/internals.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/include/opts-GCC.h b/pipelined/testbench/fp/SoftFloat-3e/source/include/opts-GCC.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/include/opts-GCC.h rename to pipelined/testbench/fp/SoftFloat-3e/source/include/opts-GCC.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/include/primitiveTypes.h b/pipelined/testbench/fp/SoftFloat-3e/source/include/primitiveTypes.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/include/primitiveTypes.h rename to pipelined/testbench/fp/SoftFloat-3e/source/include/primitiveTypes.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/include/primitives.h b/pipelined/testbench/fp/SoftFloat-3e/source/include/primitives.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/include/primitives.h rename to pipelined/testbench/fp/SoftFloat-3e/source/include/primitives.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/include/softfloat.h b/pipelined/testbench/fp/SoftFloat-3e/source/include/softfloat.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/include/softfloat.h rename to pipelined/testbench/fp/SoftFloat-3e/source/include/softfloat.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/include/softfloat_types.h b/pipelined/testbench/fp/SoftFloat-3e/source/include/softfloat_types.h similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/include/softfloat_types.h rename to pipelined/testbench/fp/SoftFloat-3e/source/include/softfloat_types.h diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_add128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_add128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_add128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_add128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_add256M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_add256M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_add256M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_add256M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addCarryM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_addCarryM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addCarryM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_addCarryM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addComplCarryM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_addComplCarryM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addComplCarryM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_addComplCarryM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addExtF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_addExtF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addExtF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_addExtF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_addF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_addF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_addM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_addM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsExtF80.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsExtF80.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsExtF80.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsExtF80.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF16.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF32.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF64.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_addMagsF64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecip32_1.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecip32_1.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecip32_1.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecip32_1.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecipSqrt32_1.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecipSqrt32_1.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecipSqrt32_1.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecipSqrt32_1.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecipSqrt_1Ks.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecipSqrt_1Ks.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecipSqrt_1Ks.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecipSqrt_1Ks.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecip_1Ks.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecip_1Ks.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecip_1Ks.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_approxRecip_1Ks.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_compare128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_compare128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_compare128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_compare128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_compare96M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_compare96M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_compare96M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_compare96M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_compareNonnormExtF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_compareNonnormExtF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_compareNonnormExtF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_compareNonnormExtF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros16.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros32.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros64.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros8.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros8.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros8.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_countLeadingZeros8.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_eq128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_eq128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_eq128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_eq128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_invalidExtF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_invalidExtF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_invalidExtF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_invalidExtF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_invalidF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_invalidF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_invalidF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_invalidF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_isNaNF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_isNaNF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_isNaNF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_isNaNF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_le128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_le128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_le128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_le128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_lt128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_lt128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_lt128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_lt128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mul128By32.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_mul128By32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mul128By32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_mul128By32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mul128MTo256M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_mul128MTo256M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mul128MTo256M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_mul128MTo256M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mul128To256M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_mul128To256M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mul128To256M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_mul128To256M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mul64ByShifted32To128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_mul64ByShifted32To128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mul64ByShifted32To128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_mul64ByShifted32To128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mul64To128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_mul64To128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mul64To128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_mul64To128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mul64To128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_mul64To128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mul64To128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_mul64To128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF16.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF32.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF64.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_mulAddF64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_negXM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_negXM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_negXM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_negXM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normExtF80SigM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_normExtF80SigM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normExtF80SigM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_normExtF80SigM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackMToExtF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackMToExtF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackMToExtF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackMToExtF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackMToF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackMToF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackMToF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackMToF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToExtF80.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToExtF80.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToExtF80.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToExtF80.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF16.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF32.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF64.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_normRoundPackToF64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalExtF80Sig.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalExtF80Sig.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalExtF80Sig.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalExtF80Sig.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF128Sig.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF128Sig.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF128Sig.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF128Sig.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF128SigM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF128SigM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF128SigM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF128SigM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF16Sig.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF16Sig.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF16Sig.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF16Sig.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF32Sig.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF32Sig.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF32Sig.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF32Sig.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF64Sig.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF64Sig.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF64Sig.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_normSubnormalF64Sig.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_remStepMBy32.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_remStepMBy32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_remStepMBy32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_remStepMBy32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundMToI64.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_roundMToI64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundMToI64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_roundMToI64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundMToUI64.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_roundMToUI64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundMToUI64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_roundMToUI64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackMToExtF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackMToExtF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackMToExtF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackMToExtF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackMToF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackMToF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackMToF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackMToF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToExtF80.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToExtF80.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToExtF80.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToExtF80.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF16.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF32.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF64.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_roundPackToF64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundToI32.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_roundToI32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundToI32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_roundToI32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundToI64.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_roundToI64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundToI64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_roundToI64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundToUI32.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_roundToUI32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundToUI32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_roundToUI32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundToUI64.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_roundToUI64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_roundToUI64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_roundToUI64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftLeftM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shiftLeftM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftLeftM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shiftLeftM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftNormSigF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shiftNormSigF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftNormSigF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shiftNormSigF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam128Extra.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam128Extra.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam128Extra.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam128Extra.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam256M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam256M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam256M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam256M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam32.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam64.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam64Extra.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam64Extra.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam64Extra.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJam64Extra.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJamM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJamM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJamM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightJamM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shiftRightM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftLeft128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftLeft128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftLeft128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftLeft128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftLeft64To96M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftLeft64To96M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftLeft64To96M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftLeft64To96M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftLeftM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftLeftM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftLeftM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftLeftM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRight128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRight128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRight128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRight128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightExtendM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightExtendM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightExtendM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightExtendM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam128Extra.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam128Extra.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam128Extra.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam128Extra.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam64.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam64Extra.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam64Extra.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam64Extra.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJam64Extra.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJamM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJamM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJamM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightJamM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_shortShiftRightM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_sub128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_sub128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_sub128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_sub128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_sub1XM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_sub1XM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_sub1XM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_sub1XM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_sub256M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_sub256M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_sub256M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_sub256M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_subM.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_subM.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_subM.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_subM.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsExtF80.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsExtF80.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsExtF80.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsExtF80.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF128.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF16.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF32.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF64.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_subMagsF64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_tryPropagateNaNExtF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_tryPropagateNaNExtF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_tryPropagateNaNExtF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_tryPropagateNaNExtF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/s_tryPropagateNaNF128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/s_tryPropagateNaNF128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/s_tryPropagateNaNF128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/s_tryPropagateNaNF128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/softfloat_state.c b/pipelined/testbench/fp/SoftFloat-3e/source/softfloat_state.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/softfloat_state.c rename to pipelined/testbench/fp/SoftFloat-3e/source/softfloat_state.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_extF80.c b/pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_extF80.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_extF80.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_extF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_extF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_extF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_extF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f128.c b/pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f16.c b/pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f32.c b/pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f64.c b/pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ui32_to_f64.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_extF80.c b/pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_extF80.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_extF80.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_extF80M.c b/pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_extF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_extF80M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_extF80M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f128.c b/pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f128.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f128.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f128M.c b/pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f128M.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f128M.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f128M.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f16.c b/pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f16.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f16.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f32.c b/pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f32.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f32.c diff --git a/wally-pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f64.c b/pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f64.c rename to pipelined/testbench/fp/SoftFloat-3e/source/ui64_to_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/COPYING.txt b/pipelined/testbench/fp/TestFloat-3e/COPYING.txt similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/COPYING.txt rename to pipelined/testbench/fp/TestFloat-3e/COPYING.txt diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/README.html b/pipelined/testbench/fp/TestFloat-3e/README.html similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/README.html rename to pipelined/testbench/fp/TestFloat-3e/README.html diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/README.txt b/pipelined/testbench/fp/TestFloat-3e/README.txt similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/README.txt rename to pipelined/testbench/fp/TestFloat-3e/README.txt diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-386-GCC/Makefile b/pipelined/testbench/fp/TestFloat-3e/build/Linux-386-GCC/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-386-GCC/Makefile rename to pipelined/testbench/fp/TestFloat-3e/build/Linux-386-GCC/Makefile diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-386-GCC/platform.h b/pipelined/testbench/fp/TestFloat-3e/build/Linux-386-GCC/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-386-GCC/platform.h rename to pipelined/testbench/fp/TestFloat-3e/build/Linux-386-GCC/platform.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-386-SSE2-GCC/Makefile b/pipelined/testbench/fp/TestFloat-3e/build/Linux-386-SSE2-GCC/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-386-SSE2-GCC/Makefile rename to pipelined/testbench/fp/TestFloat-3e/build/Linux-386-SSE2-GCC/Makefile diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-386-SSE2-GCC/platform.h b/pipelined/testbench/fp/TestFloat-3e/build/Linux-386-SSE2-GCC/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-386-SSE2-GCC/platform.h rename to pipelined/testbench/fp/TestFloat-3e/build/Linux-386-SSE2-GCC/platform.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-ARM-VFPv2-GCC/Makefile b/pipelined/testbench/fp/TestFloat-3e/build/Linux-ARM-VFPv2-GCC/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-ARM-VFPv2-GCC/Makefile rename to pipelined/testbench/fp/TestFloat-3e/build/Linux-ARM-VFPv2-GCC/Makefile diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-ARM-VFPv2-GCC/platform.h b/pipelined/testbench/fp/TestFloat-3e/build/Linux-ARM-VFPv2-GCC/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-ARM-VFPv2-GCC/platform.h rename to pipelined/testbench/fp/TestFloat-3e/build/Linux-ARM-VFPv2-GCC/platform.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-x86_64-GCC/Makefile b/pipelined/testbench/fp/TestFloat-3e/build/Linux-x86_64-GCC/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-x86_64-GCC/Makefile rename to pipelined/testbench/fp/TestFloat-3e/build/Linux-x86_64-GCC/Makefile diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-x86_64-GCC/platform.h b/pipelined/testbench/fp/TestFloat-3e/build/Linux-x86_64-GCC/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/Linux-x86_64-GCC/platform.h rename to pipelined/testbench/fp/TestFloat-3e/build/Linux-x86_64-GCC/platform.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/Win32-MinGW/Makefile b/pipelined/testbench/fp/TestFloat-3e/build/Win32-MinGW/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/Win32-MinGW/Makefile rename to pipelined/testbench/fp/TestFloat-3e/build/Win32-MinGW/Makefile diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/Win32-MinGW/platform.h b/pipelined/testbench/fp/TestFloat-3e/build/Win32-MinGW/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/Win32-MinGW/platform.h rename to pipelined/testbench/fp/TestFloat-3e/build/Win32-MinGW/platform.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/Win32-SSE2-MinGW/Makefile b/pipelined/testbench/fp/TestFloat-3e/build/Win32-SSE2-MinGW/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/Win32-SSE2-MinGW/Makefile rename to pipelined/testbench/fp/TestFloat-3e/build/Win32-SSE2-MinGW/Makefile diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/Win32-SSE2-MinGW/platform.h b/pipelined/testbench/fp/TestFloat-3e/build/Win32-SSE2-MinGW/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/Win32-SSE2-MinGW/platform.h rename to pipelined/testbench/fp/TestFloat-3e/build/Win32-SSE2-MinGW/platform.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/Win64-MinGW-w64/Makefile b/pipelined/testbench/fp/TestFloat-3e/build/Win64-MinGW-w64/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/Win64-MinGW-w64/Makefile rename to pipelined/testbench/fp/TestFloat-3e/build/Win64-MinGW-w64/Makefile diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/Win64-MinGW-w64/platform.h b/pipelined/testbench/fp/TestFloat-3e/build/Win64-MinGW-w64/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/Win64-MinGW-w64/platform.h rename to pipelined/testbench/fp/TestFloat-3e/build/Win64-MinGW-w64/platform.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/template/Makefile b/pipelined/testbench/fp/TestFloat-3e/build/template/Makefile similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/template/Makefile rename to pipelined/testbench/fp/TestFloat-3e/build/template/Makefile diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/build/template/platform.h b/pipelined/testbench/fp/TestFloat-3e/build/template/platform.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/build/template/platform.h rename to pipelined/testbench/fp/TestFloat-3e/build/template/platform.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/doc/TestFloat-general.html b/pipelined/testbench/fp/TestFloat-3e/doc/TestFloat-general.html similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/doc/TestFloat-general.html rename to pipelined/testbench/fp/TestFloat-3e/doc/TestFloat-general.html diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/doc/TestFloat-history.html b/pipelined/testbench/fp/TestFloat-3e/doc/TestFloat-history.html similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/doc/TestFloat-history.html rename to pipelined/testbench/fp/TestFloat-3e/doc/TestFloat-history.html diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/doc/TestFloat-source.html b/pipelined/testbench/fp/TestFloat-3e/doc/TestFloat-source.html similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/doc/TestFloat-source.html rename to pipelined/testbench/fp/TestFloat-3e/doc/TestFloat-source.html diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/doc/testfloat.html b/pipelined/testbench/fp/TestFloat-3e/doc/testfloat.html similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/doc/testfloat.html rename to pipelined/testbench/fp/TestFloat-3e/doc/testfloat.html diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/doc/testfloat_gen.html b/pipelined/testbench/fp/TestFloat-3e/doc/testfloat_gen.html similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/doc/testfloat_gen.html rename to pipelined/testbench/fp/TestFloat-3e/doc/testfloat_gen.html diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/doc/testfloat_ver.html b/pipelined/testbench/fp/TestFloat-3e/doc/testfloat_ver.html similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/doc/testfloat_ver.html rename to pipelined/testbench/fp/TestFloat-3e/doc/testfloat_ver.html diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/doc/testsoftfloat.html b/pipelined/testbench/fp/TestFloat-3e/doc/testsoftfloat.html similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/doc/testsoftfloat.html rename to pipelined/testbench/fp/TestFloat-3e/doc/testsoftfloat.html diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/doc/timesoftfloat.html b/pipelined/testbench/fp/TestFloat-3e/doc/timesoftfloat.html similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/doc/timesoftfloat.html rename to pipelined/testbench/fp/TestFloat-3e/doc/timesoftfloat.html diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/fail.c b/pipelined/testbench/fp/TestFloat-3e/source/fail.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/fail.c rename to pipelined/testbench/fp/TestFloat-3e/source/fail.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/fail.h b/pipelined/testbench/fp/TestFloat-3e/source/fail.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/fail.h rename to pipelined/testbench/fp/TestFloat-3e/source/fail.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/functionInfos.c b/pipelined/testbench/fp/TestFloat-3e/source/functionInfos.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/functionInfos.c rename to pipelined/testbench/fp/TestFloat-3e/source/functionInfos.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/functions.h b/pipelined/testbench/fp/TestFloat-3e/source/functions.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/functions.h rename to pipelined/testbench/fp/TestFloat-3e/source/functions.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/functions_common.c b/pipelined/testbench/fp/TestFloat-3e/source/functions_common.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/functions_common.c rename to pipelined/testbench/fp/TestFloat-3e/source/functions_common.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/genCases.h b/pipelined/testbench/fp/TestFloat-3e/source/genCases.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/genCases.h rename to pipelined/testbench/fp/TestFloat-3e/source/genCases.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_common.c b/pipelined/testbench/fp/TestFloat-3e/source/genCases_common.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_common.c rename to pipelined/testbench/fp/TestFloat-3e/source/genCases_common.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_extF80.c b/pipelined/testbench/fp/TestFloat-3e/source/genCases_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_extF80.c rename to pipelined/testbench/fp/TestFloat-3e/source/genCases_extF80.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_f128.c b/pipelined/testbench/fp/TestFloat-3e/source/genCases_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_f128.c rename to pipelined/testbench/fp/TestFloat-3e/source/genCases_f128.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/genCases_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/genCases_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/genCases_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/genCases_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/genCases_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/genCases_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_i32.c b/pipelined/testbench/fp/TestFloat-3e/source/genCases_i32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_i32.c rename to pipelined/testbench/fp/TestFloat-3e/source/genCases_i32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_i64.c b/pipelined/testbench/fp/TestFloat-3e/source/genCases_i64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_i64.c rename to pipelined/testbench/fp/TestFloat-3e/source/genCases_i64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_ui32.c b/pipelined/testbench/fp/TestFloat-3e/source/genCases_ui32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_ui32.c rename to pipelined/testbench/fp/TestFloat-3e/source/genCases_ui32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_ui64.c b/pipelined/testbench/fp/TestFloat-3e/source/genCases_ui64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_ui64.c rename to pipelined/testbench/fp/TestFloat-3e/source/genCases_ui64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_writeTestsTotal.c b/pipelined/testbench/fp/TestFloat-3e/source/genCases_writeTestsTotal.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/genCases_writeTestsTotal.c rename to pipelined/testbench/fp/TestFloat-3e/source/genCases_writeTestsTotal.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/genLoops.c b/pipelined/testbench/fp/TestFloat-3e/source/genLoops.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/genLoops.c rename to pipelined/testbench/fp/TestFloat-3e/source/genLoops.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/genLoops.h b/pipelined/testbench/fp/TestFloat-3e/source/genLoops.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/genLoops.h rename to pipelined/testbench/fp/TestFloat-3e/source/genLoops.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/random.c b/pipelined/testbench/fp/TestFloat-3e/source/random.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/random.c rename to pipelined/testbench/fp/TestFloat-3e/source/random.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/random.h b/pipelined/testbench/fp/TestFloat-3e/source/random.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/random.h rename to pipelined/testbench/fp/TestFloat-3e/source/random.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/readHex.c b/pipelined/testbench/fp/TestFloat-3e/source/readHex.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/readHex.c rename to pipelined/testbench/fp/TestFloat-3e/source/readHex.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/readHex.h b/pipelined/testbench/fp/TestFloat-3e/source/readHex.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/readHex.h rename to pipelined/testbench/fp/TestFloat-3e/source/readHex.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/slowfloat.c b/pipelined/testbench/fp/TestFloat-3e/source/slowfloat.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/slowfloat.c rename to pipelined/testbench/fp/TestFloat-3e/source/slowfloat.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/slowfloat.h b/pipelined/testbench/fp/TestFloat-3e/source/slowfloat.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/slowfloat.h rename to pipelined/testbench/fp/TestFloat-3e/source/slowfloat.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/standardFunctionInfos.c b/pipelined/testbench/fp/TestFloat-3e/source/standardFunctionInfos.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/standardFunctionInfos.c rename to pipelined/testbench/fp/TestFloat-3e/source/standardFunctionInfos.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/subj-C/subjfloat.c b/pipelined/testbench/fp/TestFloat-3e/source/subj-C/subjfloat.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/subj-C/subjfloat.c rename to pipelined/testbench/fp/TestFloat-3e/source/subj-C/subjfloat.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/subj-C/subjfloat_config.h b/pipelined/testbench/fp/TestFloat-3e/source/subj-C/subjfloat_config.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/subj-C/subjfloat_config.h rename to pipelined/testbench/fp/TestFloat-3e/source/subj-C/subjfloat_config.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/subjfloat.h b/pipelined/testbench/fp/TestFloat-3e/source/subjfloat.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/subjfloat.h rename to pipelined/testbench/fp/TestFloat-3e/source/subjfloat.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/subjfloat_functions.c b/pipelined/testbench/fp/TestFloat-3e/source/subjfloat_functions.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/subjfloat_functions.c rename to pipelined/testbench/fp/TestFloat-3e/source/subjfloat_functions.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/testLoops.h b/pipelined/testbench/fp/TestFloat-3e/source/testLoops.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/testLoops.h rename to pipelined/testbench/fp/TestFloat-3e/source/testLoops.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/testLoops_common.c b/pipelined/testbench/fp/TestFloat-3e/source/testLoops_common.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/testLoops_common.c rename to pipelined/testbench/fp/TestFloat-3e/source/testLoops_common.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f128.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f128.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f128.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i32_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i32_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i32_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i32_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i32_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i32_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i32_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i32_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i64_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i64_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i64_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i64_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i64_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i64_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i64_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_i64_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui32_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui32_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui32_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui32_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui32_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui32_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui32_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui32_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui64_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui64_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui64_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui64_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui64_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui64_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui64_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_extF80_z_ui64_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_extF80.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_extF80.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_extF80.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i32_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i32_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i32_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i32_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i32_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i32_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i32_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i32_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i64_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i64_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i64_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i64_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i64_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i64_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i64_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_i64_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui32_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui32_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui32_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui32_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui32_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui32_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui32_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui32_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui64_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui64_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui64_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui64_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui64_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui64_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui64_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f128_z_ui64_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_extF80.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_extF80.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_extF80.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_f128.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_f128.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_f128.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i32_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i32_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i32_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i32_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i32_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i32_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i32_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i32_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i64_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i64_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i64_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i64_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i64_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i64_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i64_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_i64_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui32_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui32_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui32_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui32_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui32_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui32_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui32_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui32_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui64_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui64_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui64_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui64_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui64_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui64_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui64_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f16_z_ui64_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_extF80.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_extF80.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_extF80.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_f128.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_f128.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_f128.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i32_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i32_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i32_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i32_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i32_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i32_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i32_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i32_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i64_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i64_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i64_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i64_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i64_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i64_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i64_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_i64_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui32_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui32_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui32_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui32_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui32_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui32_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui32_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui32_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui64_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui64_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui64_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui64_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui64_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui64_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui64_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f32_z_ui64_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_extF80.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_extF80.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_extF80.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_f128.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_f128.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_f128.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i32_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i32_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i32_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i32_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i32_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i32_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i32_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i32_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i64_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i64_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i64_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i64_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i64_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i64_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i64_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_i64_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui32_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui32_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui32_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui32_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui32_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui32_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui32_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui32_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui64_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui64_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui64_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui64_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui64_x.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui64_x.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui64_x.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_f64_z_ui64_x.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_extF80.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_extF80.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_extF80.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f128.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f128.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f128.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_i32_z_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_extF80.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_extF80.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_extF80.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f128.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f128.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f128.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_i64_z_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_extF80.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_extF80.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_extF80.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f128.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f128.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f128.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_ui32_z_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_extF80.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_extF80.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_extF80.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f128.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f128.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f128.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_a_ui64_z_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_ab_extF80_z_bool.c b/pipelined/testbench/fp/TestFloat-3e/source/test_ab_extF80_z_bool.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_ab_extF80_z_bool.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_ab_extF80_z_bool.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_ab_f128_z_bool.c b/pipelined/testbench/fp/TestFloat-3e/source/test_ab_f128_z_bool.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_ab_f128_z_bool.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_ab_f128_z_bool.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_ab_f16_z_bool.c b/pipelined/testbench/fp/TestFloat-3e/source/test_ab_f16_z_bool.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_ab_f16_z_bool.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_ab_f16_z_bool.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_ab_f32_z_bool.c b/pipelined/testbench/fp/TestFloat-3e/source/test_ab_f32_z_bool.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_ab_f32_z_bool.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_ab_f32_z_bool.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_ab_f64_z_bool.c b/pipelined/testbench/fp/TestFloat-3e/source/test_ab_f64_z_bool.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_ab_f64_z_bool.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_ab_f64_z_bool.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f128.c b/pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f128.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f128.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_abcz_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_abz_extF80.c b/pipelined/testbench/fp/TestFloat-3e/source/test_abz_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_abz_extF80.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_abz_extF80.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_abz_f128.c b/pipelined/testbench/fp/TestFloat-3e/source/test_abz_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_abz_f128.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_abz_f128.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_abz_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/test_abz_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_abz_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_abz_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_abz_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/test_abz_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_abz_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_abz_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_abz_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/test_abz_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_abz_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_abz_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_extF80.c b/pipelined/testbench/fp/TestFloat-3e/source/test_az_extF80.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_extF80.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_az_extF80.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_extF80_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_az_extF80_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_extF80_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_az_extF80_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f128.c b/pipelined/testbench/fp/TestFloat-3e/source/test_az_f128.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f128.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_az_f128.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f128_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_az_f128_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f128_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_az_f128_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/test_az_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_az_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f16_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_az_f16_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f16_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_az_f16_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/test_az_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_az_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f32_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_az_f32_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f32_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_az_f32_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/test_az_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_az_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f64_rx.c b/pipelined/testbench/fp/TestFloat-3e/source/test_az_f64_rx.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/test_az_f64_rx.c rename to pipelined/testbench/fp/TestFloat-3e/source/test_az_f64_rx.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/testfloat.c b/pipelined/testbench/fp/TestFloat-3e/source/testfloat.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/testfloat.c rename to pipelined/testbench/fp/TestFloat-3e/source/testfloat.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/testfloat_gen.c b/pipelined/testbench/fp/TestFloat-3e/source/testfloat_gen.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/testfloat_gen.c rename to pipelined/testbench/fp/TestFloat-3e/source/testfloat_gen.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/testfloat_ver.c b/pipelined/testbench/fp/TestFloat-3e/source/testfloat_ver.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/testfloat_ver.c rename to pipelined/testbench/fp/TestFloat-3e/source/testfloat_ver.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/testsoftfloat.c b/pipelined/testbench/fp/TestFloat-3e/source/testsoftfloat.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/testsoftfloat.c rename to pipelined/testbench/fp/TestFloat-3e/source/testsoftfloat.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/timesoftfloat.c b/pipelined/testbench/fp/TestFloat-3e/source/timesoftfloat.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/timesoftfloat.c rename to pipelined/testbench/fp/TestFloat-3e/source/timesoftfloat.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/uint128.c b/pipelined/testbench/fp/TestFloat-3e/source/uint128.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/uint128.c rename to pipelined/testbench/fp/TestFloat-3e/source/uint128.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/uint128.h b/pipelined/testbench/fp/TestFloat-3e/source/uint128.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/uint128.h rename to pipelined/testbench/fp/TestFloat-3e/source/uint128.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/uint128_inline.c b/pipelined/testbench/fp/TestFloat-3e/source/uint128_inline.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/uint128_inline.c rename to pipelined/testbench/fp/TestFloat-3e/source/uint128_inline.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/verCases.h b/pipelined/testbench/fp/TestFloat-3e/source/verCases.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/verCases.h rename to pipelined/testbench/fp/TestFloat-3e/source/verCases.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/verCases_common.c b/pipelined/testbench/fp/TestFloat-3e/source/verCases_common.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/verCases_common.c rename to pipelined/testbench/fp/TestFloat-3e/source/verCases_common.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/verCases_inline.c b/pipelined/testbench/fp/TestFloat-3e/source/verCases_inline.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/verCases_inline.c rename to pipelined/testbench/fp/TestFloat-3e/source/verCases_inline.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/verCases_writeFunctionName.c b/pipelined/testbench/fp/TestFloat-3e/source/verCases_writeFunctionName.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/verCases_writeFunctionName.c rename to pipelined/testbench/fp/TestFloat-3e/source/verCases_writeFunctionName.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/verLoops.c b/pipelined/testbench/fp/TestFloat-3e/source/verLoops.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/verLoops.c rename to pipelined/testbench/fp/TestFloat-3e/source/verLoops.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/verLoops.h b/pipelined/testbench/fp/TestFloat-3e/source/verLoops.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/verLoops.h rename to pipelined/testbench/fp/TestFloat-3e/source/verLoops.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase.h b/pipelined/testbench/fp/TestFloat-3e/source/writeCase.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase.h rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase.h diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_extF80M.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_extF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_extF80M.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_extF80M.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f128M.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f128M.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f128M.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f128M.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_ui32.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_ui32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_ui32.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_ui32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_ui64.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_ui64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_ui64.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_a_ui64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_extF80M.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_extF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_extF80M.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_extF80M.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f128M.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f128M.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f128M.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f128M.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_ab_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f128M.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f128M.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f128M.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f128M.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_abc_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_bool.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_bool.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_bool.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_bool.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_extF80M.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_extF80M.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_extF80M.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_extF80M.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f128M.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f128M.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f128M.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f128M.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f16.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f16.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f16.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f16.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f32.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f32.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f64.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f64.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_f64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_ui32.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_ui32.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_ui32.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_ui32.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_ui64.c b/pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_ui64.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_ui64.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeCase_z_ui64.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeHex.c b/pipelined/testbench/fp/TestFloat-3e/source/writeHex.c similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeHex.c rename to pipelined/testbench/fp/TestFloat-3e/source/writeHex.c diff --git a/wally-pipelined/testbench/fp/TestFloat-3e/source/writeHex.h b/pipelined/testbench/fp/TestFloat-3e/source/writeHex.h similarity index 100% rename from wally-pipelined/testbench/fp/TestFloat-3e/source/writeHex.h rename to pipelined/testbench/fp/TestFloat-3e/source/writeHex.h diff --git a/wally-pipelined/testbench/fp/create_vectors16.csh b/pipelined/testbench/fp/create_vectors16.csh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectors16.csh rename to pipelined/testbench/fp/create_vectors16.csh diff --git a/wally-pipelined/testbench/fp/create_vectors16.sh b/pipelined/testbench/fp/create_vectors16.sh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectors16.sh rename to pipelined/testbench/fp/create_vectors16.sh diff --git a/wally-pipelined/testbench/fp/create_vectors32.csh b/pipelined/testbench/fp/create_vectors32.csh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectors32.csh rename to pipelined/testbench/fp/create_vectors32.csh diff --git a/wally-pipelined/testbench/fp/create_vectors32.sh b/pipelined/testbench/fp/create_vectors32.sh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectors32.sh rename to pipelined/testbench/fp/create_vectors32.sh diff --git a/wally-pipelined/testbench/fp/create_vectors32_64.csh b/pipelined/testbench/fp/create_vectors32_64.csh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectors32_64.csh rename to pipelined/testbench/fp/create_vectors32_64.csh diff --git a/wally-pipelined/testbench/fp/create_vectors32_64.sh b/pipelined/testbench/fp/create_vectors32_64.sh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectors32_64.sh rename to pipelined/testbench/fp/create_vectors32_64.sh diff --git a/wally-pipelined/testbench/fp/create_vectors32cmp.csh b/pipelined/testbench/fp/create_vectors32cmp.csh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectors32cmp.csh rename to pipelined/testbench/fp/create_vectors32cmp.csh diff --git a/wally-pipelined/testbench/fp/create_vectors32cmp.sh b/pipelined/testbench/fp/create_vectors32cmp.sh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectors32cmp.sh rename to pipelined/testbench/fp/create_vectors32cmp.sh diff --git a/wally-pipelined/testbench/fp/create_vectors64.csh b/pipelined/testbench/fp/create_vectors64.csh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectors64.csh rename to pipelined/testbench/fp/create_vectors64.csh diff --git a/wally-pipelined/testbench/fp/create_vectors64.sh b/pipelined/testbench/fp/create_vectors64.sh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectors64.sh rename to pipelined/testbench/fp/create_vectors64.sh diff --git a/wally-pipelined/testbench/fp/create_vectors64_32.csh b/pipelined/testbench/fp/create_vectors64_32.csh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectors64_32.csh rename to pipelined/testbench/fp/create_vectors64_32.csh diff --git a/wally-pipelined/testbench/fp/create_vectors64_32.sh b/pipelined/testbench/fp/create_vectors64_32.sh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectors64_32.sh rename to pipelined/testbench/fp/create_vectors64_32.sh diff --git a/wally-pipelined/testbench/fp/create_vectors64cmp.csh b/pipelined/testbench/fp/create_vectors64cmp.csh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectors64cmp.csh rename to pipelined/testbench/fp/create_vectors64cmp.csh diff --git a/wally-pipelined/testbench/fp/create_vectors64cmp.sh b/pipelined/testbench/fp/create_vectors64cmp.sh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectors64cmp.sh rename to pipelined/testbench/fp/create_vectors64cmp.sh diff --git a/wally-pipelined/testbench/fp/create_vectorsi.csh b/pipelined/testbench/fp/create_vectorsi.csh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectorsi.csh rename to pipelined/testbench/fp/create_vectorsi.csh diff --git a/wally-pipelined/testbench/fp/create_vectorsi.sh b/pipelined/testbench/fp/create_vectorsi.sh similarity index 100% rename from wally-pipelined/testbench/fp/create_vectorsi.sh rename to pipelined/testbench/fp/create_vectorsi.sh diff --git a/wally-pipelined/testbench/fp/run_all.sh b/pipelined/testbench/fp/run_all.sh similarity index 100% rename from wally-pipelined/testbench/fp/run_all.sh rename to pipelined/testbench/fp/run_all.sh diff --git a/wally-pipelined/testbench/fp/undy.sh b/pipelined/testbench/fp/undy.sh similarity index 100% rename from wally-pipelined/testbench/fp/undy.sh rename to pipelined/testbench/fp/undy.sh diff --git a/wally-pipelined/testbench/imperas-boottim.txt b/pipelined/testbench/imperas-boottim.txt similarity index 100% rename from wally-pipelined/testbench/imperas-boottim.txt rename to pipelined/testbench/imperas-boottim.txt diff --git a/wally-pipelined/testbench/sdc/ram2sdLoad.py b/pipelined/testbench/sdc/ram2sdLoad.py similarity index 100% rename from wally-pipelined/testbench/sdc/ram2sdLoad.py rename to pipelined/testbench/sdc/ram2sdLoad.py diff --git a/wally-pipelined/testbench/sdc/ramdisk2.hex b/pipelined/testbench/sdc/ramdisk2.hex similarity index 100% rename from wally-pipelined/testbench/sdc/ramdisk2.hex rename to pipelined/testbench/sdc/ramdisk2.hex diff --git a/wally-pipelined/testbench/sdc/run_tb.do b/pipelined/testbench/sdc/run_tb.do similarity index 100% rename from wally-pipelined/testbench/sdc/run_tb.do rename to pipelined/testbench/sdc/run_tb.do diff --git a/wally-pipelined/testbench/sdc/sd_top_tb.sv b/pipelined/testbench/sdc/sd_top_tb.sv similarity index 100% rename from wally-pipelined/testbench/sdc/sd_top_tb.sv rename to pipelined/testbench/sdc/sd_top_tb.sv diff --git a/wally-pipelined/testbench/sdc/wave.do b/pipelined/testbench/sdc/wave.do similarity index 100% rename from wally-pipelined/testbench/sdc/wave.do rename to pipelined/testbench/sdc/wave.do diff --git a/wally-pipelined/testbench/testbench-coremark.sv b/pipelined/testbench/testbench-coremark.sv similarity index 100% rename from wally-pipelined/testbench/testbench-coremark.sv rename to pipelined/testbench/testbench-coremark.sv diff --git a/wally-pipelined/testbench/testbench-coremark_bare.sv b/pipelined/testbench/testbench-coremark_bare.sv similarity index 100% rename from wally-pipelined/testbench/testbench-coremark_bare.sv rename to pipelined/testbench/testbench-coremark_bare.sv diff --git a/wally-pipelined/testbench/testbench-f64.sv b/pipelined/testbench/testbench-f64.sv similarity index 100% rename from wally-pipelined/testbench/testbench-f64.sv rename to pipelined/testbench/testbench-f64.sv diff --git a/wally-pipelined/testbench/testbench-fpga.sv b/pipelined/testbench/testbench-fpga.sv similarity index 100% rename from wally-pipelined/testbench/testbench-fpga.sv rename to pipelined/testbench/testbench-fpga.sv diff --git a/wally-pipelined/testbench/testbench-linux.sv b/pipelined/testbench/testbench-linux.sv similarity index 100% rename from wally-pipelined/testbench/testbench-linux.sv rename to pipelined/testbench/testbench-linux.sv diff --git a/wally-pipelined/testbench/testbench-privileged.sv b/pipelined/testbench/testbench-privileged.sv similarity index 100% rename from wally-pipelined/testbench/testbench-privileged.sv rename to pipelined/testbench/testbench-privileged.sv diff --git a/wally-pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv similarity index 100% rename from wally-pipelined/testbench/testbench.sv rename to pipelined/testbench/testbench.sv diff --git a/wally-pipelined/testbench/tests.vh b/pipelined/testbench/tests.vh similarity index 100% rename from wally-pipelined/testbench/tests.vh rename to pipelined/testbench/tests.vh diff --git a/setup.sh b/setup.sh index fb132f9f..1ebf5231 100755 --- a/setup.sh +++ b/setup.sh @@ -24,9 +24,6 @@ export PATH=/usr/local/bin/verilator:$PATH # Change this for your path to Verila export PATH=/cad/mentor/questa_sim-2021.2_1/questasim/bin:$PATH # Change this for your path to Modelsim export MGLS_LICENSE_FILE=1717@solidworks.eng.hmc.edu # Change this to your Siemens license server -# Imperas; *** remove if not using -export PATH=/cad/riscv/imperas-riscv-tests/riscv-ovpsim-plus/bin/Linux64:/cad/riscv/imperas-riscv-tests/riscv-ovpsim/bin/Liux64:$PATH # *** maybe take this out based on Imperas -export LD_LIBRARY_PATH=/cad/imperas/Imperas.20200630/bin/Linux64:$LD_LIBRARY_PATH # remove if no imperas -export IMPERAS_HOME=/cad/imperas/Imperas.20200630 -source $IMPERAS_HOME/bin/setup.sh -setupImperas $IMPERAS_HOME +# Imperas; *** remove if not using; *** fix paths +export PATH=$RISCV/imperas-riscv-tests/riscv-ovpsim-plus/bin/Linux64:$PATH # *** maybe take this out based on Imperas +export LD_LIBRARY_PATH=$RISCV/imperas_riscv_tests/riscv-ovpsim-plus/bin/Linux64:$LD_LIBRARY_PATH # remove if no imperas diff --git a/tests/linux-testgen/linux-testvectors/tvCopier.py b/tests/linux-testgen/linux-testvectors/tvCopier.py index 9f703ff7..d272e98c 100755 --- a/tests/linux-testgen/linux-testvectors/tvCopier.py +++ b/tests/linux-testgen/linux-testvectors/tvCopier.py @@ -1,5 +1,5 @@ #!/usr/bin/python3 -# Copies Linux testvector files from Tera to ./ (which ought to be /riscv-wally/wally-pipelined/linux-testgen/linux-testvectors/) +# Copies Linux testvector files from Tera to ./ (which ought to be /riscv-wally/pipelined/linux-testgen/linux-testvectors/) import os from datetime import datetime diff --git a/tests/linux-testgen/qemu-build-instructions.md b/tests/linux-testgen/qemu-build-instructions.md index 8347417b..fc866660 100644 --- a/tests/linux-testgen/qemu-build-instructions.md +++ b/tests/linux-testgen/qemu-build-instructions.md @@ -2,7 +2,7 @@ cd git clone https://github.com/qemu/qemu cd qemu git checkout dbdc621be937d9efe3e4dff994e54e8eea051f7a -git apply wallyVirtIO.patch # located in riscv-wally/wally-pipelined/linux-testgen/wallyVirtIO.patch +git apply wallyVirtIO.patch # located in riscv-wally/pipelined/linux-testgen/wallyVirtIO.patch sudo apt install ninja-build # or your equivalent sudo apt install libglib2.0-dev # or your equivalent sudo apt install libpixman-1-dev libcairo2-dev libpango1.0-dev libjpeg8-dev libgif-dev diff --git a/tests/testgen/privileged/run.sh b/tests/testgen/privileged/run.sh index 3e0ff71f..122e629e 100755 --- a/tests/testgen/privileged/run.sh +++ b/tests/testgen/privileged/run.sh @@ -11,7 +11,7 @@ printf "\n\n#####\nStarting tests for $1\n#####\n\n" if [[ "$2" != "-simonly" ]] then - cd ~/riscv-wally/wally-pipelined/testgen/privileged + cd ~/riscv-wally/pipelined/testgen/privileged python3 "testgen-$1.py" printf "\n\n#####\nRan testgen-$1.py Making...\n#####\n\n\n" @@ -48,11 +48,11 @@ fi if [[ "$2" == "-sim" || "$2" == "-simonly" ]] then printf "\n\n\n#####\nSimulating!\n#####\n\n" - cd ~/riscv-wally/wally-pipelined/regression + cd ~/riscv-wally/pipelined/regression vsim -do wally-privileged.do -c fi -cd ~/riscv-wally/wally-pipelined +cd ~/riscv-wally/pipelined printf "\n\n\n#####\nDone!\n#####\n\n" cd ~/riscv-wally/imperas-riscv-tests/work @@ -71,4 +71,4 @@ for isa in "rv64p" "rv32p"; do printf "\n};\n\n" done -cd ~/riscv-wally/wally-pipelined \ No newline at end of file +cd ~/riscv-wally/pipelined \ No newline at end of file diff --git a/wally-pipelined/regression/old/qrun.do b/wally-pipelined/regression/old/qrun.do deleted file mode 100644 index cff7fec5..00000000 --- a/wally-pipelined/regression/old/qrun.do +++ /dev/null @@ -1,24 +0,0 @@ -# qrun.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# Use with Testbench -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Usage: do wally-pipelined-batch.do -# Example: do wally-pipelined-batch.do rv32 imperas-32i - -# Use this wally-pipelined-batch.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined-batch.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined-batch.do -c -# (omit the "-c" to see the GUI while running from the shell) - -qrun -clean -qrun +incdir+../config/rv32ic +incdir+../config/shared ../testbench/testbench.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583 -optimize -snapshot wally +notimingchecks +nospecify -qrun -simulate -snapshot wally -qrun -simulate -snapshot wally - diff --git a/wally-pipelined/regression/old/qrun.log b/wally-pipelined/regression/old/qrun.log deleted file mode 100644 index 28d78830..00000000 --- a/wally-pipelined/regression/old/qrun.log +++ /dev/null @@ -1,215 +0,0 @@ -QuestaSim-64 qrun 2021.2_1 Utility 2021.05 May 15 2021 -Start time: 21:17:08 on Oct 09,2021 -qrun -simulate -snapshot wally -# vsim -lib qrun.out/work -c -do "run -all; quit -f" -statslog qrun.out/stats_log wally -appendlog -l qrun.log -# Start time: 21:17:09 on Oct 09,2021 -# // Questa Sim-64 -# // Version 2021.2_1 linux_x86_64 May 15 2021 -# // -# // Copyright 1991-2021 Mentor Graphics Corporation -# // All Rights Reserved. -# // -# // QuestaSim and its associated documentation contain trade -# // secrets and commercial or financial information that are the property of -# // Mentor Graphics Corporation and are privileged, confidential, -# // and exempt from disclosure under the Freedom of Information Act, -# // 5 U.S.C. Section 552. Furthermore, this information -# // is prohibited from disclosure under the Trade Secrets Act, -# // 18 U.S.C. Section 1905. -# // -# Loading sv_std.std -# Loading work.cla_sub52(fast) -# Loading work.convert_inputs(fast) -# Loading work.convert_inputs_div(fast) -# Loading work.decoder(fast) -# Loading work.faddcvt(fast) -# Loading work.floprc(fast) -# Loading work.fpudivsqrtrecur(fast) -# Loading work.intdiv(fast) -# Loading work.lz52(fast) -# Loading work.qsel(fast) -# Loading work.ahbliteState(fast) -# Loading work.testbench_sv_unit(fast) -# Loading work.testbench(fast) -# Loading work.regfile(fast) -# Loading work.csrn(fast) -# Loading work.instrTrackerTB(fast) -# Loading work.instrNameDecTB(fast) -# Loading work.copyShadow(fast) -# Loading work.tlbcamline(fast) -# Loading work.pmpadrdec(fast) -# Loading work.cacheway(fast) -# Loading work.cacheway(fast__1) -# run -all -# ** Warning: Multiple Instruction Cache ways not yet implemented -# Time: 0 ns Scope: testbench.riscvassertions File: ../testbench/testbench.sv Line: 327 -# ** Error: Some regression tests will fail if TIM_RANGE is less than 56'h07FFFFFF -# Time: 0 ns Scope: testbench.riscvassertions File: ../testbench/testbench.sv Line: 330 -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-ADD-01.elf.memfile -# rv32i/I-ADD-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-ADDI-01.elf.memfile -# rv32i/I-ADDI-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-AND-01.elf.memfile -# rv32i/I-AND-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-ANDI-01.elf.memfile -# rv32i/I-ANDI-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-AUIPC-01.elf.memfile -# rv32i/I-AUIPC-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-BEQ-01.elf.memfile -# rv32i/I-BEQ-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-BGE-01.elf.memfile -# rv32i/I-BGE-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-BGEU-01.elf.memfile -# rv32i/I-BGEU-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-BLT-01.elf.memfile -# rv32i/I-BLT-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-BLTU-01.elf.memfile -# rv32i/I-BLTU-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-BNE-01.elf.memfile -# rv32i/I-BNE-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-DELAY_SLOTS-01.elf.memfile -# rv32i/I-DELAY_SLOTS-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-EBREAK-01.elf.memfile -# rv32i/I-EBREAK-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-ECALL-01.elf.memfile -# rv32i/I-ECALL-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-ENDIANESS-01.elf.memfile -# rv32i/I-ENDIANESS-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-IO-01.elf.memfile -# rv32i/I-IO-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-JAL-01.elf.memfile -# rv32i/I-JAL-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-JALR-01.elf.memfile -# rv32i/I-JALR-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-LB-01.elf.memfile -# 790020 Warning: access to memory address 0 -# -# rv32i/I-LB-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-LBU-01.elf.memfile -# rv32i/I-LBU-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-LH-01.elf.memfile -# rv32i/I-LH-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-LHU-01.elf.memfile -# rv32i/I-LHU-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-LUI-01.elf.memfile -# rv32i/I-LUI-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-LW-01.elf.memfile -# rv32i/I-LW-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-MISALIGN_LDST-01.elf.memfile -# rv32i/I-MISALIGN_LDST-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-NOP-01.elf.memfile -# rv32i/I-NOP-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-OR-01.elf.memfile -# rv32i/I-OR-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-ORI-01.elf.memfile -# rv32i/I-ORI-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-RF_size-01.elf.memfile -# rv32i/I-RF_size-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-RF_width-01.elf.memfile -# rv32i/I-RF_width-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-RF_x0-01.elf.memfile -# rv32i/I-RF_x0-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-SB-01.elf.memfile -# 1233020 Warning: access to memory address 0 -# -# rv32i/I-SB-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-SH-01.elf.memfile -# rv32i/I-SH-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-SLL-01.elf.memfile -# rv32i/I-SLL-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-SLLI-01.elf.memfile -# rv32i/I-SLLI-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-SLT-01.elf.memfile -# rv32i/I-SLT-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-SLTI-01.elf.memfile -# rv32i/I-SLTI-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-SLTIU-01.elf.memfile -# rv32i/I-SLTIU-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-SLTU-01.elf.memfile -# rv32i/I-SLTU-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-SRA-01.elf.memfile -# rv32i/I-SRA-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-SRAI-01.elf.memfile -# rv32i/I-SRAI-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-SRL-01.elf.memfile -# rv32i/I-SRL-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-SRLI-01.elf.memfile -# rv32i/I-SRLI-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-SUB-01.elf.memfile -# rv32i/I-SUB-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-SW-01.elf.memfile -# rv32i/I-SW-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-XOR-01.elf.memfile -# rv32i/I-XOR-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/I-XORI-01.elf.memfile -# rv32i/I-XORI-01 succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-ADD.elf.memfile -# rv32i/WALLY-ADD succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-SUB.elf.memfile -# rv32i/WALLY-SUB succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-ADDI.elf.memfile -# rv32i/WALLY-ADDI succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-ANDI.elf.memfile -# rv32i/WALLY-ANDI succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-ORI.elf.memfile -# rv32i/WALLY-ORI succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-XORI.elf.memfile -# rv32i/WALLY-XORI succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-SLTI.elf.memfile -# rv32i/WALLY-SLTI succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-SLTIU.elf.memfile -# rv32i/WALLY-SLTIU succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-SLLI.elf.memfile -# rv32i/WALLY-SLLI succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-SRLI.elf.memfile -# rv32i/WALLY-SRLI succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-SRAI.elf.memfile -# rv32i/WALLY-SRAI succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-LOAD.elf.memfile -# rv32i/WALLY-LOAD succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-SUB.elf.memfile -# rv32i/WALLY-SUB succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-STORE.elf.memfile -# rv32i/WALLY-STORE succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-JAL.elf.memfile -# rv32i/WALLY-JAL succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-JALR.elf.memfile -# rv32i/WALLY-JALR succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-BEQ.elf.memfile -# 2846200 Warning: access to memory address 0 -# -# rv32i/WALLY-BEQ succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-BNE.elf.memfile -# rv32i/WALLY-BNE succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-BLTU.elf.memfile -# rv32i/WALLY-BLTU succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-BLT.elf.memfile -# rv32i/WALLY-BLT succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-BGE.elf.memfile -# rv32i/WALLY-BGE succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-BGEU.elf.memfile -# rv32i/WALLY-BGEU succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-CSRRW.elf.memfile -# rv32i/WALLY-CSRRW succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-CSRRS.elf.memfile -# rv32i/WALLY-CSRRS succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-CSRRC.elf.memfile -# rv32i/WALLY-CSRRC succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-CSRRWI.elf.memfile -# rv32i/WALLY-CSRRWI succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-CSRRSI.elf.memfile -# rv32i/WALLY-CSRRSI succeeded. Brilliant!!! -# Read memfile ../../imperas-riscv-tests/work/rv32i/WALLY-CSRRCI.elf.memfile -# rv32i/WALLY-CSRRCI succeeded. Brilliant!!! -# SUCCESS! All tests ran without failures. -# ** Note: $stop : ../testbench/testbench.sv(244) -# Time: 4170295 ns Iteration: 0 Instance: /testbench -# Break at ../testbench/testbench.sv line 244 -# Stopped at ../testbench/testbench.sv line 244 -# quit -f -# End time: 21:17:54 on Oct 09,2021, Elapsed time: 0:00:45 -# Errors: 1, Warnings: 1 -# *** Summary ********************************************* -# qrun: Errors: 0, Warnings: 0 -# vsim: Errors: 1, Warnings: 1 -# Totals: Errors: 1, Warnings: 1 diff --git a/wally-pipelined/regression/old/qrun.out/history b/wally-pipelined/regression/old/qrun.out/history deleted file mode 100644 index daf20a20..00000000 --- a/wally-pipelined/regression/old/qrun.out/history +++ /dev/null @@ -1,6 +0,0 @@ -- Entry: 1 - Time: Sat Oct 9 21:16:56 2021 - Command: /cad/mentor/questa_sim-2021.2_1/questasim/linux_x86_64/qrun +incdir+../config/rv32ic +incdir+../config/shared ../testbench/testbench.sv ../testbench/common/function_radix.sv ../testbench/common/instrNameDecTB.sv ../testbench/common/instrTrackerTB.sv ../testbench/common/logging.sv ../src/cache/cachereplacementpolicy.sv ../src/cache/cacheway.sv ../src/cache/dcache.sv ../src/cache/dcachefsm.sv ../src/cache/icache.sv ../src/cache/icachefsm.sv ../src/cache/sram1rw.sv ../src/ebu/ahblite.sv ../src/ebu/amoalu.sv ../src/fpu/adder.sv ../src/fpu/cla12.sv ../src/fpu/cla52.sv ../src/fpu/cla64.sv ../src/fpu/convert_inputs.sv ../src/fpu/convert_inputs_div.sv ../src/fpu/cvtfp.sv ../src/fpu/divconv.sv ../src/fpu/exception.sv ../src/fpu/exception_div.sv ../src/fpu/faddcvt.sv ../src/fpu/fclassify.sv ../src/fpu/fcmp.sv ../src/fpu/fctrl.sv ../src/fpu/fcvt.sv ../src/fpu/fhazard.sv ../src/fpu/fma.sv ../src/fpu/fpdiv.sv ../src/fpu/fpu.sv ../src/fpu/fpudivsqrtrecur.sv ../src/fpu/fpudivsqrtrecurcore.sv ../src/fpu/fregfile.sv ../src/fpu/fsgn.sv ../src/fpu/fsm.sv ../src/fpu/lzd_denorm.sv ../src/fpu/rounder_denorm.sv ../src/fpu/rounder_div.sv ../src/fpu/sbtm_a0.sv ../src/fpu/sbtm_a1.sv ../src/fpu/sbtm_a2.sv ../src/fpu/sbtm_a3.sv ../src/fpu/sbtm_div.sv ../src/fpu/sbtm_sqrt.sv ../src/fpu/shifter_denorm.sv ../src/fpu/unpacking.sv ../src/generic/adder.sv ../src/generic/clockgater.sv ../src/generic/flop.sv ../src/generic/lzd.sv ../src/generic/mux.sv ../src/generic/neg.sv ../src/generic/onehotdecoder.sv ../src/generic/or_rows.sv ../src/generic/shift.sv ../src/hazard/hazard.sv ../src/ieu/alu.sv ../src/ieu/controller.sv ../src/ieu/datapath.sv ../src/ieu/extend.sv ../src/ieu/forward.sv ../src/ieu/ieu.sv ../src/ieu/regfile.sv ../src/ieu/shifter.sv ../src/ifu/BTBPredictor.sv ../src/ifu/RAsPredictor.sv ../src/ifu/SRAM2P1R1W.sv ../src/ifu/bpred.sv ../src/ifu/decompress.sv ../src/ifu/globalHistoryPredictor.sv ../src/ifu/gsharePredictor.sv ../src/ifu/ifu.sv ../src/ifu/localHistoryPredictor.sv ../src/ifu/satCounter2.sv ../src/ifu/twoBitPredictor.sv ../src/lsu/lrsc.sv ../src/lsu/lsu.sv ../src/lsu/lsuArb.sv ../src/lsu/subwordread.sv ../src/mmu/adrdec.sv ../src/mmu/adrdecs.sv ../src/mmu/decoder.sv ../src/mmu/hptw.sv ../src/mmu/mmu.sv ../src/mmu/pmachecker.sv ../src/mmu/pmpadrdec.sv ../src/mmu/pmpchecker.sv ../src/mmu/priorityonehot.sv ../src/mmu/prioritythermometer.sv ../src/mmu/tlb.sv ../src/mmu/tlbcam.sv ../src/mmu/tlbcamline.sv ../src/mmu/tlbcontrol.sv ../src/mmu/tlblru.sv ../src/mmu/tlbmixer.sv ../src/mmu/tlbram.sv ../src/mmu/tlbramline.sv ../src/muldiv/div.sv ../src/muldiv/intdivrestoring.sv ../src/muldiv/intdivrestoringstep.sv ../src/muldiv/mul.sv ../src/muldiv/muldiv.sv ../src/privileged/csr.sv ../src/privileged/csrc.sv ../src/privileged/csri.sv ../src/privileged/csrm.sv ../src/privileged/csrn.sv ../src/privileged/csrs.sv ../src/privileged/csrsr.sv ../src/privileged/csru.sv ../src/privileged/privdec.sv ../src/privileged/privileged.sv ../src/privileged/trap.sv ../src/uncore/clint.sv ../src/uncore/dtim.sv ../src/uncore/gpio.sv ../src/uncore/plic.sv ../src/uncore/subwordwrite.sv ../src/uncore/uart.sv ../src/uncore/uartPC16550D.sv ../src/uncore/uncore.sv ../src/wally/wallypipelinedhart.sv ../src/wally/wallypipelinedsoc.sv -suppress 2583 -optimize -snapshot wally +notimingchecks +nospecify -- Entry: 2 - Time: Sat Oct 9 21:17:08 2021 - Command: /cad/mentor/questa_sim-2021.2_1/questasim/linux_x86_64/qrun -simulate -snapshot wally diff --git a/wally-pipelined/regression/old/qrun.out/history.cnt b/wally-pipelined/regression/old/qrun.out/history.cnt deleted file mode 100644 index 0cfbf088..00000000 --- a/wally-pipelined/regression/old/qrun.out/history.cnt +++ /dev/null @@ -1 +0,0 @@ -2 diff --git a/wally-pipelined/regression/old/qrun.out/sessions/qrun.out$work b/wally-pipelined/regression/old/qrun.out/sessions/qrun.out$work deleted file mode 100644 index cb7ac584..00000000 Binary files a/wally-pipelined/regression/old/qrun.out/sessions/qrun.out$work and /dev/null differ diff --git a/wally-pipelined/regression/old/qrun.out/stats_log b/wally-pipelined/regression/old/qrun.out/stats_log deleted file mode 100644 index c7d500fc..00000000 --- a/wally-pipelined/regression/old/qrun.out/stats_log +++ /dev/null @@ -1,2 +0,0 @@ -qrun: Errors: 0, Warnings: 0 -vsim: Errors: 1, Warnings: 1 diff --git a/wally-pipelined/regression/old/qrun.out/top_dus b/wally-pipelined/regression/old/qrun.out/top_dus deleted file mode 100644 index edcb6622..00000000 --- a/wally-pipelined/regression/old/qrun.out/top_dus +++ /dev/null @@ -1 +0,0 @@ -/mnt/scratch/harris_scratch/riscv-wally/wally-pipelined/regression/qrun.out/work 0 compiled diff --git a/wally-pipelined/regression/old/qrun.out/version b/wally-pipelined/regression/old/qrun.out/version deleted file mode 100644 index f1f03fff..00000000 --- a/wally-pipelined/regression/old/qrun.out/version +++ /dev/null @@ -1 +0,0 @@ -qrun 0.5 diff --git a/wally-pipelined/regression/old/sim-busybear b/wally-pipelined/regression/old/sim-busybear deleted file mode 100755 index e2034404..00000000 --- a/wally-pipelined/regression/old/sim-busybear +++ /dev/null @@ -1 +0,0 @@ -vsim -do wally-busybear.do diff --git a/wally-pipelined/regression/old/sim-busybear-batch b/wally-pipelined/regression/old/sim-busybear-batch deleted file mode 100755 index 194863b4..00000000 --- a/wally-pipelined/regression/old/sim-busybear-batch +++ /dev/null @@ -1,3 +0,0 @@ -vsim -c <" prompt: -# do wally-arch.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-arch.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work_arch_$2] { - vdel -lib work_arch_$2 -all -} -vlib work_arch_$2 - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt - -# default to config/rv64ic, but allow this to be overridden at the command line. For example: -# do wally-pipelined.do ../config/rv32ic -switch $argc { - 0 {vlog -work work_arch_$2 +incdir+../config/rv64ic +incdir+../config/shared ../testbench/testbench-arch.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} - 1 {vlog -work work_arch_$2 +incdir+$1 +incdir+../config/shared ../testbench/testbench-arch.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} - 2 {vlog -work work_arch_$2 +incdir+$1 +incdir+../config/shared ../testbench/testbench-arch.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -} -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt +acc work_arch_$2.testbench -work work_arch_$2 -o workopt_arch -vsim -lib work_arch_$2 workopt_arch - -view wave --- display input and output signals as hexidecimal values -do ./wave-dos/peripheral-waves.do - --- Run the Simulation -#run 5000 -run -all -#quit -noview ../testbench/testbench-arch.sv -view wave diff --git a/wally-pipelined/regression/old/wally-busybear-batch.do b/wally-pipelined/regression/old/wally-busybear-batch.do deleted file mode 100644 index 1bcc164e..00000000 --- a/wally-pipelined/regression/old/wally-busybear-batch.do +++ /dev/null @@ -1,39 +0,0 @@ -# wally-pipelined.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# Use with testbench_busybear -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Use this wally-pipelined.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work_busybear] { - vdel -all -lib work_busybear -} -vlib work_busybear - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt -vlog -work work_busybear +incdir+../config/busybear +incdir+../config/shared ../testbench/testbench-linux.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583 - - -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt work_busybear.testbench -o workopt_busybear -vsim workopt_busybear -suppress 8852,12070 - -run -all -run -all -quit diff --git a/wally-pipelined/regression/old/wally-busybear.do b/wally-pipelined/regression/old/wally-busybear.do deleted file mode 100644 index 9a567402..00000000 --- a/wally-pipelined/regression/old/wally-busybear.do +++ /dev/null @@ -1,44 +0,0 @@ -# wally-pipelined.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# Use with testbench_busybear -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Use this wally-pipelined.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work-busybear] { - vdel -all -lib work-busybear -} -vlib work-busybear - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt -vlog +incdir+../config/busybear +incdir+../config/shared ../testbench/testbench-linux.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583 - - -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt +acc work.testbench -o workopt - -vsim workopt -suppress 8852,12070 - - - -#-- Run the Simulation -run -all -do ./wave-dos/linux-waves.do -run -all -##quit diff --git a/wally-pipelined/regression/old/wally-pipelined-batch-muldiv.do b/wally-pipelined/regression/old/wally-pipelined-batch-muldiv.do deleted file mode 100644 index cef5619e..00000000 --- a/wally-pipelined/regression/old/wally-pipelined-batch-muldiv.do +++ /dev/null @@ -1,43 +0,0 @@ -# wally-pipelined-batch.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# Use with Testbench -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Use this wally-pipelined-batch.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined-batch.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined-batch.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work_$2] { - vdel -lib work_$2 -all -} -vlib work_$2 - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt - -# default to config/rv64ic, but allow this to be overridden at the command line. For example: -# do wally-pipelined-batch.do ../config/rv32ic rv32ic -switch $argc { - 0 {vlog +incdir+../config/rv64imc +incdir+../config/shared ../testbench/testbench-imperas-div.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} - 1 {vlog +incdir+$1 +incdir+../config/shared ../testbench/testbench-imperas-div.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} - 2 {vlog -work work_$2 +incdir+$1 +incdir+../config/shared ../testbench/testbench-imperas-div.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -} -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt work_$2.testbench -work work_$2 -o workopt_$2 -vsim -lib work_$2 workopt_$2 - -run -all -quit diff --git a/wally-pipelined/regression/old/wally-pipelined-batch-rv32icfd.do b/wally-pipelined/regression/old/wally-pipelined-batch-rv32icfd.do deleted file mode 100644 index 04866319..00000000 --- a/wally-pipelined/regression/old/wally-pipelined-batch-rv32icfd.do +++ /dev/null @@ -1,42 +0,0 @@ -# wally-pipelined-batch.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# Use with Testbench -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Use this wally-pipelined-batch.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined-batch.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined-batch.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work_$2] { - vdel -lib work_$2 -all -} -vlib work_$2 - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt - -# default to config/rv64ic, but allow this to be overridden at the command line. For example: -switch $argc { - 0 {vlog +incdir+../config/rv32icfd +incdir+../config/shared ../testbench/testbench-imperas.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} - 1 {vlog +incdir+$1 +incdir+../config/shared ../testbench/testbench-imperas.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} - 2 {vlog -work work_$2 +incdir+$1 +incdir+../config/shared ../testbench/testbench-imperas.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -} -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt work_$2.testbench -work work_$2 -o workopt_$2 -vsim -lib work_$2 workopt_$2 - -run -all -quit diff --git a/wally-pipelined/regression/old/wally-pipelined-batch-rv64icfd.do b/wally-pipelined/regression/old/wally-pipelined-batch-rv64icfd.do deleted file mode 100644 index efa90d03..00000000 --- a/wally-pipelined/regression/old/wally-pipelined-batch-rv64icfd.do +++ /dev/null @@ -1,50 +0,0 @@ -# wally-pipelined-batch.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# Use with Testbench -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Use this wally-pipelined-batch.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined-batch.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined-batch.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work_$2] { - vdel -lib work_$2 -all -} -vlib work_$2 - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt - -# default to config/rv64icfd, but allow this to be overridden at the command line. For example: -# do wally-pipelined-batch.do ../config/rv32ic rv32ic -switch $argc { - 0 {vlog +incdir+../config/rv64icfd +incdir+../config/shared ../testbench/testbench-imperas.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} - 1 {vlog +incdir+$1 +incdir+../config/shared ../testbench/testbench-imperas.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} - 2 {vlog -work work_$2 +incdir+$1 +incdir+../config/shared ../testbench/testbench-imperas.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -} -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt work_$2.testbench -work work_$2 -o workopt_$2 -vsim -lib work_$2 workopt_$2 -# Adding coverage increases runtime from 2:00 to 4:29. Can't run it all the time -#vopt work_$2.testbench -work work_$2 -o workopt_$2 +cover=sbectf -#vsim -coverage -lib work_$2 workopt_$2 - -run -all -#coverage report -file wally-pipelined-coverage.txt -# These aren't doing anything helpful -#coverage report -memory -#profile report -calltree -file wally-pipelined-calltree.rpt -cutoff 2 -quit diff --git a/wally-pipelined/regression/old/wally-pipelined-muldiv.do b/wally-pipelined/regression/old/wally-pipelined-muldiv.do deleted file mode 100644 index f40d0c5d..00000000 --- a/wally-pipelined/regression/old/wally-pipelined-muldiv.do +++ /dev/null @@ -1,62 +0,0 @@ -# wally-pipelined.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# Use with Testbench -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Use this wally-pipelined.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work] { - vdel -all -} -vlib work - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt - -# default to config/rv64ic, but allow this to be overridden at the command line. For example: -# do wally-pipelined.do ../config/rv32ic -switch $argc { - 0 {vlog +incdir+../config/rv64imc +incdir+../config/shared ../testbench/testbench-imperas-div.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} - 1 {vlog +incdir+$1 +incdir+../config/shared ../testbench/testbench-imperas-div.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -} -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt +acc work.testbench -o workopt -vsim workopt - -view wave - --- display input and output signals as hexidecimal values -do ./wave-dos/ahb-muldiv.do - --- Set Wave Output Items -TreeUpdate [SetDefaultTree] -WaveRestoreZoom {0 ps} {100 ps} -configure wave -namecolwidth 350 -configure wave -valuecolwidth 240 -configure wave -justifyvalue left -configure wave -signalnamewidth 0 -configure wave -snapdistance 10 -configure wave -datasetprefix 0 -configure wave -rowmargin 4 -configure wave -childrowmargin 2 -set DefaultRadix hexadecimal - --- Run the Simulation -#run 4100 -run -all -#quit diff --git a/wally-pipelined/regression/old/wally-pipelined-ross.do b/wally-pipelined/regression/old/wally-pipelined-ross.do deleted file mode 100644 index 8d616b09..00000000 --- a/wally-pipelined/regression/old/wally-pipelined-ross.do +++ /dev/null @@ -1,49 +0,0 @@ -# wally-pipelined.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# Use with Testbench -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Use this wally-pipelined.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work] { - vdel -all -} -vlib work - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt - -# default to config/rv64ic, but allow this to be overridden at the command line. For example: -# do wally-pipelined.do ../config/rv32ic -switch $argc { - 0 {vlog +incdir+../config/rv64ic +incdir+../config/shared ../testbench/testbench-imperas.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} - 1 {vlog +incdir+$1 +incdir+../config/shared ../testbench/testbench-imperas.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -} -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt -fsmdebug +acc -gDEBUG=1 work.testbench -o workopt -vsim workopt -fsmdebug - -#profile on - -do wave.do -add log -r /* - --- Run the Simulation -#run 1000 -run -all -#quit diff --git a/wally-pipelined/regression/old/wally-pipelined-rv32icfd.do b/wally-pipelined/regression/old/wally-pipelined-rv32icfd.do deleted file mode 100644 index 0a1f4905..00000000 --- a/wally-pipelined/regression/old/wally-pipelined-rv32icfd.do +++ /dev/null @@ -1,50 +0,0 @@ -# wally-pipelined.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# Use with Testbench -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Use this wally-pipelined.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work] { - vdel -all -} -vlib work - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt - -# default to config/rv64ic, but allow this to be overridden at the command line. For example: -# do wally-pipelined.do ../config/rv32ic -switch $argc { - 0 {vlog +incdir+../config/rv32icfd +incdir+../config/shared ../testbench/testbench-imperas.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} - 1 {vlog +incdir+$1 +incdir+../config/shared ../testbench/testbench-imperas.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -} -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt +acc work.testbench -o workopt -vsim workopt - -view wave --- display input and output signals as hexidecimal values -do ./wave-dos/default-waves.do - --- Run the Simulation -#run 5000 -run -all -#quit -noview ../testbench/testbench-imperas.sv -view wave diff --git a/wally-pipelined/regression/old/wally-pipelined-rv64icfd.do b/wally-pipelined/regression/old/wally-pipelined-rv64icfd.do deleted file mode 100644 index 3b9fcfc6..00000000 --- a/wally-pipelined/regression/old/wally-pipelined-rv64icfd.do +++ /dev/null @@ -1,50 +0,0 @@ -# wally-pipelined.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# Use with Testbench -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Use this wally-pipelined.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work] { - vdel -all -} -vlib work - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt - -# default to config/rv64icfd, but allow this to be overridden at the command line. For example: -# do wally-pipelined.do ../config/rv32ic -switch $argc { - 0 {vlog +incdir+../config/rv64icfd +incdir+../config/shared ../testbench/testbench-imperas.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} - 1 {vlog +incdir+$1 +incdir+../config/shared ../testbench/testbench-imperas.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -} -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt +acc work.testbench -o workopt -vsim workopt - -view wave --- display input and output signals as hexidecimal values -do ./wave-dos/default-waves.do - --- Run the Simulation -#run 5000 -run -all -#quit -noview ../testbench/testbench-imperas.sv -view wave diff --git a/wally-pipelined/regression/old/wally-privileged.do b/wally-pipelined/regression/old/wally-privileged.do deleted file mode 100644 index b0b8186f..00000000 --- a/wally-pipelined/regression/old/wally-privileged.do +++ /dev/null @@ -1,72 +0,0 @@ -# wally-pipelined.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# Use with Testbench -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Use this wally-pipelined.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work] { - vdel -all -} -vlib work - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt - -# default to config/rv64ic, but allow this to be overridden at the command line. For example: -# do wally-pipelined.do ../config/rv32ic -switch $argc { - 0 {vlog +incdir+../config/rv64ic +incdir+../config/shared ../testbench/testbench-imperas.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} - 1 {vlog +incdir+$1 +incdir+../config/shared ../testbench/testbench-imperas.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -} -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt +acc work.testbench -o workopt -vsim workopt - -view wave --- display input and output signals as hexidecimal values -onerror {resume} -add wave -noupdate /testbench/clk -add wave -noupdate /testbench/reset -add wave -noupdate -expand -group {Execution Stage} /testbench/dut/hart/ifu/PCE -quietly WaveActivateNextPane {} 0 -TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 2} {12215488 ns} 0} {{Cursor 4} {22127 ns} 0} -quietly wave cursor active 2 -configure wave -namecolwidth 250 -configure wave -valuecolwidth 513 -configure wave -justifyvalue left -configure wave -signalnamewidth 1 -configure wave -snapdistance 10 -configure wave -datasetprefix 0 -configure wave -rowmargin 4 -configure wave -childrowmargin 2 -configure wave -gridoffset 0 -configure wave -gridperiod 1 -configure wave -griddelta 40 -configure wave -timeline 0 -configure wave -timelineunits ns -update -WaveRestoreZoom {21993 ns} {22181 ns} - --- Run the Simulation -#run 5000 -run -all -#quit -noview ../testbench/testbench-imperas.sv -view wave diff --git a/wally-pipelined/regression/sim-fp64 b/wally-pipelined/regression/sim-fp64 deleted file mode 100755 index b6b8ba5c..00000000 --- a/wally-pipelined/regression/sim-fp64 +++ /dev/null @@ -1 +0,0 @@ -vsim -do wally-fp64.do