From 8104b939004b8645b888dfc8b204261e469f29ee Mon Sep 17 00:00:00 2001 From: Noah Boorstin Date: Fri, 22 Jan 2021 14:11:17 -0500 Subject: [PATCH] load instructions from file line by line --- .gitignore | 1 + wally-pipelined/src/testbench-busybear.sv | 27 +++++++++++++++++++++++ 2 files changed, 28 insertions(+) diff --git a/.gitignore b/.gitignore index b3169c60..69fb8f93 100644 --- a/.gitignore +++ b/.gitignore @@ -3,3 +3,4 @@ #vsim work files to ignore transcript vsim.wlf +wally-pipelined/wlft* diff --git a/wally-pipelined/src/testbench-busybear.sv b/wally-pipelined/src/testbench-busybear.sv index bfbbc4dc..5f8880b5 100644 --- a/wally-pipelined/src/testbench-busybear.sv +++ b/wally-pipelined/src/testbench-busybear.sv @@ -24,6 +24,33 @@ module testbench_busybear #(parameter XLEN=64, MISA=32'h00000104, ZCSR = 1, ZCOU begin reset <= 1; # 22; reset <= 0; end + + // read instr trace file + integer data_file, scan_file; + integer read_data; + initial begin + data_file = $fopen("busybear-testgen/parsed.txt", "r"); + if (data_file == 0) begin + $display("file couldn't be opened"); + $stop; + end + // scan_file = $fscanf(data_file, "%x\n", read_data); + // $display("%x", read_data); + + // scan_file = $fscanf(data_file, "%s\n", read_data); + // $display("%s", read_data); + // //if (!$feof(data_file)) begin + // // $display(read_data); + // //end + // end + end + + always @(PCF) begin + //$display("%x", PCF); + scan_file = $fscanf(data_file, "%x\n", InstrF); + //$display("%x", InstrF); + end + // generate clock to sequence tests always