From 4322694f7ab2b47d8017d7cd1570e8e43642d721 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Wed, 7 Apr 2021 19:12:43 -0500 Subject: [PATCH] Switch to use RV64IC for the benchmarks. Still not working correctly with the icache. instr addr correct got --- testsBP/crt0/Makefile | 9 +- testsBP/mibench_qsort/LICENSE | 3 + testsBP/mibench_qsort/Makefile | 19 + testsBP/mibench_qsort/qsort_small.c | 10038 ++++++++++++++++ testsBP/sieve/Makefile | 8 +- testsBP/simple/Makefile | 7 +- testsBP/simple/lbu_test.s | 19 + wally-pipelined/bin/exe2memfile0.pl | 4 - wally-pipelined/regression/wave.do | 85 +- wally-pipelined/src/uncore/dtim.sv | 16 +- .../testbench/testbench-imperas.sv | 13 +- 11 files changed, 10165 insertions(+), 56 deletions(-) create mode 100644 testsBP/mibench_qsort/LICENSE create mode 100644 testsBP/mibench_qsort/Makefile create mode 100644 testsBP/mibench_qsort/qsort_small.c create mode 100644 testsBP/simple/lbu_test.s diff --git a/testsBP/crt0/Makefile b/testsBP/crt0/Makefile index 97b83faf..ab47384f 100644 --- a/testsBP/crt0/Makefile +++ b/testsBP/crt0/Makefile @@ -3,10 +3,13 @@ TARGET := $(TARGETDIR)/start ROOT := .. LIBRARY_DIRS := LIBRARY_FILES := -LINK_FLAGS := -nostartfiles -AFLAGS =-march=rv64ifd -W -CFLAGS =-march=rv64ifd -mcmodel=medany +MARCH :=-march=rv64ic +MABI :=-mabi=lp64 +LINK_FLAGS :=$(MARCH) $(MABI) -nostartfiles + +AFLAGS =$(MARCH) $(MABI) -march=rv64ic -mabi=lp64 -W +CFLAGS =$(MARCH) $(MABI) -march=rv64ic -mabi=lp64 -mcmodel=medany AS=riscv64-unknown-elf-as CC=riscv64-unknown-elf-gcc AR=riscv64-unknown-elf-ar diff --git a/testsBP/mibench_qsort/LICENSE b/testsBP/mibench_qsort/LICENSE new file mode 100644 index 00000000..6016daa7 --- /dev/null +++ b/testsBP/mibench_qsort/LICENSE @@ -0,0 +1,3 @@ +Matt wrote this using STL. + +It is GPL'ed. diff --git a/testsBP/mibench_qsort/Makefile b/testsBP/mibench_qsort/Makefile new file mode 100644 index 00000000..f4d36839 --- /dev/null +++ b/testsBP/mibench_qsort/Makefile @@ -0,0 +1,19 @@ +TARGETDIR := qsort +TARGET := $(TARGETDIR)/$(TARGETDIR).elf +ROOT := .. +LIBRARY_DIRS := ${ROOT}/crt0 +LIBRARY_FILES := crt0 + +MARCH :=-march=rv64ic +MABI :=-mabi=lp64 +LINK_FLAGS :=$(MARCH) $(MABI) -nostartfiles -Wl,-Map=$(TARGET).map + +CFLAGS =$(MARCH) $(MABI) -Wa,-alhs -Wa,-L -mcmodel=medany -mstrict-align + +CC=riscv64-unknown-elf-gcc +DA=riscv64-unknown-elf-objdump -d + + +include $(ROOT)/makefile.inc + + diff --git a/testsBP/mibench_qsort/qsort_small.c b/testsBP/mibench_qsort/qsort_small.c new file mode 100644 index 00000000..1b28eea4 --- /dev/null +++ b/testsBP/mibench_qsort/qsort_small.c @@ -0,0 +1,10038 @@ +#include +#include +#include + +#define UNLIMIT +#define MAXARRAY 11000 /* this number, if too large, will cause a seg. fault!! */ + +struct myStringStruct { + char qstring[128]; +}; + +struct myStringStruct array[MAXARRAY]; + +int compare(const void *elem1, const void *elem2) +{ + int result; + + result = strcmp((*((struct myStringStruct *)elem1)).qstring, (*((struct myStringStruct *)elem2)).qstring); + + return (result < 0) ? 1 : ((result == 0) ? 0 : -1); +} + + +int +main(int argc, char *argv[]) { + FILE *fp; + int i,count=0; + +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "stick"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Understand"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "friends"); +strcpy(array[count++].qstring, "come"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "go"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "precious"); +strcpy(array[count++].qstring, "few"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "should"); +strcpy(array[count++].qstring, "hold"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "Work"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "bridge"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "gaps"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "geography"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "lifestyle"); +strcpy(array[count++].qstring, "because"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "older"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "need"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "knew"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "New"); +strcpy(array[count++].qstring, "York"); +strcpy(array[count++].qstring, "City"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "Northern"); +strcpy(array[count++].qstring, "California"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "soft"); +strcpy(array[count++].qstring, "Travel"); +strcpy(array[count++].qstring, "Accept"); +strcpy(array[count++].qstring, "certain"); +strcpy(array[count++].qstring, "inalienable"); +strcpy(array[count++].qstring, "truths"); +strcpy(array[count++].qstring, "Prices"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "rise"); +strcpy(array[count++].qstring, "Politicians"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "philander"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "And"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "fantasize"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "prices"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "reasonable"); +strcpy(array[count++].qstring, "politicians"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "noble"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "respected"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Respect"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "expect"); +strcpy(array[count++].qstring, "anyone"); +strcpy(array[count++].qstring, "else"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "support"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "fund"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "wealthy"); +strcpy(array[count++].qstring, "spouse"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "might"); +strcpy(array[count++].qstring, "run"); +strcpy(array[count++].qstring, "out"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "mess"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "hair"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "85"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "careful"); +strcpy(array[count++].qstring, "whose"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "buy"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "patient"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "those"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "supply"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Advice"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "form"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "nostalgia"); +strcpy(array[count++].qstring, "Dispensing"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "fishing"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "from"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "disposal"); +strcpy(array[count++].qstring, "wiping"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "off"); +strcpy(array[count++].qstring, "painting"); +strcpy(array[count++].qstring, "over"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "parts"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recycling"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "worth"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "stick"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Understand"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "friends"); +strcpy(array[count++].qstring, "come"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "go"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "precious"); +strcpy(array[count++].qstring, "few"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "should"); +strcpy(array[count++].qstring, "hold"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "Work"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "bridge"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "gaps"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "geography"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "lifestyle"); +strcpy(array[count++].qstring, "because"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "older"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "need"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "knew"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "New"); +strcpy(array[count++].qstring, "York"); +strcpy(array[count++].qstring, "City"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "Northern"); +strcpy(array[count++].qstring, "California"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "soft"); +strcpy(array[count++].qstring, "Travel"); +strcpy(array[count++].qstring, "Accept"); +strcpy(array[count++].qstring, "certain"); +strcpy(array[count++].qstring, "inalienable"); +strcpy(array[count++].qstring, "truths"); +strcpy(array[count++].qstring, "Prices"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "rise"); +strcpy(array[count++].qstring, "Politicians"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "philander"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "And"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "fantasize"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "prices"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "reasonable"); +strcpy(array[count++].qstring, "politicians"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "noble"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "respected"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Respect"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "expect"); +strcpy(array[count++].qstring, "anyone"); +strcpy(array[count++].qstring, "else"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "support"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "fund"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "wealthy"); +strcpy(array[count++].qstring, "spouse"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "might"); +strcpy(array[count++].qstring, "run"); +strcpy(array[count++].qstring, "out"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "mess"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "hair"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "85"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "careful"); +strcpy(array[count++].qstring, "whose"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "buy"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "patient"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "those"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "supply"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Advice"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "form"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "nostalgia"); +strcpy(array[count++].qstring, "Dispensing"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "fishing"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "from"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "disposal"); +strcpy(array[count++].qstring, "wiping"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "off"); +strcpy(array[count++].qstring, "painting"); +strcpy(array[count++].qstring, "over"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "parts"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recycling"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "worth"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "stick"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Understand"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "friends"); +strcpy(array[count++].qstring, "come"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "go"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "precious"); +strcpy(array[count++].qstring, "few"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "should"); +strcpy(array[count++].qstring, "hold"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "Work"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "bridge"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "gaps"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "geography"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "lifestyle"); +strcpy(array[count++].qstring, "because"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "older"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "need"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "knew"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "New"); +strcpy(array[count++].qstring, "York"); +strcpy(array[count++].qstring, "City"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "Northern"); +strcpy(array[count++].qstring, "California"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "soft"); +strcpy(array[count++].qstring, "Travel"); +strcpy(array[count++].qstring, "Accept"); +strcpy(array[count++].qstring, "certain"); +strcpy(array[count++].qstring, "inalienable"); +strcpy(array[count++].qstring, "truths"); +strcpy(array[count++].qstring, "Prices"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "rise"); +strcpy(array[count++].qstring, "Politicians"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "philander"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "And"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "fantasize"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "prices"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "reasonable"); +strcpy(array[count++].qstring, "politicians"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "noble"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "respected"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Respect"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "expect"); +strcpy(array[count++].qstring, "anyone"); +strcpy(array[count++].qstring, "else"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "support"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "fund"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "wealthy"); +strcpy(array[count++].qstring, "spouse"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "might"); +strcpy(array[count++].qstring, "run"); +strcpy(array[count++].qstring, "out"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "mess"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "hair"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "85"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "careful"); +strcpy(array[count++].qstring, "whose"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "buy"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "patient"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "those"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "supply"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Advice"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "form"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "nostalgia"); +strcpy(array[count++].qstring, "Dispensing"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "fishing"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "from"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "disposal"); +strcpy(array[count++].qstring, "wiping"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "off"); +strcpy(array[count++].qstring, "painting"); +strcpy(array[count++].qstring, "over"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "parts"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recycling"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "worth"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "stick"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Understand"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "friends"); +strcpy(array[count++].qstring, "come"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "go"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "precious"); +strcpy(array[count++].qstring, "few"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "should"); +strcpy(array[count++].qstring, "hold"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "Work"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "bridge"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "gaps"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "geography"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "lifestyle"); +strcpy(array[count++].qstring, "because"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "older"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "need"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "knew"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "New"); +strcpy(array[count++].qstring, "York"); +strcpy(array[count++].qstring, "City"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "Northern"); +strcpy(array[count++].qstring, "California"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "soft"); +strcpy(array[count++].qstring, "Travel"); +strcpy(array[count++].qstring, "Accept"); +strcpy(array[count++].qstring, "certain"); +strcpy(array[count++].qstring, "inalienable"); +strcpy(array[count++].qstring, "truths"); +strcpy(array[count++].qstring, "Prices"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "rise"); +strcpy(array[count++].qstring, "Politicians"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "philander"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "And"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "fantasize"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "prices"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "reasonable"); +strcpy(array[count++].qstring, "politicians"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "noble"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "respected"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Respect"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "expect"); +strcpy(array[count++].qstring, "anyone"); +strcpy(array[count++].qstring, "else"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "support"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "fund"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "wealthy"); +strcpy(array[count++].qstring, "spouse"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "might"); +strcpy(array[count++].qstring, "run"); +strcpy(array[count++].qstring, "out"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "mess"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "hair"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "85"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "careful"); +strcpy(array[count++].qstring, "whose"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "buy"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "patient"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "those"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "supply"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Advice"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "form"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "nostalgia"); +strcpy(array[count++].qstring, "Dispensing"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "fishing"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "from"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "disposal"); +strcpy(array[count++].qstring, "wiping"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "off"); +strcpy(array[count++].qstring, "painting"); +strcpy(array[count++].qstring, "over"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "parts"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recycling"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "worth"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "stick"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Understand"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "friends"); +strcpy(array[count++].qstring, "come"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "go"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "precious"); +strcpy(array[count++].qstring, "few"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "should"); +strcpy(array[count++].qstring, "hold"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "Work"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "bridge"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "gaps"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "geography"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "lifestyle"); +strcpy(array[count++].qstring, "because"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "older"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "need"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "knew"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "New"); +strcpy(array[count++].qstring, "York"); +strcpy(array[count++].qstring, "City"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "Northern"); +strcpy(array[count++].qstring, "California"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "soft"); +strcpy(array[count++].qstring, "Travel"); +strcpy(array[count++].qstring, "Accept"); +strcpy(array[count++].qstring, "certain"); +strcpy(array[count++].qstring, "inalienable"); +strcpy(array[count++].qstring, "truths"); +strcpy(array[count++].qstring, "Prices"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "rise"); +strcpy(array[count++].qstring, "Politicians"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "philander"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "And"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "fantasize"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "prices"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "reasonable"); +strcpy(array[count++].qstring, "politicians"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "noble"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "respected"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Respect"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "expect"); +strcpy(array[count++].qstring, "anyone"); +strcpy(array[count++].qstring, "else"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "support"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "fund"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "wealthy"); +strcpy(array[count++].qstring, "spouse"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "might"); +strcpy(array[count++].qstring, "run"); +strcpy(array[count++].qstring, "out"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "mess"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "hair"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "85"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "careful"); +strcpy(array[count++].qstring, "whose"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "buy"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "patient"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "those"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "supply"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Advice"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "form"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "nostalgia"); +strcpy(array[count++].qstring, "Dispensing"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "fishing"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "from"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "disposal"); +strcpy(array[count++].qstring, "wiping"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "off"); +strcpy(array[count++].qstring, "painting"); +strcpy(array[count++].qstring, "over"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "parts"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recycling"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "worth"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "stick"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Understand"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "friends"); +strcpy(array[count++].qstring, "come"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "go"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "precious"); +strcpy(array[count++].qstring, "few"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "should"); +strcpy(array[count++].qstring, "hold"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "Work"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "bridge"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "gaps"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "geography"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "lifestyle"); +strcpy(array[count++].qstring, "because"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "older"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "need"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "knew"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "New"); +strcpy(array[count++].qstring, "York"); +strcpy(array[count++].qstring, "City"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "Northern"); +strcpy(array[count++].qstring, "California"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "soft"); +strcpy(array[count++].qstring, "Travel"); +strcpy(array[count++].qstring, "Accept"); +strcpy(array[count++].qstring, "certain"); +strcpy(array[count++].qstring, "inalienable"); +strcpy(array[count++].qstring, "truths"); +strcpy(array[count++].qstring, "Prices"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "rise"); +strcpy(array[count++].qstring, "Politicians"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "philander"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "And"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "fantasize"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "prices"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "reasonable"); +strcpy(array[count++].qstring, "politicians"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "noble"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "respected"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Respect"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "expect"); +strcpy(array[count++].qstring, "anyone"); +strcpy(array[count++].qstring, "else"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "support"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "fund"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "wealthy"); +strcpy(array[count++].qstring, "spouse"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "might"); +strcpy(array[count++].qstring, "run"); +strcpy(array[count++].qstring, "out"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "mess"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "hair"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "85"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "careful"); +strcpy(array[count++].qstring, "whose"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "buy"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "patient"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "those"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "supply"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Advice"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "form"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "nostalgia"); +strcpy(array[count++].qstring, "Dispensing"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "fishing"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "from"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "disposal"); +strcpy(array[count++].qstring, "wiping"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "off"); +strcpy(array[count++].qstring, "painting"); +strcpy(array[count++].qstring, "over"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "parts"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recycling"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "worth"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "stick"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Understand"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "friends"); +strcpy(array[count++].qstring, "come"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "go"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "precious"); +strcpy(array[count++].qstring, "few"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "should"); +strcpy(array[count++].qstring, "hold"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "Work"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "bridge"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "gaps"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "geography"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "lifestyle"); +strcpy(array[count++].qstring, "because"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "older"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "need"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "knew"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "New"); +strcpy(array[count++].qstring, "York"); +strcpy(array[count++].qstring, "City"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "Northern"); +strcpy(array[count++].qstring, "California"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "soft"); +strcpy(array[count++].qstring, "Travel"); +strcpy(array[count++].qstring, "Accept"); +strcpy(array[count++].qstring, "certain"); +strcpy(array[count++].qstring, "inalienable"); +strcpy(array[count++].qstring, "truths"); +strcpy(array[count++].qstring, "Prices"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "rise"); +strcpy(array[count++].qstring, "Politicians"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "philander"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "And"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "fantasize"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "prices"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "reasonable"); +strcpy(array[count++].qstring, "politicians"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "noble"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "respected"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Respect"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "expect"); +strcpy(array[count++].qstring, "anyone"); +strcpy(array[count++].qstring, "else"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "support"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "fund"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "wealthy"); +strcpy(array[count++].qstring, "spouse"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "might"); +strcpy(array[count++].qstring, "run"); +strcpy(array[count++].qstring, "out"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "mess"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "hair"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "85"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "careful"); +strcpy(array[count++].qstring, "whose"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "buy"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "patient"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "those"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "supply"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Advice"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "form"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "nostalgia"); +strcpy(array[count++].qstring, "Dispensing"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "fishing"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "from"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "disposal"); +strcpy(array[count++].qstring, "wiping"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "off"); +strcpy(array[count++].qstring, "painting"); +strcpy(array[count++].qstring, "over"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "parts"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recycling"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "worth"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "stick"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Understand"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "friends"); +strcpy(array[count++].qstring, "come"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "go"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "precious"); +strcpy(array[count++].qstring, "few"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "should"); +strcpy(array[count++].qstring, "hold"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "Work"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "bridge"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "gaps"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "geography"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "lifestyle"); +strcpy(array[count++].qstring, "because"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "older"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "need"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "knew"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "New"); +strcpy(array[count++].qstring, "York"); +strcpy(array[count++].qstring, "City"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "Northern"); +strcpy(array[count++].qstring, "California"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "soft"); +strcpy(array[count++].qstring, "Travel"); +strcpy(array[count++].qstring, "Accept"); +strcpy(array[count++].qstring, "certain"); +strcpy(array[count++].qstring, "inalienable"); +strcpy(array[count++].qstring, "truths"); +strcpy(array[count++].qstring, "Prices"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "rise"); +strcpy(array[count++].qstring, "Politicians"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "philander"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "And"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "fantasize"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "prices"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "reasonable"); +strcpy(array[count++].qstring, "politicians"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "noble"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "respected"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Respect"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "expect"); +strcpy(array[count++].qstring, "anyone"); +strcpy(array[count++].qstring, "else"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "support"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "fund"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "wealthy"); +strcpy(array[count++].qstring, "spouse"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "might"); +strcpy(array[count++].qstring, "run"); +strcpy(array[count++].qstring, "out"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "mess"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "hair"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "85"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "careful"); +strcpy(array[count++].qstring, "whose"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "buy"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "patient"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "those"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "supply"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Advice"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "form"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "nostalgia"); +strcpy(array[count++].qstring, "Dispensing"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "fishing"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "from"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "disposal"); +strcpy(array[count++].qstring, "wiping"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "off"); +strcpy(array[count++].qstring, "painting"); +strcpy(array[count++].qstring, "over"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "parts"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recycling"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "worth"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "stick"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Understand"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "friends"); +strcpy(array[count++].qstring, "come"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "go"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "precious"); +strcpy(array[count++].qstring, "few"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "should"); +strcpy(array[count++].qstring, "hold"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "Work"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "bridge"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "gaps"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "geography"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "lifestyle"); +strcpy(array[count++].qstring, "because"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "older"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "need"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "knew"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "New"); +strcpy(array[count++].qstring, "York"); +strcpy(array[count++].qstring, "City"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "Northern"); +strcpy(array[count++].qstring, "California"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "soft"); +strcpy(array[count++].qstring, "Travel"); +strcpy(array[count++].qstring, "Accept"); +strcpy(array[count++].qstring, "certain"); +strcpy(array[count++].qstring, "inalienable"); +strcpy(array[count++].qstring, "truths"); +strcpy(array[count++].qstring, "Prices"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "rise"); +strcpy(array[count++].qstring, "Politicians"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "philander"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "And"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "fantasize"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "prices"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "reasonable"); +strcpy(array[count++].qstring, "politicians"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "noble"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "respected"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Respect"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "expect"); +strcpy(array[count++].qstring, "anyone"); +strcpy(array[count++].qstring, "else"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "support"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "fund"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "wealthy"); +strcpy(array[count++].qstring, "spouse"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "might"); +strcpy(array[count++].qstring, "run"); +strcpy(array[count++].qstring, "out"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "mess"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "hair"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "85"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "careful"); +strcpy(array[count++].qstring, "whose"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "buy"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "patient"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "those"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "supply"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Advice"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "form"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "nostalgia"); +strcpy(array[count++].qstring, "Dispensing"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "fishing"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "from"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "disposal"); +strcpy(array[count++].qstring, "wiping"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "off"); +strcpy(array[count++].qstring, "painting"); +strcpy(array[count++].qstring, "over"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "parts"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recycling"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "worth"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "stick"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Understand"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "friends"); +strcpy(array[count++].qstring, "come"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "go"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "precious"); +strcpy(array[count++].qstring, "few"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "should"); +strcpy(array[count++].qstring, "hold"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "Work"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "bridge"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "gaps"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "geography"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "lifestyle"); +strcpy(array[count++].qstring, "because"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "older"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "need"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "knew"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "New"); +strcpy(array[count++].qstring, "York"); +strcpy(array[count++].qstring, "City"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "Northern"); +strcpy(array[count++].qstring, "California"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "soft"); +strcpy(array[count++].qstring, "Travel"); +strcpy(array[count++].qstring, "Accept"); +strcpy(array[count++].qstring, "certain"); +strcpy(array[count++].qstring, "inalienable"); +strcpy(array[count++].qstring, "truths"); +strcpy(array[count++].qstring, "Prices"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "rise"); +strcpy(array[count++].qstring, "Politicians"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "philander"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "And"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "fantasize"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "prices"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "reasonable"); +strcpy(array[count++].qstring, "politicians"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "noble"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "respected"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Respect"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "expect"); +strcpy(array[count++].qstring, "anyone"); +strcpy(array[count++].qstring, "else"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "support"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "fund"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "wealthy"); +strcpy(array[count++].qstring, "spouse"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "might"); +strcpy(array[count++].qstring, "run"); +strcpy(array[count++].qstring, "out"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "mess"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "hair"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "85"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "careful"); +strcpy(array[count++].qstring, "whose"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "buy"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "patient"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "those"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "supply"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Advice"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "form"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "nostalgia"); +strcpy(array[count++].qstring, "Dispensing"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "fishing"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "from"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "disposal"); +strcpy(array[count++].qstring, "wiping"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "off"); +strcpy(array[count++].qstring, "painting"); +strcpy(array[count++].qstring, "over"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "parts"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recycling"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "worth"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "stick"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Understand"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "friends"); +strcpy(array[count++].qstring, "come"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "go"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "precious"); +strcpy(array[count++].qstring, "few"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "should"); +strcpy(array[count++].qstring, "hold"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "Work"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "bridge"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "gaps"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "geography"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "lifestyle"); +strcpy(array[count++].qstring, "because"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "older"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "need"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "knew"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "New"); +strcpy(array[count++].qstring, "York"); +strcpy(array[count++].qstring, "City"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "Northern"); +strcpy(array[count++].qstring, "California"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "soft"); +strcpy(array[count++].qstring, "Travel"); +strcpy(array[count++].qstring, "Accept"); +strcpy(array[count++].qstring, "certain"); +strcpy(array[count++].qstring, "inalienable"); +strcpy(array[count++].qstring, "truths"); +strcpy(array[count++].qstring, "Prices"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "rise"); +strcpy(array[count++].qstring, "Politicians"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "philander"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "And"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "fantasize"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "prices"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "reasonable"); +strcpy(array[count++].qstring, "politicians"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "noble"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "respected"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Respect"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "expect"); +strcpy(array[count++].qstring, "anyone"); +strcpy(array[count++].qstring, "else"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "support"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "fund"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "wealthy"); +strcpy(array[count++].qstring, "spouse"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "might"); +strcpy(array[count++].qstring, "run"); +strcpy(array[count++].qstring, "out"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "mess"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "hair"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "85"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "careful"); +strcpy(array[count++].qstring, "whose"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "buy"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "patient"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "those"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "supply"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Advice"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "form"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "nostalgia"); +strcpy(array[count++].qstring, "Dispensing"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "fishing"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "from"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "disposal"); +strcpy(array[count++].qstring, "wiping"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "off"); +strcpy(array[count++].qstring, "painting"); +strcpy(array[count++].qstring, "over"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "parts"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recycling"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "worth"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "stick"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Understand"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "friends"); +strcpy(array[count++].qstring, "come"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "go"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "precious"); +strcpy(array[count++].qstring, "few"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "should"); +strcpy(array[count++].qstring, "hold"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "Work"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "bridge"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "gaps"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "geography"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "lifestyle"); +strcpy(array[count++].qstring, "because"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "older"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "need"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "knew"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "New"); +strcpy(array[count++].qstring, "York"); +strcpy(array[count++].qstring, "City"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "Northern"); +strcpy(array[count++].qstring, "California"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "soft"); +strcpy(array[count++].qstring, "Travel"); +strcpy(array[count++].qstring, "Accept"); +strcpy(array[count++].qstring, "certain"); +strcpy(array[count++].qstring, "inalienable"); +strcpy(array[count++].qstring, "truths"); +strcpy(array[count++].qstring, "Prices"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "rise"); +strcpy(array[count++].qstring, "Politicians"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "philander"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "And"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "fantasize"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "prices"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "reasonable"); +strcpy(array[count++].qstring, "politicians"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "noble"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "respected"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Respect"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "expect"); +strcpy(array[count++].qstring, "anyone"); +strcpy(array[count++].qstring, "else"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "support"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "fund"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "wealthy"); +strcpy(array[count++].qstring, "spouse"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "might"); +strcpy(array[count++].qstring, "run"); +strcpy(array[count++].qstring, "out"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "mess"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "hair"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "85"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "careful"); +strcpy(array[count++].qstring, "whose"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "buy"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "patient"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "those"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "supply"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Advice"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "form"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "nostalgia"); +strcpy(array[count++].qstring, "Dispensing"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "fishing"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "from"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "disposal"); +strcpy(array[count++].qstring, "wiping"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "off"); +strcpy(array[count++].qstring, "painting"); +strcpy(array[count++].qstring, "over"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "parts"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recycling"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "worth"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "stick"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Understand"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "friends"); +strcpy(array[count++].qstring, "come"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "go"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "precious"); +strcpy(array[count++].qstring, "few"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "should"); +strcpy(array[count++].qstring, "hold"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "Work"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "bridge"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "gaps"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "geography"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "lifestyle"); +strcpy(array[count++].qstring, "because"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "older"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "need"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "knew"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "New"); +strcpy(array[count++].qstring, "York"); +strcpy(array[count++].qstring, "City"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "Northern"); +strcpy(array[count++].qstring, "California"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "soft"); +strcpy(array[count++].qstring, "Travel"); +strcpy(array[count++].qstring, "Accept"); +strcpy(array[count++].qstring, "certain"); +strcpy(array[count++].qstring, "inalienable"); +strcpy(array[count++].qstring, "truths"); +strcpy(array[count++].qstring, "Prices"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "rise"); +strcpy(array[count++].qstring, "Politicians"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "philander"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "And"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "fantasize"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "prices"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "reasonable"); +strcpy(array[count++].qstring, "politicians"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "noble"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "respected"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Respect"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "expect"); +strcpy(array[count++].qstring, "anyone"); +strcpy(array[count++].qstring, "else"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "support"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "fund"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "wealthy"); +strcpy(array[count++].qstring, "spouse"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "might"); +strcpy(array[count++].qstring, "run"); +strcpy(array[count++].qstring, "out"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "mess"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "hair"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "85"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "careful"); +strcpy(array[count++].qstring, "whose"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "buy"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "patient"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "those"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "supply"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Advice"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "form"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "nostalgia"); +strcpy(array[count++].qstring, "Dispensing"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "fishing"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "from"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "disposal"); +strcpy(array[count++].qstring, "wiping"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "off"); +strcpy(array[count++].qstring, "painting"); +strcpy(array[count++].qstring, "over"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "parts"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recycling"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "worth"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "stick"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Understand"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "friends"); +strcpy(array[count++].qstring, "come"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "go"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "precious"); +strcpy(array[count++].qstring, "few"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "should"); +strcpy(array[count++].qstring, "hold"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "Work"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "bridge"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "gaps"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "geography"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "lifestyle"); +strcpy(array[count++].qstring, "because"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "older"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "need"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "knew"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "New"); +strcpy(array[count++].qstring, "York"); +strcpy(array[count++].qstring, "City"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "hard"); +strcpy(array[count++].qstring, "Live"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "Northern"); +strcpy(array[count++].qstring, "California"); +strcpy(array[count++].qstring, "once"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "leave"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "makes"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "soft"); +strcpy(array[count++].qstring, "Travel"); +strcpy(array[count++].qstring, "Accept"); +strcpy(array[count++].qstring, "certain"); +strcpy(array[count++].qstring, "inalienable"); +strcpy(array[count++].qstring, "truths"); +strcpy(array[count++].qstring, "Prices"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "rise"); +strcpy(array[count++].qstring, "Politicians"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "philander"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "get"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "And"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "fantasize"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "young"); +strcpy(array[count++].qstring, "prices"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "reasonable"); +strcpy(array[count++].qstring, "politicians"); +strcpy(array[count++].qstring, "were"); +strcpy(array[count++].qstring, "noble"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "respected"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Respect"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "elders"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "expect"); +strcpy(array[count++].qstring, "anyone"); +strcpy(array[count++].qstring, "else"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "support"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "fund"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "wealthy"); +strcpy(array[count++].qstring, "spouse"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "might"); +strcpy(array[count++].qstring, "run"); +strcpy(array[count++].qstring, "out"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "mess"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "hair"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "85"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "careful"); +strcpy(array[count++].qstring, "whose"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "buy"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "patient"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "those"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "supply"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Advice"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "form"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "nostalgia"); +strcpy(array[count++].qstring, "Dispensing"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "fishing"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "from"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "disposal"); +strcpy(array[count++].qstring, "wiping"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "off"); +strcpy(array[count++].qstring, "painting"); +strcpy(array[count++].qstring, "over"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "parts"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recycling"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "worth"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "Kurt"); +strcpy(array[count++].qstring, "Vonneguts"); +strcpy(array[count++].qstring, "Commencement"); +strcpy(array[count++].qstring, "Address"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "MIT"); +strcpy(array[count++].qstring, "Ladies"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "gentlemen"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "class"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "97"); +strcpy(array[count++].qstring, "Wear"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "could"); +strcpy(array[count++].qstring, "offer"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "tip"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "would"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "longterm"); +strcpy(array[count++].qstring, "benefits"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "sunscreen"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "been"); +strcpy(array[count++].qstring, "proved"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "scientists"); +strcpy(array[count++].qstring, "whereas"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "rest"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "has"); +strcpy(array[count++].qstring, "no"); +strcpy(array[count++].qstring, "basis"); +strcpy(array[count++].qstring, "more"); +strcpy(array[count++].qstring, "reliable"); +strcpy(array[count++].qstring, "than"); +strcpy(array[count++].qstring, "my"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "meandering"); +strcpy(array[count++].qstring, "experience"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "dispense"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "advice"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "Oh"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "understand"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "power"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "youth"); +strcpy(array[count++].qstring, "until"); +strcpy(array[count++].qstring, "theyve"); +strcpy(array[count++].qstring, "faded"); +strcpy(array[count++].qstring, "But"); +strcpy(array[count++].qstring, "trust"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "20"); +strcpy(array[count++].qstring, "years"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "look"); +strcpy(array[count++].qstring, "back"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "photos"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "recall"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "a"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "cant"); +strcpy(array[count++].qstring, "grasp"); +strcpy(array[count++].qstring, "now"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "possibility"); +strcpy(array[count++].qstring, "lay"); +strcpy(array[count++].qstring, "before"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "fabulous"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "really"); +strcpy(array[count++].qstring, "looked"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "fat"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "imagine"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "about"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "future"); +strcpy(array[count++].qstring, "Or"); +strcpy(array[count++].qstring, "worry"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "worrying"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "effective"); +strcpy(array[count++].qstring, "as"); +strcpy(array[count++].qstring, "trying"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "solve"); +strcpy(array[count++].qstring, "an"); +strcpy(array[count++].qstring, "algebra"); +strcpy(array[count++].qstring, "equation"); +strcpy(array[count++].qstring, "by"); +strcpy(array[count++].qstring, "chewing"); +strcpy(array[count++].qstring, "bubble"); +strcpy(array[count++].qstring, "gum"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "real"); +strcpy(array[count++].qstring, "troubles"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "apt"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "things"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "crossed"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "worried"); +strcpy(array[count++].qstring, "mind"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "blindside"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "4"); +strcpy(array[count++].qstring, "pm"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "some"); +strcpy(array[count++].qstring, "idle"); +strcpy(array[count++].qstring, "Tuesday"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "one"); +strcpy(array[count++].qstring, "thing"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "day"); +strcpy(array[count++].qstring, "that"); +strcpy(array[count++].qstring, "scares"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "Sing"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "peoples"); +strcpy(array[count++].qstring, "hearts"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "put"); +strcpy(array[count++].qstring, "up"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "who"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "reckless"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yours"); +strcpy(array[count++].qstring, "Floss"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "waste"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "time"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "jealousy"); +strcpy(array[count++].qstring, "Sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "ahead"); +strcpy(array[count++].qstring, "sometimes"); +strcpy(array[count++].qstring, "youre"); +strcpy(array[count++].qstring, "behind"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "race"); +strcpy(array[count++].qstring, "is"); +strcpy(array[count++].qstring, "long"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "end"); +strcpy(array[count++].qstring, "its"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "Remember"); +strcpy(array[count++].qstring, "compliments"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "receive"); +strcpy(array[count++].qstring, "Forget"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "insults"); +strcpy(array[count++].qstring, "If"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "succeed"); +strcpy(array[count++].qstring, "in"); +strcpy(array[count++].qstring, "doing"); +strcpy(array[count++].qstring, "this"); +strcpy(array[count++].qstring, "tell"); +strcpy(array[count++].qstring, "me"); +strcpy(array[count++].qstring, "how"); +strcpy(array[count++].qstring, "Keep"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "love"); +strcpy(array[count++].qstring, "letters"); +strcpy(array[count++].qstring, "Throw"); +strcpy(array[count++].qstring, "away"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "old"); +strcpy(array[count++].qstring, "bank"); +strcpy(array[count++].qstring, "statements"); +strcpy(array[count++].qstring, "Stretch"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "guilty"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "want"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "life"); +strcpy(array[count++].qstring, "The"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "didnt"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "22"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "they"); +strcpy(array[count++].qstring, "wanted"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "with"); +strcpy(array[count++].qstring, "their"); +strcpy(array[count++].qstring, "lives"); +strcpy(array[count++].qstring, "Some"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "interesting"); +strcpy(array[count++].qstring, "40yearolds"); +strcpy(array[count++].qstring, "I"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "still"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "plenty"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "calcium"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "kind"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "knees"); +strcpy(array[count++].qstring, "Youll"); +strcpy(array[count++].qstring, "miss"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyre"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "marry"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "children"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "wont"); +strcpy(array[count++].qstring, "Maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "divorce"); +strcpy(array[count++].qstring, "at"); +strcpy(array[count++].qstring, "40"); +strcpy(array[count++].qstring, "maybe"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "dance"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "funky"); +strcpy(array[count++].qstring, "chicken"); +strcpy(array[count++].qstring, "on"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "75th"); +strcpy(array[count++].qstring, "wedding"); +strcpy(array[count++].qstring, "anniversary"); +strcpy(array[count++].qstring, "Whatever"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "congratulate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "too"); +strcpy(array[count++].qstring, "much"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "berate"); +strcpy(array[count++].qstring, "yourself"); +strcpy(array[count++].qstring, "either"); +strcpy(array[count++].qstring, "Your"); +strcpy(array[count++].qstring, "choices"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "half"); +strcpy(array[count++].qstring, "chance"); +strcpy(array[count++].qstring, "So"); +strcpy(array[count++].qstring, "are"); +strcpy(array[count++].qstring, "everybody"); +strcpy(array[count++].qstring, "elses"); +strcpy(array[count++].qstring, "Enjoy"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "body"); +strcpy(array[count++].qstring, "Use"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "every"); +strcpy(array[count++].qstring, "way"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "can"); +strcpy(array[count++].qstring, "Dont"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "afraid"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "or"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "what"); +strcpy(array[count++].qstring, "other"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "think"); +strcpy(array[count++].qstring, "of"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "Its"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "greatest"); +strcpy(array[count++].qstring, "instrument"); +strcpy(array[count++].qstring, "youll"); +strcpy(array[count++].qstring, "ever"); +strcpy(array[count++].qstring, "own"); +strcpy(array[count++].qstring, "Dance"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "have"); +strcpy(array[count++].qstring, "nowhere"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "do"); +strcpy(array[count++].qstring, "it"); +strcpy(array[count++].qstring, "but"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "living"); +strcpy(array[count++].qstring, "room"); +strcpy(array[count++].qstring, "Read"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "directions"); +strcpy(array[count++].qstring, "even"); +strcpy(array[count++].qstring, "if"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "dont"); +strcpy(array[count++].qstring, "follow"); +strcpy(array[count++].qstring, "them"); +strcpy(array[count++].qstring, "Do"); +strcpy(array[count++].qstring, "not"); +strcpy(array[count++].qstring, "read"); +strcpy(array[count++].qstring, "beauty"); +strcpy(array[count++].qstring, "magazines"); +strcpy(array[count++].qstring, "They"); +strcpy(array[count++].qstring, "will"); +strcpy(array[count++].qstring, "only"); +strcpy(array[count++].qstring, "make"); +strcpy(array[count++].qstring, "you"); +strcpy(array[count++].qstring, "feel"); +strcpy(array[count++].qstring, "ugly"); +strcpy(array[count++].qstring, "Get"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "parents"); +strcpy(array[count++].qstring, "You"); +strcpy(array[count++].qstring, "never"); +strcpy(array[count++].qstring, "know"); +strcpy(array[count++].qstring, "when"); +strcpy(array[count++].qstring, "theyll"); +strcpy(array[count++].qstring, "be"); +strcpy(array[count++].qstring, "gone"); +strcpy(array[count++].qstring, "for"); +strcpy(array[count++].qstring, "good"); +strcpy(array[count++].qstring, "Be"); +strcpy(array[count++].qstring, "nice"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "siblings"); +strcpy(array[count++].qstring, "Theyre"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "best"); +strcpy(array[count++].qstring, "link"); +strcpy(array[count++].qstring, "to"); +strcpy(array[count++].qstring, "your"); +strcpy(array[count++].qstring, "past"); +strcpy(array[count++].qstring, "and"); +strcpy(array[count++].qstring, "the"); +strcpy(array[count++].qstring, "people"); +strcpy(array[count++].qstring, "most"); +strcpy(array[count++].qstring, "likely"); +strcpy(array[count++].qstring, "to"); + + + +//printf("\nSorting %d elements.\n\n",count); + qsort(array,count,sizeof(struct myStringStruct),compare); + + //for(i=0;iautoflush(1); # *** Ross Thompson I think there is a bug here needs to be +1 -<<<<<<< HEAD print ("Processing $#ARGV memfiles: \n"); -======= -print ("Processing $#ARGV memfiles: "); ->>>>>>> icache_bp_bug my $frac = $#ARGV/10; for(my $i=0; $i<=$#ARGV; $i++) { if ($i < 10 || $i % $frac == 0) { print ("$i ") }; diff --git a/wally-pipelined/regression/wave.do b/wally-pipelined/regression/wave.do index 7b48f4a5..6a935d58 100644 --- a/wally-pipelined/regression/wave.do +++ b/wally-pipelined/regression/wave.do @@ -59,29 +59,30 @@ add wave -noupdate -group Bpred -expand -group direction -group other /testbench add wave -noupdate -group Bpred -expand -group {bp wrong} /testbench/dut/hart/ifu/bpred/TargetWrongE add wave -noupdate -group Bpred -expand -group {bp wrong} /testbench/dut/hart/ifu/bpred/FallThroughWrongE add wave -noupdate -group Bpred -expand -group {bp wrong} /testbench/dut/hart/ifu/bpred/PredictionPCWrongE +add wave -noupdate -group Bpred -expand -group {bp wrong} /testbench/dut/hart/ifu/bpred/BPPredClassNonCFIWrongE +add wave -noupdate -group Bpred -expand -group {bp wrong} /testbench/dut/hart/ifu/bpred/PCSrcE add wave -noupdate -group Bpred -expand -group {bp wrong} /testbench/dut/hart/ifu/bpred/BPPredWrongE add wave -noupdate -group Bpred -expand -group {bp wrong} /testbench/dut/hart/ifu/bpred/InstrClassE add wave -noupdate -group Bpred -expand -group {bp wrong} -divider pcs add wave -noupdate -group Bpred -expand -group {bp wrong} /testbench/dut/hart/ifu/bpred/PCD -add wave -noupdate -group Bpred -expand -group BTB -divider Update -add wave -noupdate -group Bpred -expand -group BTB /testbench/dut/hart/ifu/bpred/TargetPredictor/UpdateEN -add wave -noupdate -group Bpred -expand -group BTB /testbench/dut/hart/ifu/bpred/TargetPredictor/UpdatePC -add wave -noupdate -group Bpred -expand -group BTB /testbench/dut/hart/ifu/bpred/InstrClassE -add wave -noupdate -group Bpred -expand -group BTB /testbench/dut/hart/ifu/bpred/TargetPredictor/UpdateTarget -add wave -noupdate -group Bpred -expand -group BTB /testbench/dut/hart/ifu/bpred/TargetPredictor/UpdatePCIndexQ -add wave -noupdate -group Bpred -expand -group BTB -divider Lookup -add wave -noupdate -group Bpred -expand -group BTB /testbench/dut/hart/ifu/bpred/TargetPredictor/TargetPC -add wave -noupdate -group Bpred -expand -group BTB /testbench/dut/hart/ifu/bpred/TargetPredictor/InstrClass -add wave -noupdate -group Bpred -expand -group BTB /testbench/dut/hart/ifu/bpred/TargetPredictor/Valid +add wave -noupdate -group Bpred -group BTB -divider Update +add wave -noupdate -group Bpred -group BTB /testbench/dut/hart/ifu/bpred/TargetPredictor/UpdateEN +add wave -noupdate -group Bpred -group BTB /testbench/dut/hart/ifu/bpred/TargetPredictor/UpdatePC +add wave -noupdate -group Bpred -group BTB /testbench/dut/hart/ifu/bpred/InstrClassE +add wave -noupdate -group Bpred -group BTB /testbench/dut/hart/ifu/bpred/TargetPredictor/UpdateTarget +add wave -noupdate -group Bpred -group BTB /testbench/dut/hart/ifu/bpred/TargetPredictor/UpdatePCIndexQ +add wave -noupdate -group Bpred -group BTB -divider Lookup +add wave -noupdate -group Bpred -group BTB /testbench/dut/hart/ifu/bpred/TargetPredictor/TargetPC +add wave -noupdate -group Bpred -group BTB /testbench/dut/hart/ifu/bpred/TargetPredictor/InstrClass +add wave -noupdate -group Bpred -group BTB /testbench/dut/hart/ifu/bpred/TargetPredictor/Valid add wave -noupdate -group Bpred /testbench/dut/hart/ifu/bpred/BPPredWrongE -add wave -noupdate -group Bpred -expand -group RAS /testbench/dut/hart/ifu/bpred/RASPredictor/pop -add wave -noupdate -group Bpred -expand -group RAS /testbench/dut/hart/ifu/bpred/RASPredictor/push -add wave -noupdate -group Bpred -expand -group RAS /testbench/dut/hart/ifu/bpred/RASPredictor/pushPC -add wave -noupdate -group Bpred -expand -group RAS /testbench/dut/hart/ifu/bpred/RASPredictor/PtrD -add wave -noupdate -group Bpred -expand -group RAS /testbench/dut/hart/ifu/bpred/RASPredictor/PtrQ -add wave -noupdate -group Bpred -expand -group RAS /testbench/dut/hart/ifu/bpred/RASPredictor/memory -add wave -noupdate -group Bpred -expand -group RAS /testbench/dut/hart/ifu/bpred/RASPredictor/popPC -add wave -noupdate -expand -group {instruction pipeline} /testbench/dut/hart/ifu/InstrF +add wave -noupdate -group Bpred -group RAS /testbench/dut/hart/ifu/bpred/RASPredictor/pop +add wave -noupdate -group Bpred -group RAS /testbench/dut/hart/ifu/bpred/RASPredictor/push +add wave -noupdate -group Bpred -group RAS /testbench/dut/hart/ifu/bpred/RASPredictor/pushPC +add wave -noupdate -group Bpred -group RAS /testbench/dut/hart/ifu/bpred/RASPredictor/PtrD +add wave -noupdate -group Bpred -group RAS /testbench/dut/hart/ifu/bpred/RASPredictor/PtrQ +add wave -noupdate -group Bpred -group RAS /testbench/dut/hart/ifu/bpred/RASPredictor/memory +add wave -noupdate -group Bpred -group RAS /testbench/dut/hart/ifu/bpred/RASPredictor/popPC add wave -noupdate -expand -group {instruction pipeline} /testbench/dut/hart/ifu/InstrD add wave -noupdate -expand -group {instruction pipeline} /testbench/dut/hart/ifu/InstrE add wave -noupdate -expand -group {instruction pipeline} /testbench/dut/hart/ifu/InstrM @@ -94,25 +95,26 @@ add wave -noupdate -group {PCNext Generation} /testbench/dut/hart/ifu/PCNext1F add wave -noupdate -group {PCNext Generation} /testbench/dut/hart/ifu/SelBPPredF add wave -noupdate -group {PCNext Generation} /testbench/dut/hart/ifu/BPPredWrongE add wave -noupdate -group {PCNext Generation} /testbench/dut/hart/ifu/PrivilegedChangePCM -add wave -noupdate -group {Decode Stage} /testbench/dut/hart/ifu/InstrD -add wave -noupdate -group {Decode Stage} /testbench/InstrDName -add wave -noupdate -group {Decode Stage} /testbench/dut/hart/ieu/c/RegWriteD -add wave -noupdate -group {Decode Stage} /testbench/dut/hart/ieu/dp/RdD -add wave -noupdate -group {Decode Stage} /testbench/dut/hart/ieu/dp/Rs1D -add wave -noupdate -group {Decode Stage} /testbench/dut/hart/ieu/dp/Rs2D -add wave -noupdate -group RegFile -expand /testbench/dut/hart/ieu/dp/regf/rf -add wave -noupdate -group RegFile /testbench/dut/hart/ieu/dp/regf/a1 -add wave -noupdate -group RegFile /testbench/dut/hart/ieu/dp/regf/a2 -add wave -noupdate -group RegFile /testbench/dut/hart/ieu/dp/regf/a3 -add wave -noupdate -group RegFile /testbench/dut/hart/ieu/dp/regf/rd1 -add wave -noupdate -group RegFile /testbench/dut/hart/ieu/dp/regf/rd2 -add wave -noupdate -group RegFile /testbench/dut/hart/ieu/dp/regf/we3 -add wave -noupdate -group RegFile /testbench/dut/hart/ieu/dp/regf/wd3 -add wave -noupdate -group RegFile -group {write regfile mux} /testbench/dut/hart/ieu/dp/ALUResultW -add wave -noupdate -group RegFile -group {write regfile mux} /testbench/dut/hart/ieu/dp/ReadDataW -add wave -noupdate -group RegFile -group {write regfile mux} /testbench/dut/hart/ieu/dp/CSRReadValW -add wave -noupdate -group RegFile -group {write regfile mux} /testbench/dut/hart/ieu/dp/ResultSrcW -add wave -noupdate -group RegFile -group {write regfile mux} /testbench/dut/hart/ieu/dp/ResultW +add wave -noupdate -expand -group {Decode Stage} /testbench/dut/hart/ifu/InstrD +add wave -noupdate -expand -group {Decode Stage} /testbench/InstrDName +add wave -noupdate -expand -group {Decode Stage} /testbench/dut/hart/ieu/c/RegWriteD +add wave -noupdate -expand -group {Decode Stage} /testbench/dut/hart/ieu/dp/RdD +add wave -noupdate -expand -group {Decode Stage} /testbench/dut/hart/ieu/dp/Rs1D +add wave -noupdate -expand -group {Decode Stage} /testbench/dut/hart/ieu/dp/Rs2D +add wave -noupdate -expand -group {Decode Stage} /testbench/dut/hart/ifu/ic/DelaySideF +add wave -noupdate -expand -group RegFile /testbench/dut/hart/ieu/dp/regf/rf +add wave -noupdate -expand -group RegFile /testbench/dut/hart/ieu/dp/regf/a1 +add wave -noupdate -expand -group RegFile /testbench/dut/hart/ieu/dp/regf/a2 +add wave -noupdate -expand -group RegFile /testbench/dut/hart/ieu/dp/regf/a3 +add wave -noupdate -expand -group RegFile /testbench/dut/hart/ieu/dp/regf/rd1 +add wave -noupdate -expand -group RegFile /testbench/dut/hart/ieu/dp/regf/rd2 +add wave -noupdate -expand -group RegFile /testbench/dut/hart/ieu/dp/regf/we3 +add wave -noupdate -expand -group RegFile /testbench/dut/hart/ieu/dp/regf/wd3 +add wave -noupdate -expand -group RegFile -group {write regfile mux} /testbench/dut/hart/ieu/dp/ALUResultW +add wave -noupdate -expand -group RegFile -group {write regfile mux} /testbench/dut/hart/ieu/dp/ReadDataW +add wave -noupdate -expand -group RegFile -group {write regfile mux} /testbench/dut/hart/ieu/dp/CSRReadValW +add wave -noupdate -expand -group RegFile -group {write regfile mux} /testbench/dut/hart/ieu/dp/ResultSrcW +add wave -noupdate -expand -group RegFile -group {write regfile mux} /testbench/dut/hart/ieu/dp/ResultW add wave -noupdate -expand -group alu /testbench/dut/hart/ieu/dp/alu/a add wave -noupdate -expand -group alu /testbench/dut/hart/ieu/dp/alu/b add wave -noupdate -expand -group alu /testbench/dut/hart/ieu/dp/alu/alucontrol @@ -186,8 +188,8 @@ add wave -noupdate /testbench/dut/imem/InstrF add wave -noupdate /testbench/dut/InstrF add wave -noupdate /testbench/dut/InstrF add wave -noupdate -divider {New Divider} +add wave -noupdate /testbench/dut/hart/ifu/ic/InDataF add wave -noupdate /testbench/dut/hart/ifu/InstrInF -add wave -noupdate /testbench/dut/hart/ifu/rd2 add wave -noupdate /testbench/dut/hart/InstrRData add wave -noupdate /testbench/dut/hart/rd2 add wave -noupdate /testbench/dut/hart/ebu/InstrRData @@ -217,9 +219,10 @@ add wave -noupdate -radix hexadecimal /testbench/dut/uncore/dtim/HADDR add wave -noupdate /testbench/dut/uncore/dtim/RAM add wave -noupdate /testbench/dut/uncore/dtim/HREADTim add wave -noupdate /testbench/dut/uncore/dtim/HREADTim0 +add wave -noupdate /testbench/dut/uncore/dtim/HRESETn TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 7} {15047768 ns} 0} {{Cursor 2} {34763538 ns} 0} {{Cursor 3} {15046271 ns} 0} {{Cursor 4} {15047307 ns} 0} -quietly wave cursor active 1 +WaveRestoreCursors {{Cursor 7} {10896277 ns} 1} {{Cursor 9} {10896453 ns} 0} {{wrong instruction} {10895117 ns} 0} +quietly wave cursor active 3 configure wave -namecolwidth 250 configure wave -valuecolwidth 229 configure wave -justifyvalue left @@ -234,4 +237,4 @@ configure wave -griddelta 40 configure wave -timeline 0 configure wave -timelineunits ns update -WaveRestoreZoom {15047734 ns} {15047902 ns} +WaveRestoreZoom {10895029 ns} {10895205 ns} diff --git a/wally-pipelined/src/uncore/dtim.sv b/wally-pipelined/src/uncore/dtim.sv index 29d938f1..778c509f 100644 --- a/wally-pipelined/src/uncore/dtim.sv +++ b/wally-pipelined/src/uncore/dtim.sv @@ -37,6 +37,9 @@ module dtim #(parameter BASE=0, RANGE = 65535) ( output logic HRESPTim, HREADYTim ); + localparam integer MemStartAddr = BASE>>(1+`XLEN/32); + localparam integer MemEndAddr = (RANGE+BASE)>>1+(`XLEN/32); + logic [`XLEN-1:0] RAM[BASE>>(1+`XLEN/32):(RANGE+BASE)>>1+(`XLEN/32)]; logic [31:0] HWADDR, A; logic [`XLEN-1:0] HREADTim0; @@ -48,6 +51,7 @@ module dtim #(parameter BASE=0, RANGE = 65535) ( logic memread, memwrite; logic [3:0] busycount; + assign initTrans = HREADY & HSELTim & (HTRANS != 2'b00); // *** this seems like a weird way to use reset @@ -82,12 +86,22 @@ module dtim #(parameter BASE=0, RANGE = 65535) ( assign risingHREADYTim = HREADYTim & ~prevHREADYTim; // Model memory read and write +/* -----\/----- EXCLUDED -----\/----- + integer index; + + initial begin + for(index = MemStartAddr; index < MemEndAddr; index = index + 1) begin + RAM[index] <= {`XLEN{1'b0}}; + end + end + -----/\----- EXCLUDED -----/\----- */ + generate if (`XLEN == 64) begin always_ff @(posedge HCLK) begin HWADDR <= #1 A; HREADTim0 <= #1 RAM[A[31:3]]; - if (memwrite && risingHREADYTim) RAM[HWADDR[31:3]] <= #1 HWDATA; + if (memwrite && risingHREADYTim) RAM[HWADDR[31:3]] <= #1 HWDATA; end end else begin always_ff @(posedge HCLK) begin diff --git a/wally-pipelined/testbench/testbench-imperas.sv b/wally-pipelined/testbench/testbench-imperas.sv index 772c3ec8..5670b431 100644 --- a/wally-pipelined/testbench/testbench-imperas.sv +++ b/wally-pipelined/testbench/testbench-imperas.sv @@ -386,17 +386,24 @@ string tests32i[] = { InstrEName, InstrMName, InstrWName); // initialize tests + localparam integer MemStartAddr = `TIMBASE>>(1+`XLEN/32); + localparam integer MemEndAddr = (`TIMRANGE+`TIMBASE)>>1+(`XLEN/32); + initial begin test = 0; totalerrors = 0; testadr = 0; // fill memory with defined values to reduce Xs in simulation + // Quick note the memory will need to be initialized. The C library does not + // guarantee the initialized reads. For example a strcmp can read 6 byte + // strings, but uses a load double to read them in. If the last 2 bytes are + // not initialized the compare results in an 'x' which propagates through + // the design. if (`XLEN == 32) meminit = 32'hFEDC0123; else meminit = 64'hFEDCBA9876543210; - for (i=0; i<=65535; i = i+1) begin - //dut.imem.RAM[i] = meminit; - // dut.uncore.RAM[i] = meminit; + for (i=MemStartAddr; i