From 336936cc390ca366b95f4652b0d4cd9a9a96f1bf Mon Sep 17 00:00:00 2001 From: David Harris Date: Fri, 18 Jun 2021 07:53:49 -0400 Subject: [PATCH] Cleaned up name of MTIME register in CSRC --- .../regression/vsim_stacktrace.vstf | 1534 +++++++++++++++++ wally-pipelined/regression/wally-busybear.do | 2 +- wally-pipelined/src/privileged/csr.sv | 2 +- wally-pipelined/src/privileged/csrc.sv | 28 +- wally-pipelined/src/privileged/privileged.sv | 2 +- wally-pipelined/src/uncore/uncore.sv | 15 +- .../src/wally/wallypipelinedhart.sv | 2 +- .../src/wally/wallypipelinedsoc.sv | 2 +- .../testbench/testbench-busybear.sv | 5 + wally-pipelined/testbench/testbench-linux.sv | 10 + 10 files changed, 1570 insertions(+), 32 deletions(-) create mode 100644 wally-pipelined/regression/vsim_stacktrace.vstf diff --git a/wally-pipelined/regression/vsim_stacktrace.vstf b/wally-pipelined/regression/vsim_stacktrace.vstf new file mode 100644 index 00000000..e524b917 --- /dev/null +++ b/wally-pipelined/regression/vsim_stacktrace.vstf @@ -0,0 +1,1534 @@ +# Current time Thu Jun 17 21:48:46 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d8b44: '' +# 4 0x00000000010d8bac: '' +# 5 0x00000000010cef65: '' +# 6 0x00000000010d12f0: '' +# 7 0x00000000010d4700: '' +# 8 0x00000000007cded8: '' +# 9 0x0000000000809da8: '' +# 10 0x000000000081d399: '' +# 11 0x00000000007cb83e: '' +# 12 0x00007feff06c6549: '../testbench/testbench-linux.sv:536' +# 13 0x000000000276f58a: '' +# 14 0x0000000000554abb: '' +# 15 0x00000000006e7153: '' +# 16 0x0000000000c01b55: '' +# 17 0x0000000000c069ab: '' +# 18 0x0000000000c0828e: '' +# 19 0x0000000000ebfecd: '' +# 20 0x0000000002bdcfdd: '' +# 21 0x0000000002be1436: '' +# 22 0x0000000002be2b21: '' +# 23 0x0000000002be2e86: '' +# 24 0x0000000001125d89: '' +# 25 0x0000000002c82d8f: '' +# 26 0x0000000002cd6907: '' +# 27 0x0000000002c997f7: '' +# 28 0x0000000002c99ad9: '' +# 29 0x0000000002a9bfdd: '' +# 30 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Thu Jun 17 21:48:46 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Thu Jun 17 21:50:07 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d8b44: '' +# 4 0x00000000010d8bac: '' +# 5 0x00000000010cef65: '' +# 6 0x00000000010d12f0: '' +# 7 0x00000000010d4700: '' +# 8 0x00000000007cded8: '' +# 9 0x0000000000809da8: '' +# 10 0x000000000081d399: '' +# 11 0x00000000007cb83e: '' +# 12 0x00007feff06c6549: '../testbench/testbench-linux.sv:536' +# 13 0x000000000276f58a: '' +# 14 0x0000000000554abb: '' +# 15 0x00000000006e7153: '' +# 16 0x0000000000c01b55: '' +# 17 0x0000000000c069ab: '' +# 18 0x0000000000c0828e: '' +# 19 0x0000000000ebfecd: '' +# 20 0x0000000002bdcfdd: '' +# 21 0x0000000002be1436: '' +# 22 0x0000000002be2b21: '' +# 23 0x0000000002be2e86: '' +# 24 0x0000000001125d89: '' +# 25 0x0000000002c82d8f: '' +# 26 0x0000000002cd6907: '' +# 27 0x0000000002c997f7: '' +# 28 0x0000000002c99ad9: '' +# 29 0x0000000002a9bfdd: '' +# 30 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Thu Jun 17 21:50:07 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Thu Jun 17 22:04:29 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x00000000027777ef: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d8b44: '' +# 4 0x00000000010d8bac: '' +# 5 0x0000000000f5be1c: '' +# 6 0x0000000000b98fd0: '' +# 7 0x0000000000b9923c: '' +# 8 0x0000000000b9b3f0: '' +# 9 0x000000000057f81c: '' +# 10 0x00000000006e7153: '' +# 11 0x0000000000c01b55: '' +# 12 0x0000000000c069ab: '' +# 13 0x0000000000c0828e: '' +# 14 0x0000000000ebfecd: '' +# 15 0x0000000002bdcfdd: '' +# 16 0x0000000002be1436: '' +# 17 0x0000000002be2b21: '' +# 18 0x0000000002be2e86: '' +# 19 0x0000000001125d89: '' +# 20 0x0000000002c82d8f: '' +# 21 0x0000000002cd6907: '' +# 22 0x0000000002c997f7: '' +# 23 0x0000000002c99ad9: '' +# 24 0x0000000002a9bfdd: '' +# 25 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Thu Jun 17 22:29:27 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d8b44: '' +# 4 0x00000000010d8bac: '' +# 5 0x00000000010cef65: '' +# 6 0x00000000010d12f0: '' +# 7 0x00000000010d4700: '' +# 8 0x00000000007cded8: '' +# 9 0x0000000000809da8: '' +# 10 0x000000000081d399: '' +# 11 0x00000000007cb83e: '' +# 12 0x00007feff06c5cf9: '../testbench/testbench-linux.sv:536' +# 13 0x000000000276f58a: '' +# 14 0x0000000000554abb: '' +# 15 0x00000000006e7153: '' +# 16 0x0000000000c01b55: '' +# 17 0x0000000000c069ab: '' +# 18 0x0000000000c0828e: '' +# 19 0x0000000000ebfecd: '' +# 20 0x0000000002bdcfdd: '' +# 21 0x0000000002be1436: '' +# 22 0x0000000002be2b21: '' +# 23 0x0000000002be2e86: '' +# 24 0x0000000001125d89: '' +# 25 0x0000000002c82d8f: '' +# 26 0x0000000002cd6907: '' +# 27 0x0000000002c997f7: '' +# 28 0x0000000002c99ad9: '' +# 29 0x0000000002a9bfdd: '' +# 30 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Thu Jun 17 22:29:27 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Thu Jun 17 22:32:57 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d872e: '' +# 4 0x00000000010d887b: '' +# 5 0x00000000010d96f4: '' +# 6 0x000000000061ce93: '' +# 7 0x000000000062a516: '' +# 8 0x00007fefec49a00a: '../testbench/testbench-linux.sv:536' +# 9 0x000000000276f58a: '' +# 10 0x0000000000554abb: '' +# 11 0x00000000006e7153: '' +# 12 0x0000000000c01b55: '' +# 13 0x0000000000c069ab: '' +# 14 0x0000000000c0828e: '' +# 15 0x0000000000ebfecd: '' +# 16 0x0000000002bdcfdd: '' +# 17 0x0000000002be1436: '' +# 18 0x0000000002be2b21: '' +# 19 0x0000000002be2e86: '' +# 20 0x0000000001125d89: '' +# 21 0x0000000002c82d8f: '' +# 22 0x0000000002cd6907: '' +# 23 0x0000000002c997f7: '' +# 24 0x0000000002c99ad9: '' +# 25 0x0000000002a9bfdd: '' +# 26 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Thu Jun 17 22:32:57 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Thu Jun 17 22:41:45 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d8b44: '' +# 4 0x00000000010d8bac: '' +# 5 0x00000000010cef65: '' +# 6 0x00000000010d12f0: '' +# 7 0x00000000010d4700: '' +# 8 0x00000000007cded8: '' +# 9 0x0000000000809da8: '' +# 10 0x000000000081d399: '' +# 11 0x00000000007cb83e: '' +# 12 0x00007feff06c5cf9: '../testbench/testbench-linux.sv:536' +# 13 0x000000000276f58a: '' +# 14 0x0000000000554abb: '' +# 15 0x00000000006e7153: '' +# 16 0x0000000000c01b55: '' +# 17 0x0000000000c069ab: '' +# 18 0x0000000000c0828e: '' +# 19 0x0000000000ebfecd: '' +# 20 0x0000000002bdcfdd: '' +# 21 0x0000000002be1436: '' +# 22 0x0000000002be2b21: '' +# 23 0x0000000002be2e86: '' +# 24 0x0000000001125d89: '' +# 25 0x0000000002c82d8f: '' +# 26 0x0000000002cd6907: '' +# 27 0x0000000002c997f7: '' +# 28 0x0000000002c99ad9: '' +# 29 0x0000000002a9bfdd: '' +# 30 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Thu Jun 17 22:41:45 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Thu Jun 17 22:42:25 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d872e: '' +# 4 0x00000000010d887b: '' +# 5 0x00000000010d96f4: '' +# 6 0x000000000061ce93: '' +# 7 0x000000000062a516: '' +# 8 0x00007fefec49a00a: '../testbench/testbench-linux.sv:536' +# 9 0x000000000276f58a: '' +# 10 0x0000000000554abb: '' +# 11 0x00000000006e7153: '' +# 12 0x0000000000c01b55: '' +# 13 0x0000000000c069ab: '' +# 14 0x0000000000c0828e: '' +# 15 0x0000000000ebfecd: '' +# 16 0x0000000002bdcfdd: '' +# 17 0x0000000002be1436: '' +# 18 0x0000000002be2b21: '' +# 19 0x0000000002be2e86: '' +# 20 0x0000000001125d89: '' +# 21 0x0000000002c82d8f: '' +# 22 0x0000000002cd6907: '' +# 23 0x0000000002c997f7: '' +# 24 0x0000000002c99ad9: '' +# 25 0x0000000002a9bfdd: '' +# 26 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Thu Jun 17 22:42:25 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Thu Jun 17 22:49:19 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d8b44: '' +# 4 0x00000000010d8bac: '' +# 5 0x00000000010cef65: '' +# 6 0x00000000010d12f0: '' +# 7 0x00000000010d4700: '' +# 8 0x00000000007cded8: '' +# 9 0x0000000000809da8: '' +# 10 0x000000000081d399: '' +# 11 0x00000000007cb83e: '' +# 12 0x00007feff06c5cf9: '../testbench/testbench-linux.sv:536' +# 13 0x000000000276f58a: '' +# 14 0x0000000000554abb: '' +# 15 0x00000000006e7153: '' +# 16 0x0000000000c01b55: '' +# 17 0x0000000000c069ab: '' +# 18 0x0000000000c0828e: '' +# 19 0x0000000000ebfecd: '' +# 20 0x0000000002bdcfdd: '' +# 21 0x0000000002be1436: '' +# 22 0x0000000002be2b21: '' +# 23 0x0000000002be2e86: '' +# 24 0x0000000001125d89: '' +# 25 0x0000000002c82d8f: '' +# 26 0x0000000002cd6907: '' +# 27 0x0000000002c997f7: '' +# 28 0x0000000002c99ad9: '' +# 29 0x0000000002a9bfdd: '' +# 30 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Thu Jun 17 22:49:19 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 01:12:23 2021 +# Program = vsim +# Id = "2021.2_1" +# Version = "2021.05" +# Date = "May 15 2021" +# Platform = "linux_x86_64" +# Signature = dfcd0de65e8129bcb66fdbdef15ad702 +# 0 0x0000000003466f2d: '' +# 1 0x0000000000fc45c0: '' +# 2 0x00000000010f3e57: '' +# 3 0x00000000010f3f1e: '' +# 4 0x00000000010f406b: '' +# 5 0x00000000010f4ee4: '' +# 6 0x0000000000610603: '' +# 7 0x000000000061e1e6: '' +# 8 0x00007feff0365c5a: '../testbench/testbench-linux.sv:536' +# 9 0x000000000345ecda: '' +# 10 0x00000000004c6e84: '' +# 11 0x00000000006e8203: '' +# 12 0x0000000000c03175: '' +# 13 0x0000000000c08033: '' +# 14 0x0000000000c09d8e: '' +# 15 0x0000000000ed3f8d: '' +# 16 0x00000000038ee98d: '' +# 17 0x00000000038f2de6: '' +# 18 0x00000000038f44d1: '' +# 19 0x00000000038f4836: '' +# 20 0x0000000001145ab9: '' +# 21 0x000000000399473f: '' +# 22 0x00000000039e82b7: '' +# 23 0x00000000039ab1a7: '' +# 24 0x00000000039ab489: '' +# 25 0x0000000003790c2d: '' +# 26 0x0000000000bcf05c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 01:12:23 2021 +# Program = vsim +# Id = "2021.2_1" +# Version = "2021.05" +# Date = "May 15 2021" +# Platform = "linux_x86_64" +# Signature = dfcd0de65e8129bcb66fdbdef15ad702 +# 0 0x0000000003466f2d: '' +# 1 0x00000000034671a6: '' +# 2 0x0000000000753790: '' +# 3 0x000000000347e9d9: '' +# 4 0x0000000000753862: '' +# 5 0x00000000006a853d: '' +# 6 0x0000000000f63259: '' +# 7 0x0000000000c02e65: '' +# 8 0x0000000000c037ba: '' +# 9 0x0000000000c08033: '' +# 10 0x0000000000c09d8e: '' +# 11 0x0000000000ed3f8d: '' +# 12 0x00000000038ee98d: '' +# 13 0x00000000038f2de6: '' +# 14 0x00000000038f44d1: '' +# 15 0x00000000038f4836: '' +# 16 0x0000000001145ab9: '' +# 17 0x000000000399473f: '' +# 18 0x00000000039e82b7: '' +# 19 0x00000000039ab1a7: '' +# 20 0x00000000039ab489: '' +# 21 0x0000000003790c2d: '' +# 22 0x0000000000bcf05c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:14:05 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d8b44: '' +# 4 0x00000000010d8bac: '' +# 5 0x00000000010cef65: '' +# 6 0x00000000010d12f0: '' +# 7 0x00000000010d4700: '' +# 8 0x00000000007cded8: '' +# 9 0x0000000000809da8: '' +# 10 0x000000000081d399: '' +# 11 0x00000000007cb83e: '' +# 12 0x00007feff06c5d19: '../testbench/testbench-linux.sv:536' +# 13 0x000000000276f58a: '' +# 14 0x0000000000554abb: '' +# 15 0x00000000006e7153: '' +# 16 0x0000000000c01b55: '' +# 17 0x0000000000c069ab: '' +# 18 0x0000000000c0828e: '' +# 19 0x0000000000ebfecd: '' +# 20 0x0000000002bdcfdd: '' +# 21 0x0000000002be1436: '' +# 22 0x0000000002be2b21: '' +# 23 0x0000000002be2e86: '' +# 24 0x0000000001125d89: '' +# 25 0x0000000002c82d8f: '' +# 26 0x0000000002cd6907: '' +# 27 0x0000000002c997f7: '' +# 28 0x0000000002c99ad9: '' +# 29 0x0000000002a9bfdd: '' +# 30 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:14:05 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:17:46 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d872e: '' +# 4 0x00000000010d887b: '' +# 5 0x00000000010d96f4: '' +# 6 0x000000000061ce93: '' +# 7 0x000000000062a516: '' +# 8 0x00007fefec49a00a: '../testbench/testbench-linux.sv:536' +# 9 0x000000000276f58a: '' +# 10 0x0000000000554abb: '' +# 11 0x00000000006e7153: '' +# 12 0x0000000000c01b55: '' +# 13 0x0000000000c069ab: '' +# 14 0x0000000000c0828e: '' +# 15 0x0000000000ebfecd: '' +# 16 0x0000000002bdcfdd: '' +# 17 0x0000000002be1436: '' +# 18 0x0000000002be2b21: '' +# 19 0x0000000002be2e86: '' +# 20 0x0000000001125d89: '' +# 21 0x0000000002c82d8f: '' +# 22 0x0000000002cd6907: '' +# 23 0x0000000002c997f7: '' +# 24 0x0000000002c99ad9: '' +# 25 0x0000000002a9bfdd: '' +# 26 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:17:46 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:19:28 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d872e: '' +# 4 0x00000000010d887b: '' +# 5 0x00000000010d96f4: '' +# 6 0x000000000061ce93: '' +# 7 0x000000000062a516: '' +# 8 0x00007fefec49a00a: '../testbench/testbench-linux.sv:536' +# 9 0x000000000276f58a: '' +# 10 0x0000000000554abb: '' +# 11 0x00000000006e7153: '' +# 12 0x0000000000c01b55: '' +# 13 0x0000000000c069ab: '' +# 14 0x0000000000c0828e: '' +# 15 0x0000000000ebfecd: '' +# 16 0x0000000002bdcfdd: '' +# 17 0x0000000002be1436: '' +# 18 0x0000000002be2b21: '' +# 19 0x0000000002be2e86: '' +# 20 0x0000000001125d89: '' +# 21 0x0000000002c82d8f: '' +# 22 0x0000000002cd6907: '' +# 23 0x0000000002c997f7: '' +# 24 0x0000000002c99ad9: '' +# 25 0x0000000002a9bfdd: '' +# 26 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:19:28 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:21:11 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d872e: '' +# 4 0x00000000010d887b: '' +# 5 0x00000000010d96f4: '' +# 6 0x000000000061ce93: '' +# 7 0x000000000062a516: '' +# 8 0x00007fefec49a00a: '../testbench/testbench-linux.sv:536' +# 9 0x000000000276f58a: '' +# 10 0x0000000000554abb: '' +# 11 0x00000000006e7153: '' +# 12 0x0000000000c01b55: '' +# 13 0x0000000000c069ab: '' +# 14 0x0000000000c0828e: '' +# 15 0x0000000000ebfecd: '' +# 16 0x0000000002bdcfdd: '' +# 17 0x0000000002be1436: '' +# 18 0x0000000002be2b21: '' +# 19 0x0000000002be2e86: '' +# 20 0x0000000001125d89: '' +# 21 0x0000000002c82d8f: '' +# 22 0x0000000002cd6907: '' +# 23 0x0000000002c997f7: '' +# 24 0x0000000002c99ad9: '' +# 25 0x0000000002a9bfdd: '' +# 26 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:21:11 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:22:31 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d872e: '' +# 4 0x00000000010d887b: '' +# 5 0x00000000010d96f4: '' +# 6 0x000000000061ce93: '' +# 7 0x000000000062a516: '' +# 8 0x00007fefec49a00a: '../testbench/testbench-linux.sv:536' +# 9 0x000000000276f58a: '' +# 10 0x0000000000554abb: '' +# 11 0x00000000006e7153: '' +# 12 0x0000000000c01b55: '' +# 13 0x0000000000c069ab: '' +# 14 0x0000000000c0828e: '' +# 15 0x0000000000ebfecd: '' +# 16 0x0000000002bdcfdd: '' +# 17 0x0000000002be1436: '' +# 18 0x0000000002be2b21: '' +# 19 0x0000000002be2e86: '' +# 20 0x0000000001125d89: '' +# 21 0x0000000002c82d8f: '' +# 22 0x0000000002cd6907: '' +# 23 0x0000000002c997f7: '' +# 24 0x0000000002c99ad9: '' +# 25 0x0000000002a9bfdd: '' +# 26 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:22:31 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:24:04 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d872e: '' +# 4 0x00000000010d887b: '' +# 5 0x00000000010d96f4: '' +# 6 0x000000000061ce93: '' +# 7 0x000000000062a516: '' +# 8 0x00007fefec49a00a: '../testbench/testbench-linux.sv:536' +# 9 0x000000000276f58a: '' +# 10 0x0000000000554abb: '' +# 11 0x00000000006e7153: '' +# 12 0x0000000000c01b55: '' +# 13 0x0000000000c069ab: '' +# 14 0x0000000000c0828e: '' +# 15 0x0000000000ebfecd: '' +# 16 0x0000000002bdcfdd: '' +# 17 0x0000000002be1436: '' +# 18 0x0000000002be2b21: '' +# 19 0x0000000002be2e86: '' +# 20 0x0000000001125d89: '' +# 21 0x0000000002c82d8f: '' +# 22 0x0000000002cd6907: '' +# 23 0x0000000002c997f7: '' +# 24 0x0000000002c99ad9: '' +# 25 0x0000000002a9bfdd: '' +# 26 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:24:04 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:37:14 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d872e: '' +# 4 0x00000000010d887b: '' +# 5 0x00000000010d96f4: '' +# 6 0x000000000061ce93: '' +# 7 0x000000000062a516: '' +# 8 0x00007fefec49a00a: '../testbench/testbench-linux.sv:537' +# 9 0x000000000276f58a: '' +# 10 0x0000000000554abb: '' +# 11 0x00000000006e7153: '' +# 12 0x0000000000c01b55: '' +# 13 0x0000000000c069ab: '' +# 14 0x0000000000c0828e: '' +# 15 0x0000000000ebfecd: '' +# 16 0x0000000002bdcfdd: '' +# 17 0x0000000002be1436: '' +# 18 0x0000000002be2b21: '' +# 19 0x0000000002be2e86: '' +# 20 0x0000000001125d89: '' +# 21 0x0000000002c82d8f: '' +# 22 0x0000000002cd6907: '' +# 23 0x0000000002c997f7: '' +# 24 0x0000000002c99ad9: '' +# 25 0x0000000002a9bfdd: '' +# 26 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:37:14 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:39:03 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d872e: '' +# 4 0x00000000010d887b: '' +# 5 0x00000000010d96f4: '' +# 6 0x000000000061ce93: '' +# 7 0x000000000062a516: '' +# 8 0x00007fefec49a01a: '../testbench/testbench-linux.sv:538' +# 9 0x000000000276f58a: '' +# 10 0x0000000000554abb: '' +# 11 0x00000000006e7153: '' +# 12 0x0000000000c01b55: '' +# 13 0x0000000000c069ab: '' +# 14 0x0000000000c0828e: '' +# 15 0x0000000000ebfecd: '' +# 16 0x0000000002bdcfdd: '' +# 17 0x0000000002be1436: '' +# 18 0x0000000002be2b21: '' +# 19 0x0000000002be2e86: '' +# 20 0x0000000001125d89: '' +# 21 0x0000000002c82d8f: '' +# 22 0x0000000002cd6907: '' +# 23 0x0000000002c997f7: '' +# 24 0x0000000002c99ad9: '' +# 25 0x0000000002a9bfdd: '' +# 26 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:39:03 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:40:53 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d872e: '' +# 4 0x00000000010d887b: '' +# 5 0x00000000010d96f4: '' +# 6 0x000000000061ce93: '' +# 7 0x000000000062a516: '' +# 8 0x00007fefec49a04a: '../testbench/testbench-linux.sv:541' +# 9 0x000000000276f58a: '' +# 10 0x0000000000554abb: '' +# 11 0x00000000006e7153: '' +# 12 0x0000000000c01b55: '' +# 13 0x0000000000c069ab: '' +# 14 0x0000000000c0828e: '' +# 15 0x0000000000ebfecd: '' +# 16 0x0000000002bdcfdd: '' +# 17 0x0000000002be1436: '' +# 18 0x0000000002be2b21: '' +# 19 0x0000000002be2e86: '' +# 20 0x0000000001125d89: '' +# 21 0x0000000002c82d8f: '' +# 22 0x0000000002cd6907: '' +# 23 0x0000000002c997f7: '' +# 24 0x0000000002c99ad9: '' +# 25 0x0000000002a9bfdd: '' +# 26 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:40:53 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:42:30 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d872e: '' +# 4 0x00000000010d887b: '' +# 5 0x00000000010d96f4: '' +# 6 0x000000000061ce93: '' +# 7 0x000000000062a516: '' +# 8 0x00007fefec49a059: '../testbench/testbench-linux.sv:542' +# 9 0x000000000276f58a: '' +# 10 0x0000000000554abb: '' +# 11 0x00000000006e7153: '' +# 12 0x0000000000c01b55: '' +# 13 0x0000000000c069ab: '' +# 14 0x0000000000c0828e: '' +# 15 0x0000000000ebfecd: '' +# 16 0x0000000002bdcfdd: '' +# 17 0x0000000002be1436: '' +# 18 0x0000000002be2b21: '' +# 19 0x0000000002be2e86: '' +# 20 0x0000000001125d89: '' +# 21 0x0000000002c82d8f: '' +# 22 0x0000000002cd6907: '' +# 23 0x0000000002c997f7: '' +# 24 0x0000000002c99ad9: '' +# 25 0x0000000002a9bfdd: '' +# 26 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:42:30 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:43:39 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d872e: '' +# 4 0x00000000010d887b: '' +# 5 0x00000000010d96f4: '' +# 6 0x000000000061ce93: '' +# 7 0x000000000062a516: '' +# 8 0x00007fefec49a059: '../testbench/testbench-linux.sv:542' +# 9 0x000000000276f58a: '' +# 10 0x0000000000554abb: '' +# 11 0x00000000006e7153: '' +# 12 0x0000000000c01b55: '' +# 13 0x0000000000c069ab: '' +# 14 0x0000000000c0828e: '' +# 15 0x0000000000ebfecd: '' +# 16 0x0000000002bdcfdd: '' +# 17 0x0000000002be1436: '' +# 18 0x0000000002be2b21: '' +# 19 0x0000000002be2e86: '' +# 20 0x0000000001125d89: '' +# 21 0x0000000002c82d8f: '' +# 22 0x0000000002cd6907: '' +# 23 0x0000000002c997f7: '' +# 24 0x0000000002c99ad9: '' +# 25 0x0000000002a9bfdd: '' +# 26 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:43:39 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:44:37 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d8b44: '' +# 4 0x00000000010d8bac: '' +# 5 0x00000000010cef65: '' +# 6 0x00000000010d12f0: '' +# 7 0x00000000010d4700: '' +# 8 0x00000000007cded8: '' +# 9 0x0000000000809da8: '' +# 10 0x000000000081d399: '' +# 11 0x00000000007cb83e: '' +# 12 0x00007fefec49a035: '../testbench/testbench-linux.sv:543' +# 13 0x000000000276f58a: '' +# 14 0x0000000000554abb: '' +# 15 0x00000000006e7153: '' +# 16 0x0000000000c01b55: '' +# 17 0x0000000000c069ab: '' +# 18 0x0000000000c0828e: '' +# 19 0x0000000000ebfecd: '' +# 20 0x0000000002bdcfdd: '' +# 21 0x0000000002be1436: '' +# 22 0x0000000002be2b21: '' +# 23 0x0000000002be2e86: '' +# 24 0x0000000001125d89: '' +# 25 0x0000000002c82d8f: '' +# 26 0x0000000002cd6907: '' +# 27 0x0000000002c997f7: '' +# 28 0x0000000002c99ad9: '' +# 29 0x0000000002a9bfdd: '' +# 30 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:44:37 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:47:43 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x0000000000fac730: '' +# 2 0x00000000010d8667: '' +# 3 0x00000000010d872e: '' +# 4 0x00000000010d887b: '' +# 5 0x00000000010d96f4: '' +# 6 0x000000000061ce93: '' +# 7 0x000000000062a516: '' +# 8 0x00007fefec49a059: '../testbench/testbench-linux.sv:542' +# 9 0x000000000276f58a: '' +# 10 0x0000000000554abb: '' +# 11 0x00000000006e7153: '' +# 12 0x0000000000c01b55: '' +# 13 0x0000000000c069ab: '' +# 14 0x0000000000c0828e: '' +# 15 0x0000000000ebfecd: '' +# 16 0x0000000002bdcfdd: '' +# 17 0x0000000002be1436: '' +# 18 0x0000000002be2b21: '' +# 19 0x0000000002be2e86: '' +# 20 0x0000000001125d89: '' +# 21 0x0000000002c82d8f: '' +# 22 0x0000000002cd6907: '' +# 23 0x0000000002c997f7: '' +# 24 0x0000000002c99ad9: '' +# 25 0x0000000002a9bfdd: '' +# 26 0x0000000000bcf27c: '' +# End of Stack Trace + + +# Current time Fri Jun 18 07:47:43 2021 +# Program = vsim +# Id = "2020.4_2" +# Version = "2020.12" +# Date = "Dec 5 2020" +# Platform = "linux_x86_64" +# Signature = 3855e86be6633fb934af752a2c9bf4ab +# 0 0x000000000277775d: '' +# 1 0x00000000027779d6: '' +# 2 0x000000000074f9d0: '' +# 3 0x000000000278ed16: '' +# 4 0x000000000074fd69: '' +# 5 0x00000000006abc9d: '' +# 6 0x0000000000f4c589: '' +# 7 0x0000000000c01845: '' +# 8 0x0000000000c0219a: '' +# 9 0x0000000000c069ab: '' +# 10 0x0000000000c0828e: '' +# 11 0x0000000000ebfecd: '' +# 12 0x0000000002bdcfdd: '' +# 13 0x0000000002be1436: '' +# 14 0x0000000002be2b21: '' +# 15 0x0000000002be2e86: '' +# 16 0x0000000001125d89: '' +# 17 0x0000000002c82d8f: '' +# 18 0x0000000002cd6907: '' +# 19 0x0000000002c997f7: '' +# 20 0x0000000002c99ad9: '' +# 21 0x0000000002a9bfdd: '' +# 22 0x0000000000bcf27c: '' +# End of Stack Trace + + diff --git a/wally-pipelined/regression/wally-busybear.do b/wally-pipelined/regression/wally-busybear.do index 11876dde..0be7fcdd 100644 --- a/wally-pipelined/regression/wally-busybear.do +++ b/wally-pipelined/regression/wally-busybear.do @@ -35,7 +35,7 @@ vopt +acc work.testbench -o workopt vsim workopt -suppress 8852,12070 -do ./wave-dos/linux-waves.do +#do ./wave-dos/linux-waves.do #-- Run the Simulation diff --git a/wally-pipelined/src/privileged/csr.sv b/wally-pipelined/src/privileged/csr.sv index ae192e4d..d29104fc 100644 --- a/wally-pipelined/src/privileged/csr.sv +++ b/wally-pipelined/src/privileged/csr.sv @@ -39,7 +39,7 @@ module csr #(parameter input logic InterruptM, input logic CSRReadM, CSRWriteM, TrapM, MTrapM, STrapM, UTrapM, mretM, sretM, uretM, input logic TimerIntM, ExtIntM, SwIntM, - input logic [63:0] MTIME, MTIMECMP, + input logic [63:0] MTIME_CLINT, MTIMECMP_CLINT, input logic InstrValidW, FloatRegWriteW, LoadStallD, input logic BPPredDirWrongM, input logic BTBPredPCWrongM, diff --git a/wally-pipelined/src/privileged/csrc.sv b/wally-pipelined/src/privileged/csrc.sv index f1cb9e0b..c762ea8c 100644 --- a/wally-pipelined/src/privileged/csrc.sv +++ b/wally-pipelined/src/privileged/csrc.sv @@ -30,8 +30,8 @@ // Ben 06/17/21: I brought in MTIME, MTIMECMP from CLINT. *** this probably isn't perfect though because it doesn't yet provide the ability to change these through CSR writes; overall this whole thing might need some rethinking module csrc #(parameter MCYCLE = 12'hB00, - MTIMEadr = 12'hB01, // address not specified in privileged spec. Consider moving to CLINT to match SiFive - MTIMECMPadr = 12'hB21, // not specified in privileged spec. Move to CLINT + MTIME = 12'hB01, // address not specified in privileged spec. Consider moving to CLINT to match SiFive + MTIMECMP = 12'hB21, // not specified in privileged spec. Move to CLINT MINSTRET = 12'hB02, MHPMCOUNTERBASE = 12'hB00, //MHPMCOUNTER3 = 12'hB03, @@ -39,8 +39,8 @@ module csrc #(parameter // ... more counters //MHPMCOUNTER31 = 12'hB1F, MCYCLEH = 12'hB80, - MTIMEHadr = 12'hB81, // address not specified in privileged spec. Consider moving to CLINT to match SiFive - MTIMECMPHadr = 12'hBA1, // not specified in privileged spec. Move to CLINT + MTIMEH = 12'hB81, // address not specified in privileged spec. Consider moving to CLINT to match SiFive + MTIMECMPH = 12'hBA1, // not specified in privileged spec. Move to CLINT MINSTRETH = 12'hB82, MHPMCOUNTERHBASE = 12'hB80, //MHPMCOUNTER3H = 12'hB83, @@ -82,7 +82,7 @@ module csrc #(parameter input logic [1:0] PrivilegeModeW, input logic [`XLEN-1:0] CSRWriteValM, input logic [31:0] MCOUNTINHIBIT_REGW, MCOUNTEREN_REGW, SCOUNTEREN_REGW, - input logic [63:0] MTIME, MTIMECMP, + input logic [63:0] MTIME_CLINT, MTIMECMP_CLINT, output logic [`XLEN-1:0] CSRCReadValM, output logic IllegalCSRCAccessM ); @@ -230,13 +230,13 @@ module csrc #(parameter if (CSRAdrM >= MHPMCOUNTERBASE+3 && CSRAdrM < MHPMCOUNTERBASE+`COUNTERS) CSRCReadValM = HPMCOUNTER_REGW[CSRAdrM-MHPMCOUNTERBASE]; else if (CSRAdrM >= HPMCOUNTERBASE+3 && CSRAdrM < HPMCOUNTERBASE+`COUNTERS) CSRCReadValM = HPMCOUNTER_REGW[CSRAdrM-HPMCOUNTERBASE]; else case (CSRAdrM) - MTIMEadr: CSRCReadValM = MTIME; - MTIMECMPadr: CSRCReadValM = MTIMECMP; + MTIME: CSRCReadValM = MTIME_CLINT; + MTIMECMP: CSRCReadValM = MTIMECMP_CLINT; MCYCLE: CSRCReadValM = CYCLE_REGW; MINSTRET: CSRCReadValM = INSTRET_REGW; //MHPMCOUNTER3: CSRCReadValM = HPMCOUNTER3_REGW; //MHPMCOUNTER4: CSRCReadValM = HPMCOUNTER4_REGW; - TIME: CSRCReadValM = MTIME; + TIME: CSRCReadValM = MTIME_CLINT; CYCLE: CSRCReadValM = CYCLE_REGW; INSTRET: CSRCReadValM = INSTRET_REGW; //HPMCOUNTER3: CSRCReadValM = HPMCOUNTER3_REGW; @@ -259,24 +259,24 @@ module csrc #(parameter else if (CSRAdrM >= MHPMCOUNTERHBASE+3 && CSRAdrM < MHPMCOUNTERHBASE+`COUNTERS) CSRCReadValM = HPMCOUNTERH_REGW[CSRAdrM-MHPMCOUNTERHBASE]; else if (CSRAdrM >= HPMCOUNTERHBASE+3 && CSRAdrM < HPMCOUNTERHBASE+`COUNTERS) CSRCReadValM = HPMCOUNTERH_REGW[CSRAdrM-HPMCOUNTERHBASE]; else case (CSRAdrM) - MTIMEadr: CSRCReadValM = MTIME[31:0]; - MTIMECMPadr: CSRCReadValM = MTIMECMP[31:0]; + MTIME: CSRCReadValM = MTIME_CLINT[31:0]; + MTIMECMP: CSRCReadValM = MTIMECMP_CLINT[31:0]; MCYCLE: CSRCReadValM = CYCLE_REGW[31:0]; MINSTRET: CSRCReadValM = INSTRET_REGW[31:0]; //MHPMCOUNTER3: CSRCReadValM = HPMCOUNTER3_REGW[31:0]; //MHPMCOUNTER4: CSRCReadValM = HPMCOUNTER4_REGW[31:0]; - TIME: CSRCReadValM = MTIME[31:0]; + TIME: CSRCReadValM = MTIME_CLINT[31:0]; CYCLE: CSRCReadValM = CYCLE_REGW[31:0]; INSTRET: CSRCReadValM = INSTRET_REGW[31:0]; //HPMCOUNTER3: CSRCReadValM = HPMCOUNTER3_REGW[31:0]; //HPMCOUNTER4: CSRCReadValM = HPMCOUNTER4_REGW[31:0]; - MTIMEHadr: CSRCReadValM = MTIME[63:32]; - MTIMECMPHadr: CSRCReadValM = MTIMECMP[63:32]; + MTIMEH: CSRCReadValM = MTIME_CLINT[63:32]; + MTIMECMPH: CSRCReadValM = MTIMECMP_CLINT[63:32]; MCYCLEH: CSRCReadValM = CYCLE_REGW[63:32]; MINSTRETH: CSRCReadValM = INSTRET_REGW[63:32]; //MHPMCOUNTER3H: CSRCReadValM = HPMCOUNTER3_REGW[63:32]; //MHPMCOUNTER4H: CSRCReadValM = HPMCOUNTER4_REGW[63:32]; - TIMEH: CSRCReadValM = MTIME[63:32]; + TIMEH: CSRCReadValM = MTIME_CLINT[63:32]; CYCLEH: CSRCReadValM = CYCLE_REGW[63:32]; INSTRETH: CSRCReadValM = INSTRET_REGW[63:32]; //HPMCOUNTER3H: CSRCReadValM = HPMCOUNTER3_REGW[63:32]; diff --git a/wally-pipelined/src/privileged/privileged.sv b/wally-pipelined/src/privileged/privileged.sv index 839bae94..061b6a37 100644 --- a/wally-pipelined/src/privileged/privileged.sv +++ b/wally-pipelined/src/privileged/privileged.sv @@ -52,7 +52,7 @@ module privileged ( input logic LoadMisalignedFaultM, input logic StoreMisalignedFaultM, input logic TimerIntM, ExtIntM, SwIntM, - input logic [63:0] MTIME, MTIMECMP, + input logic [63:0] MTIME_CLINT, MTIMECMP_CLINT, input logic [`XLEN-1:0] InstrMisalignedAdrM, MemAdrM, input logic [4:0] SetFflagsM, diff --git a/wally-pipelined/src/uncore/uncore.sv b/wally-pipelined/src/uncore/uncore.sv index af9f6b6b..fb848376 100644 --- a/wally-pipelined/src/uncore/uncore.sv +++ b/wally-pipelined/src/uncore/uncore.sv @@ -58,7 +58,7 @@ module uncore ( output logic [31:0] GPIOPinsOut, GPIOPinsEn, input logic UARTSin, output logic UARTSout, - output logic [63:0] MTIME, MTIMECMP + output logic [63:0] MTIME_CLINT, MTIMECMP_CLINT ); logic [`XLEN-1:0] HWDATA; @@ -76,17 +76,6 @@ module uncore ( // unswizzle HSEL signals assign {HSELBootTim, HSELTim, HSELCLINT, HSELGPIO, HSELUART, HSELPLIC} = HSELRegions; - /* PMA checker now handles decoding addresses. *** This can be deleted. - // AHB Address decoder - adrdec timdec(HADDR, `TIMBASE, `TIMRANGE, HSELTim); - adrdec boottimdec(HADDR, `BOOTTIMBASE, `BOOTTIMRANGE, HSELBootTim); - adrdec clintdec(HADDR, `CLINTBASE, `CLINTRANGE, HSELCLINT); - adrdec plicdec(HADDR, `PLICBASE, `PLICRANGE, HSELPLIC); - adrdec gpiodec(HADDR, `GPIOBASE, `GPIORANGE, HSELGPIO); - adrdec uartdec(HADDR, `UARTBASE, `UARTRANGE, PreHSELUART); - assign HSELUART = PreHSELUART && (HSIZE == 3'b000); // only byte writes to UART are supported - */ - // subword accesses: converts HWDATAIN to HWDATA subwordwrite sww(.*); @@ -95,7 +84,7 @@ module uncore ( dtim #(.BASE(`BOOTTIMBASE), .RANGE(`BOOTTIMRANGE)) bootdtim(.HSELTim(HSELBootTim), .HREADTim(HREADBootTim), .HRESPTim(HRESPBootTim), .HREADYTim(HREADYBootTim), .*); // memory-mapped I/O peripherals - clint clint(.HADDR(HADDR[15:0]), .*); + clint clint(.HADDR(HADDR[15:0]), .MTIME(MTIME_CLINT), .MTIMECMP(MTIMECMP_CLINT), .*); plic plic(.HADDR(HADDR[27:0]), .*); gpio gpio(.HADDR(HADDR[7:0]), .*); // *** may want to add GPIO interrupts uart uart(.HADDR(HADDR[2:0]), .TXRDYb(), .RXRDYb(), .INTR(UARTIntr), .SIN(UARTSin), .SOUT(UARTSout), diff --git a/wally-pipelined/src/wally/wallypipelinedhart.sv b/wally-pipelined/src/wally/wallypipelinedhart.sv index 9cc8058a..2535eef3 100644 --- a/wally-pipelined/src/wally/wallypipelinedhart.sv +++ b/wally-pipelined/src/wally/wallypipelinedhart.sv @@ -34,7 +34,7 @@ module wallypipelinedhart ( input logic TimerIntM, ExtIntM, SwIntM, input logic InstrAccessFaultF, input logic DataAccessFaultM, - input logic [63:0] MTIME, MTIMECMP, + input logic [63:0] MTIME_CLINT, MTIMECMP_CLINT, // Bus Interface input logic [15:0] rd2, // bogus, delete when real multicycle fetch works input logic [`AHBW-1:0] HRDATA, diff --git a/wally-pipelined/src/wally/wallypipelinedsoc.sv b/wally-pipelined/src/wally/wallypipelinedsoc.sv index bde2eb2b..c85f5d4f 100644 --- a/wally-pipelined/src/wally/wallypipelinedsoc.sv +++ b/wally-pipelined/src/wally/wallypipelinedsoc.sv @@ -63,7 +63,7 @@ module wallypipelinedsoc ( logic [5:0] HSELRegions; logic InstrAccessFaultF, DataAccessFaultM; logic TimerIntM, SwIntM; // from CLINT - logic [63:0] MTIME, MTIMECMP; // from CLINT to CSRs + logic [63:0] MTIME_CLINT, MTIMECMP_CLINT; // from CLINT to CSRs logic ExtIntM; // from PLIC logic [2:0] HADDRD; logic [3:0] HSIZED; diff --git a/wally-pipelined/testbench/testbench-busybear.sv b/wally-pipelined/testbench/testbench-busybear.sv index 0ca22608..c3c84de2 100644 --- a/wally-pipelined/testbench/testbench-busybear.sv +++ b/wally-pipelined/testbench/testbench-busybear.sv @@ -493,7 +493,12 @@ module testbench(); end scan_file_PC = $fscanf(data_file_PC, "%s\n", PCtext); PCtext2 = ""; + $display("loading tests"); + $display("PCtext = %s\n", PCtext); while (PCtext2 != "***") begin + $display("debugging\n"); + $display("PCtext is %s\n", PCtext); + $display("PCtext %s PCtext2 %s\n", PCtext, PCtext2); PCtext = {PCtext, " ", PCtext2}; scan_file_PC = $fscanf(data_file_PC, "%s\n", PCtext2); end diff --git a/wally-pipelined/testbench/testbench-linux.sv b/wally-pipelined/testbench/testbench-linux.sv index 6cf20e67..9f80a33d 100644 --- a/wally-pipelined/testbench/testbench-linux.sv +++ b/wally-pipelined/testbench/testbench-linux.sv @@ -494,11 +494,14 @@ module testbench(); logic [31:0] InstrMask; logic forcedInstr; logic [63:0] lastPCD; + always @(dut.hart.ifu.PCD or dut.hart.ifu.InstrRawD or reset or negedge dut.hart.ifu.StallE) begin if(~HWRITE) begin #2; + $display("test point"); if (~reset && dut.hart.ifu.InstrRawD[15:0] !== {16{1'bx}} && dut.hart.ifu.PCD !== 64'h0 && ~dut.hart.ifu.StallE) begin if (dut.hart.ifu.PCD !== lastPCD) begin + $display("tp2"); lastCheckInstrD = CheckInstrD; lastPC <= dut.hart.ifu.PCD; lastPC2 <= lastPC; @@ -525,16 +528,22 @@ module testbench(); end end else begin + $display("tp4"); if($feof(data_file_PC)) begin $display("no more PC data to read"); `ERROR end scan_file_PC = $fscanf(data_file_PC, "%s\n", PCtextD); PCtext2 = ""; + $display("tp5 PCtextD = %s PCtext2 = %s\n", PCtextD, PCtext2); while (PCtext2 != "***") begin + $display("tp6 PCtextD = %s PCtext2 = %s\n", PCtextD, PCtext2); PCtextD = {PCtextD, " ", PCtext2}; + $display("tp8"); scan_file_PC = $fscanf(data_file_PC, "%s\n", PCtext2); + $display("tp9"); end + $display("tp7 PCtextD = %s PCtext2 = %s\n", PCtextD, PCtext2); scan_file_PC = $fscanf(data_file_PC, "%x\n", CheckInstrD); if(dut.hart.ifu.PCD === pcExpected) begin if((dut.hart.ifu.InstrRawD[6:0] == 7'b1010011) || // for now, NOP out any float instrs @@ -607,6 +616,7 @@ module testbench(); end end + // Track names of instructions string InstrFName, InstrDName, InstrEName, InstrMName, InstrWName; logic [31:0] InstrW;