mirror of
				https://github.com/openhwgroup/cvw
				synced 2025-02-11 06:05:49 +00:00 
			
		
		
		
	
		
			
				
	
	
		
			11 lines
		
	
	
		
			246 B
		
	
	
	
		
			Systemverilog
		
	
	
	
	
	
			
		
		
	
	
			11 lines
		
	
	
		
			246 B
		
	
	
	
		
			Systemverilog
		
	
	
	
	
	
| module logging(
 | |
|   input logic clk, reset,
 | |
|   input logic [31:0] HADDR,
 | |
|   input logic [1:0]  HTRANS);
 | |
| 
 | |
|   always @(posedge clk)
 | |
|     if (HTRANS != 2'b00 && HADDR == 0)
 | |
|       $display("%t Warning: access to memory address 0\n", $realtime);
 | |
| endmodule
 | |
| 
 |