Added pin location for reset on VCU118 board. Somehow this was missing and still worked.

This commit is contained in:
Ross Thompson 2022-01-25 17:48:42 -06:00
parent 71eb1df492
commit db197b6491

View File

@ -57,6 +57,8 @@ set_input_delay -clock [get_clocks mmcm_clkout1] -min -add_delay 0.000 [get_port
set_input_delay -clock [get_clocks mmcm_clkout1] -max -add_delay 0.000 [get_ports reset]
set_max_delay -from [get_ports reset] 15.000
set_false_path -from [get_ports reset]
set_property PACKAGE_PIN L19 [get_ports {reset}]
set_property IOSTANDARD LVCMOS12 [get_ports {reset}]