From cd2a9b87128d84e10287cb2b32d0cf98a1195b05 Mon Sep 17 00:00:00 2001 From: "James E. Stine" Date: Sat, 24 Feb 2024 22:26:21 -0600 Subject: [PATCH] Add mux7 for K ext --- src/generic/mux.sv | 9 +++++++++ 1 file changed, 9 insertions(+) diff --git a/src/generic/mux.sv b/src/generic/mux.sv index 5a4767c87..f07efeb5c 100644 --- a/src/generic/mux.sv +++ b/src/generic/mux.sv @@ -65,6 +65,15 @@ module mux6 #(parameter WIDTH = 8) ( output logic [WIDTH-1:0] y); assign y = s[2] ? (s[0] ? d5 : d4) : (s[1] ? (s[0] ? d3 : d2) : (s[0] ? d1 : d0)); +endmodule // mux6 + +module mux7 #(parameter WIDTH = 8) ( + input logic [WIDTH-1:0] d0, d1, d2, d3, d4, d5, d6, + input logic [2:0] s, + output logic [WIDTH-1:0] y); + + assign y = s[2] ? (s[1] ? d6 : (s[0] ? d5 : d4)) : (s[1] ? (s[0] ? d3 : d2) : (s[0] ? d1 : d0)); + endmodule /* verilator lint_on DECLFILENAME */