From cc06fa1c552d57f0fdc6afb47e35d887f8572740 Mon Sep 17 00:00:00 2001 From: David Harris Date: Wed, 8 Jun 2022 01:39:44 +0000 Subject: [PATCH] Cleaned bram interface --- pipelined/src/generic/flop/bram1p1rw.sv | 8 ++++---- pipelined/src/generic/flop/bram2p1r1w.sv | 12 +++++------ pipelined/src/generic/flop/simpleram.sv | 2 +- pipelined/src/uncore/ram.sv | 26 +++++++++++++++++++++--- pipelined/src/uncore/ram_orig.sv | 4 ++-- 5 files changed, 36 insertions(+), 16 deletions(-) diff --git a/pipelined/src/generic/flop/bram1p1rw.sv b/pipelined/src/generic/flop/bram1p1rw.sv index cccf1f1f1..d0d3c40a8 100644 --- a/pipelined/src/generic/flop/bram1p1rw.sv +++ b/pipelined/src/generic/flop/bram1p1rw.sv @@ -44,8 +44,8 @@ module bram1p1rw //---------------------------------------------------------------------- ) ( input logic clk, - input logic en, - input logic [NUM_COL-1:0] we, + input logic we, + input logic [NUM_COL-1:0] bwe, input logic [ADDR_WIDTH-1:0] addr, output logic [DATA_WIDTH-1:0] dout, input logic [DATA_WIDTH-1:0] din @@ -60,9 +60,9 @@ module bram1p1rw always @ (posedge clk) begin dout <= RAM[addr]; - if(en) begin + if(we) begin for(i=0;i