diff --git a/bin/wally-tool-chain-install.sh b/bin/wally-tool-chain-install.sh index c52ee485c..ef2f9bcc7 100755 --- a/bin/wally-tool-chain-install.sh +++ b/bin/wally-tool-chain-install.sh @@ -69,9 +69,9 @@ cd $RISCV git clone https://github.com/riscv/riscv-gnu-toolchain cd riscv-gnu-toolchain # Temporarily use the following commands until gcc-13 is part of riscv-gnu-toolchain (issue #1249) -git clone https://github.com/gcc-mirror/gcc -b releases/gcc-13 gcc-13 -./configure --prefix=/opt/riscv --with-multilib-generator="rv32e-ilp32e--;rv32i-ilp32--;rv32im-ilp32--;rv32iac-ilp32--;rv32imac-ilp32--;rv32imafc-ilp32f--;rv32imafdc-ilp32d--;rv64i-lp64--;rv64ic-lp64--;rv64iac-lp64--;rv64imac-lp64--;rv64imafdc-lp64d--;rv64im-lp64--;" --with-gcc-src=`pwd`/gcc-13 -#./configure --prefix=${RISCV} --with-multilib-generator="rv32e-ilp32e--;rv32i-ilp32--;rv32im-ilp32--;rv32iac-ilp32--;rv32imac-ilp32--;rv32imafc-ilp32f--;rv32imafdc-ilp32d--;rv64i-lp64--;rv64ic-lp64--;rv64iac-lp64--;rv64imac-lp64--;rv64imafdc-lp64d--;rv64im-lp64--;" +#git clone https://github.com/gcc-mirror/gcc -b releases/gcc-13 gcc-13 +#./configure --prefix=/opt/riscv --with-multilib-generator="rv32e-ilp32e--;rv32i-ilp32--;rv32im-ilp32--;rv32iac-ilp32--;rv32imac-ilp32--;rv32imafc-ilp32f--;rv32imafdc-ilp32d--;rv64i-lp64--;rv64ic-lp64--;rv64iac-lp64--;rv64imac-lp64--;rv64imafdc-lp64d--;rv64im-lp64--;" --with-gcc-src=`pwd`/gcc-13 +./configure --prefix=${RISCV} --with-multilib-generator="rv32e-ilp32e--;rv32i-ilp32--;rv32im-ilp32--;rv32iac-ilp32--;rv32imac-ilp32--;rv32imafc-ilp32f--;rv32imafdc-ilp32d--;rv64i-lp64--;rv64ic-lp64--;rv64iac-lp64--;rv64imac-lp64--;rv64imafdc-lp64d--;rv64im-lp64--;" make -j ${NUM_THREADS} # elf2hex (https://github.com/sifive/elf2hex) @@ -151,20 +151,21 @@ sudo make install cd $RISCV opam init -y --disable-sandboxing -opam switch create ocaml-base-compiler.4.08.0 +opam switch create 5.1.0 opam install sail -y eval $(opam config env) git clone https://github.com/riscv/sail-riscv.git cd sail-riscv # For now, use checkout that is stable for Wally -git checkout 72b2516d10d472ac77482fd959a9401ce3487f60 +#git checkout 72b2516d10d472ac77482fd959a9401ce3487f60 # not new enough for Zicboz? make -j ${NUM_THREADS} ARCH=RV32 make -j ${NUM_THREADS} sudo ln -sf $RISCV/sail-riscv/c_emulator/riscv_sim_RV64 /usr/bin/riscv_sim_RV64 sudo ln -sf $RISCV/sail-riscv/c_emulator/riscv_sim_RV32 /usr/bin/riscv_sim_RV32 -sudo pip3 install testresources +# riscof +sudo pip3 install -U testresources riscv_config pip3 install git+https://github.com/riscv/riscof.git # Download OSU Skywater 130 cell library diff --git a/config/rv32gc/config.vh b/config/rv32gc/config.vh index a5af5f608..9d5536935 100644 --- a/config/rv32gc/config.vh +++ b/config/rv32gc/config.vh @@ -35,7 +35,7 @@ localparam XLEN = 32'd32; // IEEE 754 compliance localparam IEEE754 = 0; -localparam MISA = (32'h00000104 | 1 << 20 | 1 << 18 | 1 << 12 | 1 << 0 | 1 <<3 | 1 << 5); +localparam MISA = (32'h00000104 | 1 << 1 | 1 << 20 | 1 << 18 | 1 << 12 | 1 << 0 | 1 <<3 | 1 << 5); localparam ZICSR_SUPPORTED = 1; localparam ZIFENCEI_SUPPORTED = 1; localparam COUNTERS = 12'd32; diff --git a/config/rv64gc/config.vh b/config/rv64gc/config.vh index 0597f4b76..552df6998 100644 --- a/config/rv64gc/config.vh +++ b/config/rv64gc/config.vh @@ -34,7 +34,7 @@ localparam XLEN = 32'd64; localparam IEEE754 = 0; // MISA RISC-V configuration per specification -localparam MISA = (32'h00000104 | 1 << 5 | 1 << 3 | 1 << 18 | 1 << 20 | 1 << 12 | 1 << 0); +localparam MISA = (32'h00000104 | 1 << 1 | 1 << 5 | 1 << 3 | 1 << 18 | 1 << 20 | 1 << 12 | 1 << 0); localparam ZICSR_SUPPORTED = 1; localparam ZIFENCEI_SUPPORTED = 1; localparam COUNTERS = 12'd32; diff --git a/sim/imperas.ic b/sim/imperas.ic index e716d78b6..bd6f92cea 100644 --- a/sim/imperas.ic +++ b/sim/imperas.ic @@ -79,7 +79,7 @@ # # SVxx Memory 0x0000000000 0x7FFFFFFFFF # ---callcommand refRoot/cpu/setPMA -lo 0x0000000000 -hi 0x7FFFFFFFFF -attributes " ------ ---- " # INITIAL +--callcommand refRoot/cpu/setPMA -lo 0x0000000000 -hi 0x7FFFFFFFFF -attributes " ---a-- ---- " # INITIAL --callcommand refRoot/cpu/setPMA -lo 0x0000001000 -hi 0x0000001FFF -attributes " r-x-A- 1248 " # BOOTROM --callcommand refRoot/cpu/setPMA -lo 0x0000012100 -hi 0x000001211F -attributes " rw-aA- --48 " # SDC --callcommand refRoot/cpu/setPMA -lo 0x0002000000 -hi 0x000200FFFF -attributes " rw-aA- 1248 " # CLINT diff --git a/sim/regression-wally b/sim/regression-wally index 06f4ce777..ca402cb42 100755 --- a/sim/regression-wally +++ b/sim/regression-wally @@ -107,7 +107,7 @@ for test in tests32i: configs.append(tc) -tests32e = ["wally32e"] +tests32e = ["arch32e"] for test in tests32e: tc = TestCase( name=test, diff --git a/src/ieu/alu.sv b/src/ieu/alu.sv index 4c203b1a7..f4618bc97 100644 --- a/src/ieu/alu.sv +++ b/src/ieu/alu.sv @@ -69,7 +69,7 @@ module alu import cvw::*; #(parameter cvw_t P, parameter WIDTH) ( assign LTU = ~Carry; // Select appropriate ALU Result - always_comb begin + always_comb case (ALUSelect) 3'b000: FullResult = Sum; // add or sub (including address generation) 3'b001: FullResult = Shift; // sll, sra, or srl @@ -80,7 +80,6 @@ module alu import cvw::*; #(parameter cvw_t P, parameter WIDTH) ( 3'b110: FullResult = A | CondMaskInvB; // or, orn, bset 3'b111: FullResult = A & CondMaskInvB; // and, bclr endcase - end // Support RV64I W-type addw/subw/addiw/shifts that discard upper 32 bits and sign-extend 32-bit result to 64 bits if (WIDTH == 64) assign PreALUResult = W64 ? {{32{FullResult[31]}}, FullResult[31:0]} : FullResult; diff --git a/src/ifu/ifu.sv b/src/ifu/ifu.sv index d5a73eef9..f6f688a89 100644 --- a/src/ifu/ifu.sv +++ b/src/ifu/ifu.sv @@ -56,8 +56,9 @@ module ifu import cvw::*; #(parameter cvw_t P) ( output logic BPWrongM, // Prediction is wrong // Mem output logic CommittedF, // I$ or bus memory operation started, delay interrupts - input logic [P.XLEN-1:0] UnalignedPCNextF, // The next PCF, but not aligned to 2 bytes. - output logic [P.XLEN-1:0] PC2NextF, // Selected PC between branch prediction and next valid PC if CSRWriteFence + input logic [P.XLEN-1:0] EPCM, // Exception Program counter from privileged unit + input logic [P.XLEN-1:0] TrapVectorM, // Trap vector, from privileged unit + input logic RetM, TrapM, // return instruction, or trap output logic [31:0] InstrD, // The decoded instruction in Decode stage output logic [31:0] InstrM, // The decoded instruction in Memory stage output logic [31:0] InstrOrigM, // Original compressed or uncompressed instruction in Memory stage for Illegal Instruction MTVAL @@ -100,6 +101,9 @@ module ifu import cvw::*; #(parameter cvw_t P) ( localparam [31:0] nop = 32'h00000013; // instruction for NOP logic [P.XLEN-1:0] PCNextF; // Next PCF, selected from Branch predictor, Privilege, or PC+2/4 + logic [P.XLEN-1:0] PC1NextF; // Branch predictor next PCF + logic [P.XLEN-1:0] PC2NextF; // Selected PC between branch prediction and next valid PC if CSRWriteFence + logic [P.XLEN-1:0] UnalignedPCNextF; // The next PCF, but not aligned to 2 bytes. logic BranchMisalignedFaultE; // Branch target not aligned to 4 bytes if no compressed allowed (2 bytes if allowed) logic [P.XLEN-1:0] PCPlus2or4F; // PCF + 2 (CompressedF) or PCF + 4 (Non-compressed) logic [P.XLEN-1:0] PCSpillNextF; // Next PCF after possible + 2 to handle spill @@ -128,7 +132,6 @@ module ifu import cvw::*; #(parameter cvw_t P) ( logic IFUCacheBusStallF; // EIther I$ or bus busy with multicycle operation logic GatedStallD; // StallD gated by selected next spill // branch predictor signal - logic [P.XLEN-1:0] PC1NextF; // Branch predictor next PCF logic BusCommittedF; // Bus memory operation in flight, delay interrupts logic CacheCommittedF; // I$ memory operation started, delay interrupts logic SelIROM; // PMA indicates instruction address is in the IROM @@ -300,20 +303,25 @@ module ifu import cvw::*; #(parameter cvw_t P) ( mux2 #(P.XLEN) pcmux2(.d0(PC1NextF), .d1(NextValidPCE), .s(CSRWriteFenceM),.y(PC2NextF)); else assign PC2NextF = PC1NextF; + mux3 #(P.XLEN) pcmux3(PC2NextF, EPCM, TrapVectorM, {TrapM, RetM}, UnalignedPCNextF); mux2 #(P.XLEN) pcresetmux({UnalignedPCNextF[P.XLEN-1:1], 1'b0}, P.RESET_VECTOR[P.XLEN-1:0], reset, PCNextF); flopen #(P.XLEN) pcreg(clk, ~StallF | reset, PCNextF, PCF); // pcadder // add 2 or 4 to the PC, based on whether the instruction is 16 bits or 32 assign PCPlus4F = PCF[P.XLEN-1:2] + 1; // add 4 to PC - // choose PC+2 or PC+4 based on CompressedF, which arrives later. - // Speeds up critical path as compared to selecting adder input based on CompressedF - always_comb - if (CompressedF) // add 2 - if (PCF[1]) PCPlus2or4F = {PCPlus4F, 2'b00}; - else PCPlus2or4F = {PCF[P.XLEN-1:2], 2'b10}; - else PCPlus2or4F = {PCPlus4F, PCF[1:0]}; // add 4 + if (P.COMPRESSED_SUPPORTED) begin: pcadd + // choose PC+2 or PC+4 based on CompressedF, which arrives later. + // Speeds up critical path as compared to selecting adder input based on CompressedF + always_comb + if (CompressedF) // add 2 + if (PCF[1]) PCPlus2or4F = {PCPlus4F, 2'b00}; + else PCPlus2or4F = {PCF[P.XLEN-1:2], 2'b10}; + else PCPlus2or4F = {PCPlus4F, PCF[1:0]}; // add 4 + end else begin: pcadd + assign PCPlus2or4F = {PCPlus4F, PCF[1:0]}; // always add 4 if compressed instructions are not supported + end //////////////////////////////////////////////////////////////////////////////////////////////// // Branch and Jump Predictor diff --git a/src/privileged/csr.sv b/src/privileged/csr.sv index c687b0f1d..edb27155c 100644 --- a/src/privileged/csr.sv +++ b/src/privileged/csr.sv @@ -34,7 +34,7 @@ module csr import cvw::*; #(parameter cvw_t P) ( input logic StallE, StallM, StallW, input logic [31:0] InstrM, // current instruction input logic [31:0] InstrOrigM, // Original compressed or uncompressed instruction in Memory stage for Illegal Instruction MTVAL - input logic [P.XLEN-1:0] PCM, PC2NextF, // program counter, next PC going to trap/return logic + input logic [P.XLEN-1:0] PCM, // program counter, next PC going to trap/return logic input logic [P.XLEN-1:0] SrcAM, IEUAdrM, // SrcA and memory address from IEU input logic CSRReadM, CSRWriteM, // read or write CSR input logic TrapM, // trap is occurring @@ -86,9 +86,11 @@ module csr import cvw::*; #(parameter cvw_t P) ( output logic [3:0] ENVCFG_CBE, output logic ENVCFG_PBMTE, // Page-based memory type enable output logic ENVCFG_ADUE, // HPTW A/D Update enable + // PC logic output from privileged unit to IFU + output logic [P.XLEN-1:0] EPCM, // Exception Program counter to IFU PC logic + output logic [P.XLEN-1:0] TrapVectorM, // Trap vector, to IFU PC logic // output logic [P.XLEN-1:0] CSRReadValW, // value read from CSR - output logic [P.XLEN-1:0] UnalignedPCNextF, // Next PC, accounting for traps and returns output logic IllegalCSRAccessM, // Illegal CSR access: CSR doesn't exist or is inaccessible at this privilege level output logic BigEndianM // memory access is big-endian based on privilege mode and STATUS register endian fields ); @@ -117,10 +119,8 @@ module csr import cvw::*; #(parameter cvw_t P) ( logic IllegalCSRMWriteReadonlyM; logic [P.XLEN-1:0] CSRReadVal2M; logic [11:0] MIP_REGW_writeable; - logic [P.XLEN-1:0] TVecM, TrapVectorM, NextFaultMtvalM; + logic [P.XLEN-1:0] TVecM,NextFaultMtvalM; logic MTrapM, STrapM; - logic [P.XLEN-1:0] EPC; - logic RetM; logic SelMtvecM; logic [P.XLEN-1:0] TVecAlignedM; logic InstrValidNotFlushedM; @@ -168,9 +168,7 @@ module csr import cvw::*; #(parameter cvw_t P) ( // Trap Returns // A trap sets the PC to TrapVector // A return sets the PC to MEPC or SEPC - assign RetM = mretM | sretM; - mux2 #(P.XLEN) epcmux(SEPC_REGW, MEPC_REGW, mretM, EPC); - mux3 #(P.XLEN) pcmux3(PC2NextF, EPC, TrapVectorM, {TrapM, RetM}, UnalignedPCNextF); + mux2 #(P.XLEN) epcmux(SEPC_REGW, MEPC_REGW, mretM, EPCM); /////////////////////////////////////////// // CSRWriteValM diff --git a/src/privileged/privdec.sv b/src/privileged/privdec.sv index 9a4027571..a5bfde1cf 100644 --- a/src/privileged/privdec.sv +++ b/src/privileged/privdec.sv @@ -38,7 +38,7 @@ module privdec import cvw::*; #(parameter cvw_t P) ( input logic STATUS_TSR, STATUS_TVM, STATUS_TW, // status bits output logic IllegalInstrFaultM, // Illegal instruction output logic EcallFaultM, BreakpointFaultM, // Ecall or breakpoint; must retire, so don't flush it when the trap occurs - output logic sretM, mretM, // return instructions + output logic sretM, mretM, RetM, // return instructions output logic wfiM, wfiW, sfencevmaM // wfi / sfence.vma / sinval.vma instructions ); @@ -66,6 +66,7 @@ module privdec import cvw::*; #(parameter cvw_t P) ( assign sretM = PrivilegedM & (InstrM[31:20] == 12'b000100000010) & rs1zeroM & P.S_SUPPORTED & (PrivilegeModeW == P.M_MODE | PrivilegeModeW == P.S_MODE & ~STATUS_TSR); assign mretM = PrivilegedM & (InstrM[31:20] == 12'b001100000010) & rs1zeroM & (PrivilegeModeW == P.M_MODE); + assign RetM = sretM | mretM; assign ecallM = PrivilegedM & (InstrM[31:20] == 12'b000000000000) & rs1zeroM; assign ebreakM = PrivilegedM & (InstrM[31:20] == 12'b000000000001) & rs1zeroM; assign wfiM = PrivilegedM & (InstrM[31:20] == 12'b000100000101) & rs1zeroM; diff --git a/src/privileged/privileged.sv b/src/privileged/privileged.sv index b7d443fdd..4c27df006 100644 --- a/src/privileged/privileged.sv +++ b/src/privileged/privileged.sv @@ -37,7 +37,7 @@ module privileged import cvw::*; #(parameter cvw_t P) ( input logic [31:0] InstrM, // Instruction input logic [31:0] InstrOrigM, // Original compressed or uncompressed instruction in Memory stage for Illegal Instruction MTVAL input logic [P.XLEN-1:0] IEUAdrM, // address from IEU - input logic [P.XLEN-1:0] PCM, PC2NextF, // program counter, next PC going to trap/return PC logic + input logic [P.XLEN-1:0] PCM, // program counter // control signals input logic InstrValidM, // Current instruction is valid (not flushed) input logic CommittedM, CommittedF, // current instruction is using bus; don't interrupt @@ -85,8 +85,9 @@ module privileged import cvw::*; #(parameter cvw_t P) ( output logic [3:0] ENVCFG_CBE, // Cache block operation enables output logic ENVCFG_PBMTE, // Page-based memory type enable output logic ENVCFG_ADUE, // HPTW A/D Update enable - // PC logic output in privileged unit - output logic [P.XLEN-1:0] UnalignedPCNextF, // Next PC from trap/return PC logic + // PC logic output from privileged unit to IFU + output logic [P.XLEN-1:0] EPCM, // Exception Program counter to IFU PC logic + output logic [P.XLEN-1:0] TrapVectorM, // Trap vector, to IFU PC logic // control outputs output logic RetM, TrapM, // return instruction, or trap output logic sfencevmaM, // sfence.vma instruction @@ -125,11 +126,11 @@ module privileged import cvw::*; #(parameter cvw_t P) ( privdec #(P) pmd(.clk, .reset, .StallW, .FlushW, .InstrM(InstrM[31:15]), .PrivilegedM, .IllegalIEUFPUInstrM, .IllegalCSRAccessM, .PrivilegeModeW, .STATUS_TSR, .STATUS_TVM, .STATUS_TW, .IllegalInstrFaultM, - .EcallFaultM, .BreakpointFaultM, .sretM, .mretM, .wfiM, .wfiW, .sfencevmaM); + .EcallFaultM, .BreakpointFaultM, .sretM, .mretM, .RetM, .wfiM, .wfiW, .sfencevmaM); // Control and Status Registers csr #(P) csr(.clk, .reset, .FlushM, .FlushW, .StallE, .StallM, .StallW, - .InstrM, .InstrOrigM, .PCM, .SrcAM, .IEUAdrM, .PC2NextF, + .InstrM, .InstrOrigM, .PCM, .SrcAM, .IEUAdrM, .CSRReadM, .CSRWriteM, .TrapM, .mretM, .sretM, .InterruptM, .MTimerInt, .MExtInt, .SExtInt, .MSwInt, .MTIME_CLINT, .InstrValidM, .FRegWriteM, .LoadStallD, .StoreStallD, @@ -142,7 +143,8 @@ module privileged import cvw::*; #(parameter cvw_t P) ( .MEDELEG_REGW, .MIP_REGW, .MIE_REGW, .MIDELEG_REGW, .SATP_REGW, .PMPCFG_ARRAY_REGW, .PMPADDR_ARRAY_REGW, .SetFflagsM, .FRM_REGW, .ENVCFG_CBE, .ENVCFG_PBMTE, .ENVCFG_ADUE, - .CSRReadValW,.UnalignedPCNextF, .IllegalCSRAccessM, .BigEndianM); + .EPCM, .TrapVectorM, + .CSRReadValW, .IllegalCSRAccessM, .BigEndianM); // pipeline early-arriving trap sources privpiperegs ppr(.clk, .reset, .StallD, .StallE, .StallM, .FlushD, .FlushE, .FlushM, @@ -154,9 +156,8 @@ module privileged import cvw::*; #(parameter cvw_t P) ( .InstrMisalignedFaultM, .InstrAccessFaultM, .HPTWInstrAccessFaultM, .IllegalInstrFaultM, .BreakpointFaultM, .LoadMisalignedFaultM, .StoreAmoMisalignedFaultM, .LoadAccessFaultM, .StoreAmoAccessFaultM, .EcallFaultM, .InstrPageFaultM, - .LoadPageFaultM, .StoreAmoPageFaultM, - .mretM, .sretM, .PrivilegeModeW, + .LoadPageFaultM, .StoreAmoPageFaultM, .PrivilegeModeW, .MIP_REGW, .MIE_REGW, .MIDELEG_REGW, .MEDELEG_REGW, .STATUS_MIE, .STATUS_SIE, .InstrValidM, .CommittedM, .CommittedF, - .TrapM, .RetM, .wfiM, .wfiW, .InterruptM, .ExceptionM, .IntPendingM, .DelegateM, .CauseM); + .TrapM, .wfiM, .wfiW, .InterruptM, .ExceptionM, .IntPendingM, .DelegateM, .CauseM); endmodule diff --git a/src/privileged/trap.sv b/src/privileged/trap.sv index 61acdd31c..4209d0ced 100644 --- a/src/privileged/trap.sv +++ b/src/privileged/trap.sv @@ -32,7 +32,6 @@ module trap import cvw::*; #(parameter cvw_t P) ( input logic BreakpointFaultM, LoadMisalignedFaultM, StoreAmoMisalignedFaultM, input logic LoadAccessFaultM, StoreAmoAccessFaultM, EcallFaultM, InstrPageFaultM, input logic LoadPageFaultM, StoreAmoPageFaultM, // various trap sources - input logic mretM, sretM, // return instructions input logic wfiM, wfiW, // wait for interrupt instruction input logic [1:0] PrivilegeModeW, // current privilege mode input logic [11:0] MIP_REGW, MIE_REGW, MIDELEG_REGW, // interrupt pending, enabled, and delegate CSRs @@ -41,7 +40,6 @@ module trap import cvw::*; #(parameter cvw_t P) ( input logic InstrValidM, // current instruction is valid, not flushed input logic CommittedM, CommittedF, // LSU/IFU has committed to a bus operation that can't be interrupted output logic TrapM, // Trap is occurring - output logic RetM, // Return instruction being executed output logic InterruptM, // Interrupt is occurring output logic ExceptionM, // exception is occurring output logic IntPendingM, // Interrupt is pending, might occur if enabled @@ -74,7 +72,7 @@ module trap import cvw::*; #(parameter cvw_t P) ( (PrivilegeModeW == P.U_MODE | PrivilegeModeW == P.S_MODE); /////////////////////////////////////////// - // Trigger Traps and RET + // Trigger Traps // According to RISC-V Spec Section 1.6, exceptions are caused by instructions. Interrupts are external asynchronous. // Traps are the union of exceptions and interrupts. /////////////////////////////////////////// @@ -89,7 +87,6 @@ module trap import cvw::*; #(parameter cvw_t P) ( LoadAccessFaultM | StoreAmoAccessFaultM; // coverage on assign TrapM = (ExceptionM & ~CommittedF) | InterruptM; // *** RT: review this additional ~CommittedF with DH and update priv chapter. - assign RetM = mretM | sretM; /////////////////////////////////////////// // Cause priority defined in privileged spec @@ -112,11 +109,13 @@ module trap import cvw::*; #(parameter cvw_t P) ( // coverage on else if (BreakpointFaultM) CauseM = 3; else if (EcallFaultM) CauseM = {2'b10, PrivilegeModeW}; - else if (LoadMisalignedFaultM) CauseM = 4; - else if (StoreAmoMisalignedFaultM) CauseM = 6; - else if (LoadPageFaultM) CauseM = 13; + else if (StoreAmoMisalignedFaultM & ~P.ZICCLSM_SUPPORTED) CauseM = 6; // misaligned faults are higher priority if they always are taken + else if (LoadMisalignedFaultM & ~P.ZICCLSM_SUPPORTED) CauseM = 4; else if (StoreAmoPageFaultM) CauseM = 15; - else if (LoadAccessFaultM) CauseM = 5; + else if (LoadPageFaultM) CauseM = 13; else if (StoreAmoAccessFaultM) CauseM = 7; + else if (LoadAccessFaultM) CauseM = 5; + else if (StoreAmoMisalignedFaultM & P.ZICCLSM_SUPPORTED) CauseM = 6; // See priority in Privileged Spec 3.1.15 + else if (LoadMisalignedFaultM & P.ZICCLSM_SUPPORTED) CauseM = 4; else CauseM = 0; endmodule diff --git a/src/wally/wallypipelinedcore.sv b/src/wally/wallypipelinedcore.sv index e637e4327..f861a08bc 100644 --- a/src/wally/wallypipelinedcore.sv +++ b/src/wally/wallypipelinedcore.sv @@ -48,8 +48,7 @@ module wallypipelinedcore import cvw::*; #(parameter cvw_t P) ( logic StallF, StallD, StallE, StallM, StallW; logic FlushD, FlushE, FlushM, FlushW; - logic RetM; - logic TrapM; + logic TrapM, RetM; // signals that must connect through DP logic IntDivE, W64E; @@ -63,7 +62,7 @@ module wallypipelinedcore import cvw::*; #(parameter cvw_t P) ( logic [P.XLEN-1:0] PCSpillF, PCE, PCLinkE; logic [P.XLEN-1:0] PCM; logic [P.XLEN-1:0] CSRReadValW, MDUResultW; - logic [P.XLEN-1:0] UnalignedPCNextF, PC2NextF; + logic [P.XLEN-1:0] EPCM, TrapVectorM; logic [1:0] MemRWE; logic [1:0] MemRWM; logic InstrValidD, InstrValidE, InstrValidM; @@ -173,13 +172,13 @@ module wallypipelinedcore import cvw::*; #(parameter cvw_t P) ( .InstrValidE, .InstrValidD, .BranchD, .BranchE, .JumpD, .JumpE, .ICacheStallF, // Fetch - .HRDATA, .PCSpillF, .IFUHADDR, .PC2NextF, + .HRDATA, .PCSpillF, .IFUHADDR, .IFUStallF, .IFUHBURST, .IFUHTRANS, .IFUHSIZE, .IFUHREADY, .IFUHWRITE, .ICacheAccess, .ICacheMiss, // Execute .PCLinkE, .PCSrcE, .IEUAdrE, .IEUAdrM, .PCE, .BPWrongE, .BPWrongM, // Mem - .CommittedF, .UnalignedPCNextF, .InvalidateICacheM, .CSRWriteFenceM, + .CommittedF, .EPCM, .TrapVectorM, .RetM, .TrapM, .InvalidateICacheM, .CSRWriteFenceM, .InstrD, .InstrM, .InstrOrigM, .PCM, .InstrClassM, .BPDirPredWrongM, .BTAWrongM, .RASPredPCWrongM, .IClassWrongM, // Faults out @@ -281,8 +280,8 @@ module wallypipelinedcore import cvw::*; #(parameter cvw_t P) ( privileged #(P) priv( .clk, .reset, .FlushD, .FlushE, .FlushM, .FlushW, .StallD, .StallE, .StallM, .StallW, - .CSRReadM, .CSRWriteM, .SrcAM, .PCM, .PC2NextF, - .InstrM, .InstrOrigM, .CSRReadValW, .UnalignedPCNextF, + .CSRReadM, .CSRWriteM, .SrcAM, .PCM, + .InstrM, .InstrOrigM, .CSRReadValW, .EPCM, .TrapVectorM, .RetM, .TrapM, .sfencevmaM, .InvalidateICacheM, .DCacheStallM, .ICacheStallF, .InstrValidM, .CommittedM, .CommittedF, .FRegWriteM, .LoadStallD, .StoreStallD, @@ -301,7 +300,8 @@ module wallypipelinedcore import cvw::*; #(parameter cvw_t P) ( .FRM_REGW, .ENVCFG_CBE, .ENVCFG_PBMTE, .ENVCFG_ADUE, .wfiM, .IntPendingM, .BigEndianM); end else begin assign CSRReadValW = 0; - assign UnalignedPCNextF = PC2NextF; + assign EPCM = 0; + assign TrapVectorM = 0; assign RetM = 0; assign TrapM = 0; assign wfiM = 0; diff --git a/testbench/testbench.sv b/testbench/testbench.sv index 3f7aeea33..e15466b63 100644 --- a/testbench/testbench.sv +++ b/testbench/testbench.sv @@ -93,68 +93,72 @@ module testbench; //tests = '{}; if (P.XLEN == 64) begin // RV64 case (TEST) - "arch64i": tests = arch64i; - "arch64priv": tests = arch64priv; + "arch64i": tests = arch64i; + "arch64priv": tests = arch64priv; "arch64c": if (P.C_SUPPORTED) if (P.ZICSR_SUPPORTED) tests = {arch64c, arch64cpriv}; - else tests = {arch64c}; + else tests = {arch64c}; "arch64m": if (P.M_SUPPORTED) tests = arch64m; "arch64a": if (P.A_SUPPORTED) tests = arch64a; "arch64f": if (P.F_SUPPORTED) tests = arch64f; "arch64d": if (P.D_SUPPORTED) tests = arch64d; - "arch64f_fma": if (P.F_SUPPORTED) tests = arch64f_fma; - "arch64d_fma": if (P.D_SUPPORTED) tests = arch64d_fma; - "arch64zi": if (P.ZIFENCEI_SUPPORTED) tests = arch64zi; - "imperas64i": tests = imperas64i; + "arch64f_fma": if (P.F_SUPPORTED) tests = arch64f_fma; + "arch64d_fma": if (P.D_SUPPORTED) tests = arch64d_fma; + "arch64zifencei": if (P.ZIFENCEI_SUPPORTED) tests = arch64zifencei; + "imperas64i": tests = imperas64i; "imperas64f": if (P.F_SUPPORTED) tests = imperas64f; "imperas64d": if (P.D_SUPPORTED) tests = imperas64d; "imperas64m": if (P.M_SUPPORTED) tests = imperas64m; "wally64a": if (P.A_SUPPORTED) tests = wally64a; "imperas64c": if (P.C_SUPPORTED) tests = imperas64c; - else tests = imperas64iNOc; - "custom": tests = custom; - "wally64i": tests = wally64i; - "wally64priv": tests = wally64priv; - "wally64periph": tests = wally64periph; - "coremark": tests = coremark; - "fpga": tests = fpga; - "ahb" : tests = ahb; - "coverage64gc" : tests = coverage64gc; + else tests = imperas64iNOc; + "custom": tests = custom; + "wally64i": tests = wally64i; + "wally64priv": tests = wally64priv; + "wally64periph": tests = wally64periph; + "coremark": tests = coremark; + "fpga": tests = fpga; + "ahb" : tests = ahb; + "coverage64gc" : tests = coverage64gc; "arch64zba": if (P.ZBA_SUPPORTED) tests = arch64zba; "arch64zbb": if (P.ZBB_SUPPORTED) tests = arch64zbb; "arch64zbc": if (P.ZBC_SUPPORTED) tests = arch64zbc; "arch64zbs": if (P.ZBS_SUPPORTED) tests = arch64zbs; + "arch64zicboz": if (P.ZICBOZ_SUPPORTED) tests = arch64zicboz; + "arch64zcb": if (P.ZCB_SUPPORTED) tests = arch64zcb; endcase end else begin // RV32 case (TEST) - "arch32i": tests = arch32i; - "arch32priv": tests = arch32priv; + "arch32e": tests = arch32e; + "arch32i": tests = arch32i; + "arch32priv": tests = arch32priv; "arch32c": if (P.C_SUPPORTED) if (P.ZICSR_SUPPORTED) tests = {arch32c, arch32cpriv}; - else tests = {arch32c}; + else tests = {arch32c}; "arch32m": if (P.M_SUPPORTED) tests = arch32m; "arch32a": if (P.A_SUPPORTED) tests = arch32a; "arch32f": if (P.F_SUPPORTED) tests = arch32f; "arch32d": if (P.D_SUPPORTED) tests = arch32d; - "arch32f_fma": if (P.F_SUPPORTED) tests = arch32f_fma; - "arch32d_fma": if (P.D_SUPPORTED) tests = arch32d_fma; - "arch32zi": if (P.ZIFENCEI_SUPPORTED) tests = arch32zi; - "imperas32i": tests = imperas32i; + "arch32f_fma": if (P.F_SUPPORTED) tests = arch32f_fma; + "arch32d_fma": if (P.D_SUPPORTED) tests = arch32d_fma; + "arch32zifencei": if (P.ZIFENCEI_SUPPORTED) tests = arch32zifencei; + "imperas32i": tests = imperas32i; "imperas32f": if (P.F_SUPPORTED) tests = imperas32f; "imperas32m": if (P.M_SUPPORTED) tests = imperas32m; "wally32a": if (P.A_SUPPORTED) tests = wally32a; "imperas32c": if (P.C_SUPPORTED) tests = imperas32c; - else tests = imperas32iNOc; - "wally32i": tests = wally32i; - "wally32e": tests = wally32e; - "wally32priv": tests = wally32priv; - "wally32periph": tests = wally32periph; - "embench": tests = embench; - "coremark": tests = coremark; + else tests = imperas32iNOc; + "wally32i": tests = wally32i; + "wally32priv": tests = wally32priv; + "wally32periph": tests = wally32periph; + "embench": tests = embench; + "coremark": tests = coremark; "arch32zba": if (P.ZBA_SUPPORTED) tests = arch32zba; "arch32zbb": if (P.ZBB_SUPPORTED) tests = arch32zbb; "arch32zbc": if (P.ZBC_SUPPORTED) tests = arch32zbc; "arch32zbs": if (P.ZBS_SUPPORTED) tests = arch32zbs; + "arch32zicboz": if (P.ZICBOZ_SUPPORTED) tests = arch32zicboz; + "arch32zcb": if (P.ZCB_SUPPORTED) tests = arch32zcb; endcase end if (tests.size() == 0) begin diff --git a/testbench/tests.vh b/testbench/tests.vh index 46c553cc3..3d2d85398 100644 --- a/testbench/tests.vh +++ b/testbench/tests.vh @@ -44,9 +44,9 @@ string tvpaths[] = '{ string coverage64gc[] = '{ `COVERAGE, - "tlbNAPOT", - "priv", "ieu", +// "tlbNAPOT", + "priv", "ebu", "csrwrites", "ifu", @@ -898,7 +898,7 @@ string imperas32f[] = '{ -----/\----- EXCLUDED -----/\----- */ }; - string arch64zi[] = '{ + string arch64zifencei[] = '{ `RISCVARCHTEST, "rv64i_m/Zifencei/src/Fencei.S" }; @@ -917,7 +917,7 @@ string imperas32f[] = '{ }; - string arch32zi[] = '{ + string arch32zifencei[] = '{ `RISCVARCHTEST, "rv32i_m/Zifencei/src/Fencei.S" }; @@ -1481,6 +1481,49 @@ string imperas32f[] = '{ "rv64i_m/D/src/fssub.d_b8-01.S" }; +string arch64zicboz[] = '{ // as of 12/17/23 presently cbo.zero is the only CMO insturction with riscv-arch-test support + `RISCVARCHTEST, + "rv64i_m/CMO/src/cbo.zero-01.S" + }; + +string arch32zicboz[] = '{ // as of 12/17/23 presently cbo.zero is the only CMO insturction with riscv-arch-test support + `RISCVARCHTEST, + "rv32i_m/CMO/src/cbo.zero-01.S" + }; + +string arch64zcb[] = '{ + `RISCVARCHTEST, + "rv64i_m/C/src/clbu-01.S", + "rv64i_m/C/src/clh-01.S", + "rv64i_m/C/src/clhu-01.S", + "rv64i_m/C/src/clbu-01.S", + "rv64i_m/C/src/csb-01.S", + "rv64i_m/C/src/csh-01.S", + "rv64i_m/C/src/csext.b-01.S", + "rv64i_m/C/src/csext.h-01.S", + "rv64i_m/C/src/czext.b-01.S", + "rv64i_m/C/src/czext.h-01.S", + "rv64i_m/C/src/cmul-01.S", + "rv64i_m/C/src/cnot-01.S", + "rv64i_m/C/src/czext.w-01.S" + }; + +string arch32zcb[] = '{ + `RISCVARCHTEST, + "rv32i_m/C/src/clbu-01.S", + "rv32i_m/C/src/clh-01.S", + "rv32i_m/C/src/clhu-01.S", + "rv32i_m/C/src/clbu-01.S", + "rv32i_m/C/src/csb-01.S", + "rv32i_m/C/src/csh-01.S", + "rv32i_m/C/src/csext.b-01.S", + "rv32i_m/C/src/csext.h-01.S", + "rv32i_m/C/src/czext.b-01.S", + "rv32i_m/C/src/czext.h-01.S", + "rv32i_m/C/src/cmul-01.S", + "rv32i_m/C/src/cnot-01.S" + }; + string arch64zba[] = '{ `RISCVARCHTEST, "rv64i_m/B/src/slli.uw-01.S", @@ -1961,6 +2004,46 @@ string arch64zbs[] = '{ "rv32i_m/I/src/xori-01.S" }; + string arch32e[] = '{ + `RISCVARCHTEST, + "rv32e_m/E/src/add-01.S", + "rv32e_m/E/src/addi-01.S", + "rv32e_m/E/src/and-01.S", + "rv32e_m/E/src/andi-01.S", + "rv32e_m/E/src/auipc-01.S", + "rv32e_m/E/src/bge-01.S", + "rv32e_m/E/src/bgeu-01.S", + "rv32e_m/E/src/blt-01.S", + "rv32e_m/E/src/bltu-01.S", + "rv32e_m/E/src/bne-01.S", + "rv32e_m/E/src/jal-01.S", + "rv32e_m/E/src/jalr-01.S", + "rv32e_m/E/src/lb-align-01.S", + "rv32e_m/E/src/lbu-align-01.S", + "rv32e_m/E/src/lh-align-01.S", + "rv32e_m/E/src/lhu-align-01.S", + "rv32e_m/E/src/lui-01.S", + "rv32e_m/E/src/lw-align-01.S", + "rv32e_m/E/src/or-01.S", + "rv32e_m/E/src/ori-01.S", + "rv32e_m/E/src/sb-align-01.S", + "rv32e_m/E/src/sh-align-01.S", + "rv32e_m/E/src/sll-01.S", + "rv32e_m/E/src/slli-01.S", + "rv32e_m/E/src/slt-01.S", + "rv32e_m/E/src/slti-01.S", + "rv32e_m/E/src/sltiu-01.S", + "rv32e_m/E/src/sltu-01.S", + "rv32e_m/E/src/sra-01.S", + "rv32e_m/E/src/srai-01.S", + "rv32e_m/E/src/srl-01.S", + "rv32e_m/E/src/srli-01.S", + "rv32e_m/E/src/sub-01.S", + "rv32e_m/E/src/sw-align-01.S", + "rv32e_m/E/src/xor-01.S", + "rv32e_m/E/src/xori-01.S" + }; + string wally64i[] = '{ `WALLYTEST, "rv64i_m/I/src/WALLY-ADD.S", @@ -2017,46 +2100,6 @@ string arch64zbs[] = '{ "rv64i_m/privilege/src/WALLY-spi-01.S" }; - string wally32e[] = '{ - `WALLYTEST, - "rv32i_m/I/src/E-add-01.S", - "rv32i_m/I/src/E-addi-01.S", - "rv32i_m/I/src/E-and-01.S", - "rv32i_m/I/src/E-andi-01.S", - "rv32i_m/I/src/E-auipc-01.S", - "rv32i_m/I/src/E-bge-01.S", - "rv32i_m/I/src/E-bgeu-01.S", - "rv32i_m/I/src/E-blt-01.S", - "rv32i_m/I/src/E-bltu-01.S", - "rv32i_m/I/src/E-bne-01.S", - "rv32i_m/I/src/E-jal-01.S", - "rv32i_m/I/src/E-jalr-01.S", - "rv32i_m/I/src/E-lb-align-01.S", - "rv32i_m/I/src/E-lbu-align-01.S", - "rv32i_m/I/src/E-lh-align-01.S", - "rv32i_m/I/src/E-lhu-align-01.S", - "rv32i_m/I/src/E-lui-01.S", - "rv32i_m/I/src/E-lw-align-01.S", - "rv32i_m/I/src/E-or-01.S", - "rv32i_m/I/src/E-ori-01.S", - "rv32i_m/I/src/E-sb-align-01.S", - "rv32i_m/I/src/E-sh-align-01.S", - "rv32i_m/I/src/E-sll-01.S", - "rv32i_m/I/src/E-slli-01.S", - "rv32i_m/I/src/E-slt-01.S", - "rv32i_m/I/src/E-slti-01.S", - "rv32i_m/I/src/E-sltiu-01.S", - "rv32i_m/I/src/E-sltu-01.S", - "rv32i_m/I/src/E-sra-01.S", - "rv32i_m/I/src/E-srai-01.S", - "rv32i_m/I/src/E-srl-01.S", - "rv32i_m/I/src/E-srli-01.S", - "rv32i_m/I/src/E-sub-01.S", - "rv32i_m/I/src/E-sw-align-01.S", - "rv32i_m/I/src/E-xor-01.S", - "rv32i_m/I/src/E-xori-01.S" - }; - string wally32i[] = '{ `WALLYTEST, "rv32i_m/I/src/WALLY-ADD.S", @@ -2102,7 +2145,6 @@ string arch64zbs[] = '{ "rv32i_m/privilege/src/WALLY-plic-01.S", "rv32i_m/privilege/src/WALLY-plic-s-01.S", "rv32i_m/privilege/src/WALLY-spi-01.S" - }; string wally32periph[] = '{ diff --git a/tests/riscof/Makefile b/tests/riscof/Makefile index ffefde40c..a9855d41f 100644 --- a/tests/riscof/Makefile +++ b/tests/riscof/Makefile @@ -8,7 +8,7 @@ wally_workdir = $(work)/wally-riscv-arch-test current_dir = $(shell pwd) #XLEN ?= 64 -all: root arch32 wally32 wally32e arch64 wally64 +all: root arch32 wally32 arch32e arch64 wally64 wally-riscv-arch-test: root wally32 wally64 root: @@ -20,10 +20,15 @@ root: sed 's,{0},$(current_dir),g;s,{1},64gc,g' config.ini > config64.ini sed 's,{0},$(current_dir),g;s,{1},32e,g' config.ini > config32e.ini +arch32e: + riscof run --work-dir=$(work_dir) --config=config32e.ini --suite=$(arch_dir)/riscv-test-suite/ --env=$(arch_dir)/riscv-test-suite/env --no-browser + rsync -a $(work_dir)/rv32e_m/ $(arch_workdir)/rv32e_m/ || echo "error suppressed" + arch32: riscof run --work-dir=$(work_dir) --config=config32.ini --suite=$(arch_dir)/riscv-test-suite/ --env=$(arch_dir)/riscv-test-suite/env --no-browser rsync -a $(work_dir)/rv32i_m/ $(arch_workdir)/rv32i_m/ || echo "error suppressed" + arch64: riscof run --work-dir=$(work_dir) --config=config64.ini --suite=$(arch_dir)/riscv-test-suite/ --env=$(arch_dir)/riscv-test-suite/env --no-browser rsync -a $(work_dir)/rv64i_m/ $(arch_workdir)/rv64i_m/ || echo "error suppressed" @@ -38,10 +43,10 @@ wally64: riscof run --work-dir=$(work_dir) --config=config64.ini --suite=$(wally_dir)/riscv-test-suite/ --env=$(wally_dir)/riscv-test-suite/env --no-browser --no-dut-run rsync -a $(work_dir)/rv64i_m/ $(wally_workdir)/rv64i_m/ || echo "error suppressed" -wally32e: - riscof run --work-dir=$(work_dir) --config=config32e.ini --suite=$(wally_dir)/riscv-test-suite/ --env=$(wally_dir)/riscv-test-suite/env --no-browser --no-dut-run - rsync -a $(work_dir)/rv32i_m/ $(wally_workdir)/rv32i_m/ || echo "error suppressed" - rsync -a $(work_dir)/rv32e_unratified/ $(wally_workdir)/rv32e_unratified/ || echo "error suppressed" +#wally32e: +# riscof run --work-dir=$(work_dir) --config=config32e.ini --suite=$(wally_dir)/riscv-test-suite/ --env=$(wally_dir)/riscv-test-suite/env --no-browser --no-dut-run +# rsync -a $(work_dir)/rv32i_m/ $(wally_workdir)/rv32i_m/ || echo "error suppressed" +# rsync -a $(work_dir)/rv32e_unratified/ $(wally_workdir)/rv32e_unratified/ || echo "error suppressed" memfile: diff --git a/tests/riscof/sail_cSim/riscof_sail_cSim.py b/tests/riscof/sail_cSim/riscof_sail_cSim.py index 1a6c72504..878e25d4f 100644 --- a/tests/riscof/sail_cSim/riscof_sail_cSim.py +++ b/tests/riscof/sail_cSim/riscof_sail_cSim.py @@ -55,6 +55,8 @@ class sail_cSim(pluginTemplate): self.compile_cmd = self.compile_cmd+' -mabi='+('lp64 ' if 64 in ispec['supported_xlen'] else ('ilp32e ' if "E" in ispec["ISA"] else 'ilp32 ')) if "I" in ispec["ISA"]: self.isa += 'i' + if "E" in ispec["ISA"]: + self.isa += 'e' if "M" in ispec["ISA"]: self.isa += 'm' if "A" in ispec["ISA"]: diff --git a/tests/riscof/spike/riscof_spike.py b/tests/riscof/spike/riscof_spike.py index 1f4a4b838..6b2e6267d 100644 --- a/tests/riscof/spike/riscof_spike.py +++ b/tests/riscof/spike/riscof_spike.py @@ -97,6 +97,8 @@ class spike(pluginTemplate): self.isa = 'rv' + self.xlen if "I" in ispec["ISA"]: self.isa += 'i' + if "E" in ispec["ISA"]: + self.isa += 'e' if "M" in ispec["ISA"]: self.isa += 'm' if "A" in ispec["ISA"]: @@ -107,6 +109,14 @@ class spike(pluginTemplate): self.isa += 'd' if "C" in ispec["ISA"]: self.isa += 'c' + if "Zicsr" in ispec["ISA"]: + self.isa += '_Zicsr' + if "Zicboz" in ispec["ISA"]: + self.isa += '_Zicboz' + if "Zca" in ispec["ISA"]: + self.isa += '_Zca' + if "Zcb" in ispec["ISA"]: + self.isa += '_Zcb' if "Zba" in ispec["ISA"]: self.isa += '_Zba' if "Zbb" in ispec["ISA"]: diff --git a/tests/riscof/spike/spike_rv32gc_isa.yaml b/tests/riscof/spike/spike_rv32gc_isa.yaml index 9e1b32c55..b7bba15d5 100644 --- a/tests/riscof/spike/spike_rv32gc_isa.yaml +++ b/tests/riscof/spike/spike_rv32gc_isa.yaml @@ -1,6 +1,7 @@ hart_ids: [0] hart0: - ISA: RV32IMAFDCZicsr_Zicboz_Zifencei_Zba_Zbb_Zbc_Zbs + ISA: RV32IMAFDCZicsr_Zifencei_Zba_Zbb_Zbc_Zbs +# ISA: RV32IMAFDCZicsr_Zicboz_Zifencei_Zca_Zba_Zbb_Zbc_Zbs # _Zbkb_Zcb physical_addr_sz: 32 User_Spec_Version: '2.3' supported_xlen: [32] diff --git a/tests/riscof/spike/spike_rv64gc_isa.yaml b/tests/riscof/spike/spike_rv64gc_isa.yaml index 4869d6849..9730b6d77 100644 --- a/tests/riscof/spike/spike_rv64gc_isa.yaml +++ b/tests/riscof/spike/spike_rv64gc_isa.yaml @@ -1,6 +1,7 @@ hart_ids: [0] hart0: - ISA: RV64IMAFDCSUZicsr_Zicboz_Zifencei_Zba_Zbb_Zbc_Zbs +# ISA: RV64IMAFDCSUZicsr_Zicboz_Zifencei_Zca_Zba_Zbb_Zbc_Zbs # Zkbs_Zcb + ISA: RV64IMAFDCSUZicsr_Zifencei_Zbb_Zbc_Zbs # Zkbs_Zcb physical_addr_sz: 56 User_Spec_Version: '2.3' supported_xlen: [64] diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/Makefile b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/Makefile deleted file mode 100644 index c7b3e8946..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/Makefile +++ /dev/null @@ -1,3 +0,0 @@ -include ../../Makefile.include - -$(eval $(call compile_template,-march=rv32ec -mabi=ilp32e -DXLEN=$(XLEN))) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/Makefrag deleted file mode 100644 index b04dac3fc..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/Makefrag +++ /dev/null @@ -1,61 +0,0 @@ -# RISC-V Architecture Test RV32E Makefrag -# -# Copyright (c) 2017, Codasip Ltd. -# All rights reserved. -# -# Redistribution and use in source and binary forms, with or without -# modification, are permitted provided that the following conditions are met: -# * Redistributions of source code must retain the above copyright -# notice, this list of conditions and the following disclaimer. -# * Redistributions in binary form must reproduce the above copyright -# notice, this list of conditions and the following disclaimer in the -# documentation and/or other materials provided with the distribution. -# * Neither the name of the Codasip Ltd. nor the -# names of its contributors may be used to endorse or promote products -# derived from this software without specific prior written permission. -# -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS -# IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -# THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -# PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL Codasip Ltd. BE LIABLE FOR ANY -# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND -# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF -# THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -# -# Description: Makefrag for RV32E architectural tests - -rv32e_sc_tests = \ - cadd-01 \ - caddi-01 \ - caddi16sp-01 \ - caddi4spn-01 \ - cand-01 \ - candi-01 \ - cbeqz-01 \ - cbnez-01 \ - cj-01 \ - cjal-01 \ - cjalr-01 \ - cjr-01 \ - cli-01 \ - clui-01 \ - clw-01 \ - clwsp-01 \ - cmv-01 \ - cnop-01 \ - cor-01 \ - cslli-01 \ - csrai-01 \ - csrli-01 \ - csub-01 \ - csw-01 \ - cswsp-01 \ - cxor-01 - - -rv32e_tests = $(addsuffix .elf, $(rv32e_sc_tests)) - -target_tests += $(rv32e_tests) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cadd-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cadd-01.S deleted file mode 100644 index 2e79673e2..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cadd-01.S +++ /dev/null @@ -1,2980 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.add instruction of the RISC-V C extension for the cadd covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cadd) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2, rs1==x3, rs2==x7, rs1_val == (-2**(xlen-1)), rs1_val == -2147483648, rs2_val > 0 -// opcode: c.add; op1:x3; op2:x7; op1val:-0x80000000; op2val:0x3fffffff -TEST_CR_OP( c.add, x3, x7, 0xbfffffff, -0x80000000, 0x3fffffff, x1, 0, x2) - -inst_1: -// rs1 == rs2, rs1==x4, rs2==x4, rs2_val == 2147483647, rs1_val == 8192, rs2_val == (2**(xlen-1)-1) -// opcode: c.add; op1:x4; op2:x4; op1val:0x2000; op2val:0x2000 -TEST_CR_OP( c.add, x4, x4, 0x4000, 0x2000, 0x2000, x1, 4, x2) - -inst_2: -// rs1==x9, rs2==x12, rs2_val == -1073741825, rs2_val < 0, rs1_val == -8193 -// opcode: c.add; op1:x9; op2:x12; op1val:-0x2001; op2val:-0x40000001 -TEST_CR_OP( c.add, x9, x12, 0xbfffdffe, -0x2001, -0x40000001, x1, 8, x2) - -inst_3: -// rs1==x10, rs2==x13, rs2_val == -536870913, rs1_val == 64 -// opcode: c.add; op1:x10; op2:x13; op1val:0x40; op2val:-0x20000001 -TEST_CR_OP( c.add, x10, x13, 0xe000003f, 0x40, -0x20000001, x1, 12, x2) - -inst_4: -// rs1==x11, rs2==x15, rs2_val == -268435457, -// opcode: c.add; op1:x11; op2:x15; op1val:0x66666666; op2val:-0x10000001 -TEST_CR_OP( c.add, x11, x15, 0x56666665, 0x66666666, -0x10000001, x1, 16, x2) - -inst_5: -// rs1==x15, rs2==x8, rs2_val == -134217729, rs1_val == -5 -// opcode: c.add; op1:x15; op2:x8; op1val:-0x5; op2val:-0x8000001 -TEST_CR_OP( c.add, x15, x8, 0xf7fffffa, -0x5, -0x8000001, x1, 20, x2) - -inst_6: -// rs1==x6, rs2==x3, rs2_val == -67108865, -// opcode: c.add; op1:x6; op2:x3; op1val:-0xb503; op2val:-0x4000001 -TEST_CR_OP( c.add, x6, x3, 0xfbff4afc, -0xb503, -0x4000001, x1, 24, x2) - -inst_7: -// rs1==x8, rs2==x10, rs2_val == -33554433, rs1_val == 33554432 -// opcode: c.add; op1:x8; op2:x10; op1val:0x2000000; op2val:-0x2000001 -TEST_CR_OP( c.add, x8, x10, 0xffffffff, 0x2000000, -0x2000001, x1, 28, x4) - -inst_8: -// rs1==x0, rs2==x9, rs2_val == -16777217, rs1_val == -32769 -// opcode: c.add; op1:x0; op2:x9; op1val:0x0; op2val:-0x1000001 -TEST_CR_OP( c.add, x0, x9, 0, 0x0, -0x1000001, x1, 32, x4) - -inst_9: -// rs1==x14, rs2==x11, rs2_val == -8388609, rs1_val == -268435457 -// opcode: c.add; op1:x14; op2:x11; op1val:-0x10000001; op2val:-0x800001 -TEST_CR_OP( c.add, x14, x11, 0xef7ffffe, -0x10000001, -0x800001, x1, 36, x4) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_10: -// rs1==x7, rs2==x5, rs2_val == -4194305, rs1_val == 65536 -// opcode: c.add; op1:x7; op2:x5; op1val:0x10000; op2val:-0x400001 -TEST_CR_OP( c.add, x7, x5, 0xffc0ffff, 0x10000, -0x400001, x3, 0, x4) - -inst_11: -// rs1==x13, rs2==x2, rs2_val == -2097153, rs1_val == 536870912 -// opcode: c.add; op1:x13; op2:x2; op1val:0x20000000; op2val:-0x200001 -TEST_CR_OP( c.add, x13, x2, 0x1fdfffff, 0x20000000, -0x200001, x3, 4, x4) - -inst_12: -// rs1==x5, rs2==x14, rs2_val == -1048577, -// opcode: c.add; op1:x5; op2:x14; op1val:0x3; op2val:-0x100001 -TEST_CR_OP( c.add, x5, x14, 0xfff00002, 0x3, -0x100001, x3, 8, x4) - -inst_13: -// rs1==x2, rs2==x6, rs2_val == -524289, -// opcode: c.add; op1:x2; op2:x6; op1val:-0xb503; op2val:-0x80001 -TEST_CR_OP( c.add, x2, x6, 0xfff74afc, -0xb503, -0x80001, x3, 12, x4) - -inst_14: -// rs1==x12, rs2==x1, rs2_val == -262145, rs1_val == 4194304 -// opcode: c.add; op1:x12; op2:x1; op1val:0x400000; op2val:-0x40001 -TEST_CR_OP( c.add, x12, x1, 0x3bffff, 0x400000, -0x40001, x3, 16, x4) - -inst_15: -// rs1==x1, rs2_val == -131073, rs1_val == 32 -// opcode: c.add; op1:x1; op2:x2; op1val:0x20; op2val:-0x20001 -TEST_CR_OP( c.add, x1, x2, 0xfffe001f, 0x20, -0x20001, x3, 20, x4) - -inst_16: -// rs2_val == -65537, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:-0x10001 -TEST_CR_OP( c.add, x10, x11, 0xffff0005, 0x6, -0x10001, x3, 24, x4) - -inst_17: -// rs2_val == -32769, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:-0x8001 -TEST_CR_OP( c.add, x10, x11, 0x3332b333, 0x33333334, -0x8001, x3, 28, x4) - -inst_18: -// rs2_val == -16385, rs1_val == 1073741824 -// opcode: c.add; op1:x10; op2:x11; op1val:0x40000000; op2val:-0x4001 -TEST_CR_OP( c.add, x10, x11, 0x3fffbfff, 0x40000000, -0x4001, x3, 32, x4) - -inst_19: -// rs2_val == -8193, rs1_val == -67108865 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x4000001; op2val:-0x2001 -TEST_CR_OP( c.add, x10, x11, 0xfbffdffe, -0x4000001, -0x2001, x3, 36, x4) - -inst_20: -// rs2_val == -4097, rs1_val == -65537 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x10001; op2val:-0x1001 -TEST_CR_OP( c.add, x10, x11, 0xfffeeffe, -0x10001, -0x1001, x3, 40, x4) - -inst_21: -// rs2_val == -2049, rs1_val == 131072 -// opcode: c.add; op1:x10; op2:x11; op1val:0x20000; op2val:-0x801 -TEST_CR_OP( c.add, x10, x11, 0x1f7ff, 0x20000, -0x801, x3, 44, x4) - -inst_22: -// rs2_val == -1025, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:-0x401 -TEST_CR_OP( c.add, x10, x11, 0x66666265, 0x66666666, -0x401, x3, 48, x4) - -inst_23: -// rs2_val == -513, rs1_val == -536870913 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x201 -TEST_CR_OP( c.add, x10, x11, 0xdffffdfe, -0x20000001, -0x201, x3, 52, x4) - -inst_24: -// rs2_val == -257, rs1_val == 8 -// opcode: c.add; op1:x10; op2:x11; op1val:0x8; op2val:-0x101 -TEST_CR_OP( c.add, x10, x11, 0xffffff07, 0x8, -0x101, x3, 56, x4) - -inst_25: -// rs2_val == -129, rs1_val == -65 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x41; op2val:-0x81 -TEST_CR_OP( c.add, x10, x11, 0xffffff3e, -0x41, -0x81, x3, 60, x4) - -inst_26: -// rs2_val == -65, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: c.add; op1:x10; op2:x11; op1val:0x7fffffff; op2val:-0x41 -TEST_CR_OP( c.add, x10, x11, 0x7fffffbe, 0x7fffffff, -0x41, x3, 64, x4) - -inst_27: -// rs2_val == -33, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:-0x21 -TEST_CR_OP( c.add, x10, x11, 0x66666646, 0x66666667, -0x21, x3, 68, x4) - -inst_28: -// rs2_val == -17, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:-0x11 -TEST_CR_OP( c.add, x10, x11, 0x55555545, 0x55555556, -0x11, x3, 72, x4) - -inst_29: -// rs2_val == -9, rs1_val == 2 -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:-0x9 -TEST_CR_OP( c.add, x10, x11, 0xfffffff9, 0x2, -0x9, x3, 76, x4) - -inst_30: -// rs2_val == -5, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x8001; op2val:-0x5 -TEST_CR_OP( c.add, x10, x11, 0xffff7ffa, -0x8001, -0x5, x3, 80, x4) - -inst_31: -// rs2_val == -3, rs1_val == 268435456 -// opcode: c.add; op1:x10; op2:x11; op1val:0x10000000; op2val:-0x3 -TEST_CR_OP( c.add, x10, x11, 0xffffffd, 0x10000000, -0x3, x3, 84, x4) - -inst_32: -// rs2_val == -2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:-0x2 -TEST_CR_OP( c.add, x10, x11, 0x66666665, 0x66666667, -0x2, x3, 88, x4) - -inst_33: -// rs1_val == -1073741825, rs2_val == 536870912 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x40000001; op2val:0x20000000 -TEST_CR_OP( c.add, x10, x11, 0xdfffffff, -0x40000001, 0x20000000, x3, 92, x4) - -inst_34: -// rs1_val == -134217729, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x8000001; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x5e666665, -0x8000001, 0x66666666, x3, 96, x4) - -inst_35: -// rs1_val == -33554433, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x2000001; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0xfdff4afc, -0x2000001, -0xb503, x3, 100, x4) - -inst_36: -// rs1_val == -16777217, rs2_val == 1048576 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x1000001; op2val:0x100000 -TEST_CR_OP( c.add, x10, x11, 0xff0fffff, -0x1000001, 0x100000, x3, 104, x4) - -inst_37: -// rs1_val == -8388609, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x800001; op2val:-0x40000000 -TEST_CR_OP( c.add, x10, x11, 0xbf7fffff, -0x800001, -0x40000000, x3, 108, x4) - -inst_38: -// rs1_val == -4194305, rs2_val == 16777216 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x400001; op2val:0x1000000 -TEST_CR_OP( c.add, x10, x11, 0xbfffff, -0x400001, 0x1000000, x3, 112, x4) - -inst_39: -// rs1_val == -2097153, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x200001; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x55355555, -0x200001, 0x55555556, x3, 116, x4) - -inst_40: -// rs1_val == -1048577, rs2_val == 4194304 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x100001; op2val:0x400000 -TEST_CR_OP( c.add, x10, x11, 0x2fffff, -0x100001, 0x400000, x3, 120, x4) - -inst_41: -// rs1_val == -524289, rs2_val == 524288 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x80001; op2val:0x80000 -TEST_CR_OP( c.add, x10, x11, 0xffffffff, -0x80001, 0x80000, x3, 124, x4) - -inst_42: -// rs1_val == -262145, rs2_val == 8388608 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x40001; op2val:0x800000 -TEST_CR_OP( c.add, x10, x11, 0x7bffff, -0x40001, 0x800000, x3, 128, x4) - -inst_43: -// rs1_val == -131073, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x20001; op2val:-0x40000000 -TEST_CR_OP( c.add, x10, x11, 0xbffdffff, -0x20001, -0x40000000, x3, 132, x4) - -inst_44: -// rs1_val == -16385, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x4001; op2val:0x400000 -TEST_CR_OP( c.add, x10, x11, 0x3fbfff, -0x4001, 0x400000, x3, 136, x4) - -inst_45: -// rs1_val == -4097, rs2_val == 64 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x1001; op2val:0x40 -TEST_CR_OP( c.add, x10, x11, 0xfffff03f, -0x1001, 0x40, x3, 140, x4) - -inst_46: -// rs1_val == -2049, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x801; op2val:0x20000000 -TEST_CR_OP( c.add, x10, x11, 0x1ffff7ff, -0x801, 0x20000000, x3, 144, x4) - -inst_47: -// rs1_val == -1025, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x401; op2val:-0x8001 -TEST_CR_OP( c.add, x10, x11, 0xffff7bfe, -0x401, -0x8001, x3, 148, x4) - -inst_48: -// rs1_val == -513, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x201; op2val:-0x2001 -TEST_CR_OP( c.add, x10, x11, 0xffffddfe, -0x201, -0x2001, x3, 152, x4) - -inst_49: -// rs1_val == -257, rs2_val == 4 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x101; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0xffffff03, -0x101, 0x4, x3, 156, x4) - -inst_50: -// rs1_val == -129, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x81; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x333332b1, -0x81, 0x33333332, x3, 160, x4) - -inst_51: -// rs1_val == -33, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x21; op2val:0x40 -TEST_CR_OP( c.add, x10, x11, 0x1f, -0x21, 0x40, x3, 164, x4) - -inst_52: -// rs1_val == -17, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x11; op2val:-0x10000001 -TEST_CR_OP( c.add, x10, x11, 0xefffffee, -0x11, -0x10000001, x3, 168, x4) - -inst_53: -// rs1_val == -9, rs2_val == 33554432 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x9; op2val:0x2000000 -TEST_CR_OP( c.add, x10, x11, 0x1fffff7, -0x9, 0x2000000, x3, 172, x4) - -inst_54: -// rs1_val == -3, rs2_val == 1431655765 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x3; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x55555552, -0x3, 0x55555555, x3, 176, x4) - -inst_55: -// rs1_val == -2, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x2; op2val:0x20000000 -TEST_CR_OP( c.add, x10, x11, 0x1ffffffe, -0x2, 0x20000000, x3, 180, x4) - -inst_56: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 4 -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:-0x80000000 -TEST_CR_OP( c.add, x10, x11, 0x80000004, 0x4, -0x80000000, x3, 184, x4) - -inst_57: -// rs2_val == 1073741824, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x6; op2val:0x40000000 -TEST_CR_OP( c.add, x10, x11, 0x3ffffffa, -0x6, 0x40000000, x3, 188, x4) - -inst_58: -// rs2_val == 268435456, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x8; op2val:0x10000000 -TEST_CR_OP( c.add, x10, x11, 0xffffff8, -0x8, 0x10000000, x3, 192, x4) - -inst_59: -// rs2_val == 134217728, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x6; op2val:0x8000000 -TEST_CR_OP( c.add, x10, x11, 0x7fffffa, -0x6, 0x8000000, x3, 196, x4) - -inst_60: -// rs2_val == 67108864, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x5; op2val:0x4000000 -TEST_CR_OP( c.add, x10, x11, 0x3fffffb, -0x5, 0x4000000, x3, 200, x4) - -inst_61: -// rs2_val == 2097152, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x200000 -TEST_CR_OP( c.add, x10, x11, 0x55755556, 0x55555556, 0x200000, x3, 204, x4) - -inst_62: -// rs2_val == 262144, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x40000 -TEST_CR_OP( c.add, x10, x11, 0x40002, 0x2, 0x40000, x3, 208, x4) - -inst_63: -// rs2_val == 131072, -// opcode: c.add; op1:x10; op2:x11; op1val:0x20; op2val:0x20000 -TEST_CR_OP( c.add, x10, x11, 0x20020, 0x20, 0x20000, x3, 212, x4) - -inst_64: -// rs2_val == 65536, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x201; op2val:0x10000 -TEST_CR_OP( c.add, x10, x11, 0xfdff, -0x201, 0x10000, x3, 216, x4) - -inst_65: -// rs2_val == 32768, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x2000001; op2val:0x8000 -TEST_CR_OP( c.add, x10, x11, 0xfe007fff, -0x2000001, 0x8000, x3, 220, x4) - -inst_66: -// rs2_val == 16384, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x1001; op2val:0x4000 -TEST_CR_OP( c.add, x10, x11, 0x2fff, -0x1001, 0x4000, x3, 224, x4) - -inst_67: -// rs2_val == 8192, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x8001; op2val:0x2000 -TEST_CR_OP( c.add, x10, x11, 0xffff9fff, -0x8001, 0x2000, x3, 228, x4) - -inst_68: -// rs2_val == 4096, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x1000 -TEST_CR_OP( c.add, x10, x11, 0xffff5afc, -0xb504, 0x1000, x3, 232, x4) - -inst_69: -// rs2_val == 2048, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x4000001; op2val:0x800 -TEST_CR_OP( c.add, x10, x11, 0xfc0007ff, -0x4000001, 0x800, x3, 236, x4) - -inst_70: -// rs2_val == 1024, rs1_val == 4096 -// opcode: c.add; op1:x10; op2:x11; op1val:0x1000; op2val:0x400 -TEST_CR_OP( c.add, x10, x11, 0x1400, 0x1000, 0x400, x3, 240, x4) - -inst_71: -// rs2_val == 512, rs1_val == -1431655766 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x200 -TEST_CR_OP( c.add, x10, x11, 0xaaaaacaa, -0x55555556, 0x200, x3, 244, x4) - -inst_72: -// rs2_val == 256, rs1_val == 262144 -// opcode: c.add; op1:x10; op2:x11; op1val:0x40000; op2val:0x100 -TEST_CR_OP( c.add, x10, x11, 0x40100, 0x40000, 0x100, x3, 248, x4) - -inst_73: -// rs2_val == 128, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x4000001; op2val:0x80 -TEST_CR_OP( c.add, x10, x11, 0xfc00007f, -0x4000001, 0x80, x3, 252, x4) - -inst_74: -// rs2_val == 32, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x11; op2val:0x20 -TEST_CR_OP( c.add, x10, x11, 0xf, -0x11, 0x20, x3, 256, x4) - -inst_75: -// rs2_val == 16, rs1_val == 256 -// opcode: c.add; op1:x10; op2:x11; op1val:0x100; op2val:0x10 -TEST_CR_OP( c.add, x10, x11, 0x110, 0x100, 0x10, x3, 260, x4) - -inst_76: -// rs2_val == 8, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x8 -TEST_CR_OP( c.add, x10, x11, 0xa, 0x2, 0x8, x3, 264, x4) - -inst_77: -// rs2_val == 2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x10000; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x10002, 0x10000, 0x2, x3, 268, x4) - -inst_78: -// rs2_val == 1, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x1 -TEST_CR_OP( c.add, x10, x11, 0x5, 0x4, 0x1, x3, 272, x4) - -inst_79: -// rs1_val == 134217728, -// opcode: c.add; op1:x10; op2:x11; op1val:0x8000000; op2val:-0xa -TEST_CR_OP( c.add, x10, x11, 0x7fffff6, 0x8000000, -0xa, x3, 276, x4) - -inst_80: -// rs1_val == 67108864, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4000000; op2val:-0x200001 -TEST_CR_OP( c.add, x10, x11, 0x3dfffff, 0x4000000, -0x200001, x3, 280, x4) - -inst_81: -// rs1_val == 16777216, -// opcode: c.add; op1:x10; op2:x11; op1val:0x1000000; op2val:-0x4 -TEST_CR_OP( c.add, x10, x11, 0xfffffc, 0x1000000, -0x4, x3, 284, x4) - -inst_82: -// rs1_val == 8388608, rs2_val == 0 -// opcode: c.add; op1:x10; op2:x11; op1val:0x800000; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x800000, 0x800000, 0x0, x3, 288, x4) - -inst_83: -// rs1_val == 2097152, -// opcode: c.add; op1:x10; op2:x11; op1val:0x200000; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x66866666, 0x200000, 0x66666666, x3, 292, x4) - -inst_84: -// rs1_val == 1048576, -// opcode: c.add; op1:x10; op2:x11; op1val:0x100000; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x55655556, 0x100000, 0x55555556, x3, 296, x4) - -inst_85: -// rs1_val == 524288, -// opcode: c.add; op1:x10; op2:x11; op1val:0x80000; op2val:0x200 -TEST_CR_OP( c.add, x10, x11, 0x80200, 0x80000, 0x200, x3, 300, x4) - -inst_86: -// rs1_val == 32768, -// opcode: c.add; op1:x10; op2:x11; op1val:0x8000; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaab2aab, 0x8000, -0x55555555, x3, 304, x4) - -inst_87: -// rs1_val == 16384, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4000; op2val:0x100 -TEST_CR_OP( c.add, x10, x11, 0x4100, 0x4000, 0x100, x3, 308, x4) - -inst_88: -// rs1_val == 2048, -// opcode: c.add; op1:x10; op2:x11; op1val:0x800; op2val:0x8000 -TEST_CR_OP( c.add, x10, x11, 0x8800, 0x800, 0x8000, x3, 312, x4) - -inst_89: -// rs1_val == 1024, -// opcode: c.add; op1:x10; op2:x11; op1val:0x400; op2val:0x1000000 -TEST_CR_OP( c.add, x10, x11, 0x1000400, 0x400, 0x1000000, x3, 316, x4) - -inst_90: -// rs1_val == 512, -// opcode: c.add; op1:x10; op2:x11; op1val:0x200; op2val:0x40000 -TEST_CR_OP( c.add, x10, x11, 0x40200, 0x200, 0x40000, x3, 320, x4) - -inst_91: -// rs1_val == 128, -// opcode: c.add; op1:x10; op2:x11; op1val:0x80; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0xb585, 0x80, 0xb505, x3, 324, x4) - -inst_92: -// rs1_val == 16, -// opcode: c.add; op1:x10; op2:x11; op1val:0x10; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0xb514, 0x10, 0xb504, x3, 328, x4) - -inst_93: -// rs1_val == 1, -// opcode: c.add; op1:x10; op2:x11; op1val:0x1; op2val:-0x81 -TEST_CR_OP( c.add, x10, x11, 0xffffff80, 0x1, -0x81, x3, 332, x4) - -inst_94: -// rs1_val==46341 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0x16a0a, 0xb505, 0xb505, x3, 336, x4) - -inst_95: -// rs1_val==46341 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0x2, 0xb505, -0xb503, x3, 340, x4) - -inst_96: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x66671b6c, 0xb505, 0x66666667, x3, 344, x4) - -inst_97: -// rs1_val==46341 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x3333e839, 0xb505, 0x33333334, x3, 348, x4) - -inst_98: -// rs1_val==46341 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0xb50b, 0xb505, 0x6, x3, 352, x4) - -inst_99: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaab5fb0, 0xb505, -0x55555555, x3, 356, x4) - -inst_100: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x55560a5b, 0xb505, 0x55555556, x3, 360, x4) - -inst_101: -// rs1_val==46341 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0xb509, 0xb505, 0x4, x3, 364, x4) - -inst_102: -// rs1_val==46341 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0x16a08, 0xb505, 0xb503, x3, 368, x4) - -inst_103: -// rs1_val==46341 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0xb505, 0xb505, 0x0, x3, 372, x4) - -inst_104: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x66671b6a, 0xb505, 0x66666665, x3, 376, x4) - -inst_105: -// rs1_val==46341 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x3333e837, 0xb505, 0x33333332, x3, 380, x4) - -inst_106: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0x55560a59, 0xb505, 0x55555554, x3, 384, x4) - -inst_107: -// rs1_val==46341 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0xb507, 0xb505, 0x2, x3, 388, x4) - -inst_108: -// rs1_val==46341 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0x16a09, 0xb505, 0xb504, x3, 392, x4) - -inst_109: -// rs1_val==46341 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0x1, 0xb505, -0xb504, x3, 396, x4) - -inst_110: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x66671b6b, 0xb505, 0x66666666, x3, 400, x4) - -inst_111: -// rs1_val==46341 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x3333e838, 0xb505, 0x33333333, x3, 404, x4) - -inst_112: -// rs1_val==46341 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0xb50a, 0xb505, 0x5, x3, 408, x4) - -inst_113: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xaaab5faf, 0xb505, -0x55555556, x3, 412, x4) - -inst_114: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x55560a5a, 0xb505, 0x55555555, x3, 416, x4) - -inst_115: -// rs1_val==46341 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb505; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0xb508, 0xb505, 0x3, x3, 420, x4) - -inst_116: -// rs1_val==-46339 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0x2, -0xb503, 0xb505, x3, 424, x4) - -inst_117: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0xfffe95fa, -0xb503, -0xb503, x3, 428, x4) - -inst_118: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x6665b164, -0xb503, 0x66666667, x3, 432, x4) - -inst_119: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x33327e31, -0xb503, 0x33333334, x3, 436, x4) - -inst_120: -// rs1_val==-46339 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0xffff4b03, -0xb503, 0x6, x3, 440, x4) - -inst_121: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaa9f5a8, -0xb503, -0x55555555, x3, 444, x4) - -inst_122: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x5554a053, -0xb503, 0x55555556, x3, 448, x4) - -inst_123: -// rs1_val==-46339 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0xffff4b01, -0xb503, 0x4, x3, 452, x4) - -inst_124: -// rs1_val==-46339 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0x0, -0xb503, 0xb503, x3, 456, x4) - -inst_125: -// rs1_val==-46339 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0xffff4afd, -0xb503, 0x0, x3, 460, x4) - -inst_126: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x6665b162, -0xb503, 0x66666665, x3, 464, x4) - -inst_127: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x33327e2f, -0xb503, 0x33333332, x3, 468, x4) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0x5554a051, -0xb503, 0x55555554, x3, 472, x4) - -inst_129: -// rs1_val==-46339 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0xffff4aff, -0xb503, 0x2, x3, 476, x4) - -inst_130: -// rs1_val==-46339 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0x1, -0xb503, 0xb504, x3, 480, x4) - -inst_131: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0xfffe95f9, -0xb503, -0xb504, x3, 484, x4) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x6665b163, -0xb503, 0x66666666, x3, 488, x4) - -inst_133: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x33327e30, -0xb503, 0x33333333, x3, 492, x4) - -inst_134: -// rs1_val==-46339 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0xffff4b02, -0xb503, 0x5, x3, 496, x4) - -inst_135: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xaaa9f5a7, -0xb503, -0x55555556, x3, 500, x4) - -inst_136: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x5554a052, -0xb503, 0x55555555, x3, 504, x4) - -inst_137: -// rs1_val==-46339 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb503; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0xffff4b00, -0xb503, 0x3, x3, 508, x4) - -inst_138: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0x66671b6c, 0x66666667, 0xb505, x3, 512, x4) - -inst_139: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0x6665b164, 0x66666667, -0xb503, x3, 516, x4) - -inst_140: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0xccccccce, 0x66666667, 0x66666667, x3, 520, x4) - -inst_141: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x9999999b, 0x66666667, 0x33333334, x3, 524, x4) - -inst_142: -// rs1_val==1717986919 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0x6666666d, 0x66666667, 0x6, x3, 528, x4) - -inst_143: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x11111112, 0x66666667, -0x55555555, x3, 532, x4) - -inst_144: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbbd, 0x66666667, 0x55555556, x3, 536, x4) - -inst_145: -// rs1_val==1717986919 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0x6666666b, 0x66666667, 0x4, x3, 540, x4) - -inst_146: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0x66671b6a, 0x66666667, 0xb503, x3, 544, x4) - -inst_147: -// rs1_val==1717986919 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x66666667, 0x66666667, 0x0, x3, 548, x4) - -inst_148: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0xcccccccc, 0x66666667, 0x66666665, x3, 552, x4) - -inst_149: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x99999999, 0x66666667, 0x33333332, x3, 556, x4) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbbb, 0x66666667, 0x55555554, x3, 560, x4) - -inst_151: -// rs1_val==1717986919 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x66666669, 0x66666667, 0x2, x3, 564, x4) - -inst_152: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0x66671b6b, 0x66666667, 0xb504, x3, 568, x4) - -inst_153: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0x6665b163, 0x66666667, -0xb504, x3, 572, x4) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0xcccccccd, 0x66666667, 0x66666666, x3, 576, x4) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x9999999a, 0x66666667, 0x33333333, x3, 580, x4) - -inst_156: -// rs1_val==1717986919 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0x6666666c, 0x66666667, 0x5, x3, 584, x4) - -inst_157: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x11111111, 0x66666667, -0x55555556, x3, 588, x4) - -inst_158: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbbc, 0x66666667, 0x55555555, x3, 592, x4) - -inst_159: -// rs1_val==1717986919 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666667; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x6666666a, 0x66666667, 0x3, x3, 596, x4) - -inst_160: -// rs1_val==858993460 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0x3333e839, 0x33333334, 0xb505, x3, 600, x4) - -inst_161: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0x33327e31, 0x33333334, -0xb503, x3, 604, x4) - -inst_162: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x9999999b, 0x33333334, 0x66666667, x3, 608, x4) - -inst_163: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x66666668, 0x33333334, 0x33333334, x3, 612, x4) - -inst_164: -// rs1_val==858993460 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0x3333333a, 0x33333334, 0x6, x3, 616, x4) - -inst_165: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xdddddddf, 0x33333334, -0x55555555, x3, 620, x4) - -inst_166: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x8888888a, 0x33333334, 0x55555556, x3, 624, x4) - -inst_167: -// rs1_val==858993460 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0x33333338, 0x33333334, 0x4, x3, 628, x4) - -inst_168: -// rs1_val==858993460 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0x3333e837, 0x33333334, 0xb503, x3, 632, x4) - -inst_169: -// rs1_val==858993460 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x33333334, 0x33333334, 0x0, x3, 636, x4) - -inst_170: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x99999999, 0x33333334, 0x66666665, x3, 640, x4) - -inst_171: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x66666666, 0x33333334, 0x33333332, x3, 644, x4) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0x88888888, 0x33333334, 0x55555554, x3, 648, x4) - -inst_173: -// rs1_val==858993460 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x33333336, 0x33333334, 0x2, x3, 652, x4) - -inst_174: -// rs1_val==858993460 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0x3333e838, 0x33333334, 0xb504, x3, 656, x4) - -inst_175: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0x33327e30, 0x33333334, -0xb504, x3, 660, x4) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x9999999a, 0x33333334, 0x66666666, x3, 664, x4) - -inst_177: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x66666667, 0x33333334, 0x33333333, x3, 668, x4) - -inst_178: -// rs1_val==858993460 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0x33333339, 0x33333334, 0x5, x3, 672, x4) - -inst_179: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xddddddde, 0x33333334, -0x55555556, x3, 676, x4) - -inst_180: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x88888889, 0x33333334, 0x55555555, x3, 680, x4) - -inst_181: -// rs1_val==858993460 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333334; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x33333337, 0x33333334, 0x3, x3, 684, x4) - -inst_182: -// rs1_val==6 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0xb50b, 0x6, 0xb505, x3, 688, x4) - -inst_183: -// rs1_val==6 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0xffff4b03, 0x6, -0xb503, x3, 692, x4) - -inst_184: -// rs1_val==6 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x6666666d, 0x6, 0x66666667, x3, 696, x4) - -inst_185: -// rs1_val==6 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x3333333a, 0x6, 0x33333334, x3, 700, x4) - -inst_186: -// rs1_val==6 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0xc, 0x6, 0x6, x3, 704, x4) - -inst_187: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaab1, 0x6, -0x55555555, x3, 708, x4) - -inst_188: -// rs1_val==6 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x5555555c, 0x6, 0x55555556, x3, 712, x4) - -inst_189: -// rs1_val==6 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0xa, 0x6, 0x4, x3, 716, x4) - -inst_190: -// rs1_val==6 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0xb509, 0x6, 0xb503, x3, 720, x4) - -inst_191: -// rs1_val==6 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x6, 0x6, 0x0, x3, 724, x4) - -inst_192: -// rs1_val==6 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x6666666b, 0x6, 0x66666665, x3, 728, x4) - -inst_193: -// rs1_val==6 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x33333338, 0x6, 0x33333332, x3, 732, x4) - -inst_194: -// rs1_val==6 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0x5555555a, 0x6, 0x55555554, x3, 736, x4) - -inst_195: -// rs1_val==6 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x8, 0x6, 0x2, x3, 740, x4) - -inst_196: -// rs1_val==6 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0xb50a, 0x6, 0xb504, x3, 744, x4) - -inst_197: -// rs1_val==6 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0xffff4b02, 0x6, -0xb504, x3, 748, x4) - -inst_198: -// rs1_val==6 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x6666666c, 0x6, 0x66666666, x3, 752, x4) - -inst_199: -// rs1_val==6 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x33333339, 0x6, 0x33333333, x3, 756, x4) - -inst_200: -// rs1_val==6 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0xb, 0x6, 0x5, x3, 760, x4) - -inst_201: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaab0, 0x6, -0x55555556, x3, 764, x4) - -inst_202: -// rs1_val==6 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x5555555b, 0x6, 0x55555555, x3, 768, x4) - -inst_203: -// rs1_val==6 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x6; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x9, 0x6, 0x3, x3, 772, x4) - -inst_204: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0xaaab5fb0, -0x55555555, 0xb505, x3, 776, x4) - -inst_205: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0xaaa9f5a8, -0x55555555, -0xb503, x3, 780, x4) - -inst_206: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x11111112, -0x55555555, 0x66666667, x3, 784, x4) - -inst_207: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0xdddddddf, -0x55555555, 0x33333334, x3, 788, x4) - -inst_208: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaab1, -0x55555555, 0x6, x3, 792, x4) - -inst_209: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x55555556, -0x55555555, -0x55555555, x3, 796, x4) - -inst_210: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x1, -0x55555555, 0x55555556, x3, 800, x4) - -inst_211: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x3, 804, x4) - -inst_212: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0xaaab5fae, -0x55555555, 0xb503, x3, 808, x4) - -inst_213: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x3, 812, x4) - -inst_214: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x11111110, -0x55555555, 0x66666665, x3, 816, x4) - -inst_215: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0xdddddddd, -0x55555555, 0x33333332, x3, 820, x4) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x3, 824, x4) - -inst_217: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaad, -0x55555555, 0x2, x3, 828, x4) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0xaaab5faf, -0x55555555, 0xb504, x3, 832, x4) - -inst_219: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0xaaa9f5a7, -0x55555555, -0xb504, x3, 836, x4) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x11111111, -0x55555555, 0x66666666, x3, 840, x4) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0xddddddde, -0x55555555, 0x33333333, x3, 844, x4) - -inst_222: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaab0, -0x55555555, 0x5, x3, 848, x4) - -inst_223: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x55555555, -0x55555555, -0x55555556, x3, 852, x4) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x0, -0x55555555, 0x55555555, x3, 856, x4) - -inst_225: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaae, -0x55555555, 0x3, x3, 860, x4) - -inst_226: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0x55560a5b, 0x55555556, 0xb505, x3, 864, x4) - -inst_227: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0x5554a053, 0x55555556, -0xb503, x3, 868, x4) - -inst_228: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbbd, 0x55555556, 0x66666667, x3, 872, x4) - -inst_229: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x8888888a, 0x55555556, 0x33333334, x3, 876, x4) - -inst_230: -// rs1_val==1431655766 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0x5555555c, 0x55555556, 0x6, x3, 880, x4) - -inst_231: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x1, 0x55555556, -0x55555555, x3, 884, x4) - -inst_232: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaac, 0x55555556, 0x55555556, x3, 888, x4) - -inst_233: -// rs1_val==1431655766 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0x5555555a, 0x55555556, 0x4, x3, 892, x4) - -inst_234: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0x55560a59, 0x55555556, 0xb503, x3, 896, x4) - -inst_235: -// rs1_val==1431655766 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x55555556, 0x55555556, 0x0, x3, 900, x4) - -inst_236: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbbb, 0x55555556, 0x66666665, x3, 904, x4) - -inst_237: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x88888888, 0x55555556, 0x33333332, x3, 908, x4) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaaa, 0x55555556, 0x55555554, x3, 912, x4) - -inst_239: -// rs1_val==1431655766 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x55555558, 0x55555556, 0x2, x3, 916, x4) - -inst_240: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0x55560a5a, 0x55555556, 0xb504, x3, 920, x4) - -inst_241: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0x5554a052, 0x55555556, -0xb504, x3, 924, x4) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbbc, 0x55555556, 0x66666666, x3, 928, x4) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x88888889, 0x55555556, 0x33333333, x3, 932, x4) - -inst_244: -// rs1_val==1431655766 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0x5555555b, 0x55555556, 0x5, x3, 936, x4) - -inst_245: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x0, 0x55555556, -0x55555556, x3, 940, x4) - -inst_246: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaab, 0x55555556, 0x55555555, x3, 944, x4) - -inst_247: -// rs1_val==1431655766 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555556; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x55555559, 0x55555556, 0x3, x3, 948, x4) - -inst_248: -// rs1_val==4 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0xb509, 0x4, 0xb505, x3, 952, x4) - -inst_249: -// rs1_val==4 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0xffff4b01, 0x4, -0xb503, x3, 956, x4) - -inst_250: -// rs1_val==4 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x6666666b, 0x4, 0x66666667, x3, 960, x4) - -inst_251: -// rs1_val==4 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x33333338, 0x4, 0x33333334, x3, 964, x4) - -inst_252: -// rs1_val==4 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0xa, 0x4, 0x6, x3, 968, x4) - -inst_253: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x3, 972, x4) - -inst_254: -// rs1_val==4 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x5555555a, 0x4, 0x55555556, x3, 976, x4) - -inst_255: -// rs1_val==4 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0x8, 0x4, 0x4, x3, 980, x4) - -inst_256: -// rs1_val==4 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0xb507, 0x4, 0xb503, x3, 984, x4) - -inst_257: -// rs1_val==4 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x4, 0x4, 0x0, x3, 988, x4) - -inst_258: -// rs1_val==4 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x66666669, 0x4, 0x66666665, x3, 992, x4) - -inst_259: -// rs1_val==4 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x33333336, 0x4, 0x33333332, x3, 996, x4) - -inst_260: -// rs1_val==4 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0x55555558, 0x4, 0x55555554, x3, 1000, x4) - -inst_261: -// rs1_val==4 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x6, 0x4, 0x2, x3, 1004, x4) - -inst_262: -// rs1_val==4 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0xb508, 0x4, 0xb504, x3, 1008, x4) - -inst_263: -// rs1_val==4 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0xffff4b00, 0x4, -0xb504, x3, 1012, x4) - -inst_264: -// rs1_val==4 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x6666666a, 0x4, 0x66666666, x3, 1016, x4) - -inst_265: -// rs1_val==4 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x33333337, 0x4, 0x33333333, x3, 1020, x4) - -inst_266: -// rs1_val==4 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0x9, 0x4, 0x5, x3, 1024, x4) - -inst_267: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x3, 1028, x4) - -inst_268: -// rs1_val==4 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x55555559, 0x4, 0x55555555, x3, 1032, x4) - -inst_269: -// rs1_val==4 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x4; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x7, 0x4, 0x3, x3, 1036, x4) - -inst_270: -// rs1_val==46339 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0x16a08, 0xb503, 0xb505, x3, 1040, x4) - -inst_271: -// rs1_val==46339 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0x0, 0xb503, -0xb503, x3, 1044, x4) - -inst_272: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x66671b6a, 0xb503, 0x66666667, x3, 1048, x4) - -inst_273: -// rs1_val==46339 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x3333e837, 0xb503, 0x33333334, x3, 1052, x4) - -inst_274: -// rs1_val==46339 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0xb509, 0xb503, 0x6, x3, 1056, x4) - -inst_275: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaab5fae, 0xb503, -0x55555555, x3, 1060, x4) - -inst_276: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x55560a59, 0xb503, 0x55555556, x3, 1064, x4) - -inst_277: -// rs1_val==46339 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0xb507, 0xb503, 0x4, x3, 1068, x4) - -inst_278: -// rs1_val==46339 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0x16a06, 0xb503, 0xb503, x3, 1072, x4) - -inst_279: -// rs1_val==46339 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0xb503, 0xb503, 0x0, x3, 1076, x4) - -inst_280: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x66671b68, 0xb503, 0x66666665, x3, 1080, x4) - -inst_281: -// rs1_val==46339 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x3333e835, 0xb503, 0x33333332, x3, 1084, x4) - -inst_282: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0x55560a57, 0xb503, 0x55555554, x3, 1088, x4) - -inst_283: -// rs1_val==46339 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0xb505, 0xb503, 0x2, x3, 1092, x4) - -inst_284: -// rs1_val==46339 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0x16a07, 0xb503, 0xb504, x3, 1096, x4) - -inst_285: -// rs1_val==46339 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0xffffffff, 0xb503, -0xb504, x3, 1100, x4) - -inst_286: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x66671b69, 0xb503, 0x66666666, x3, 1104, x4) - -inst_287: -// rs1_val==46339 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x3333e836, 0xb503, 0x33333333, x3, 1108, x4) - -inst_288: -// rs1_val==46339 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0xb508, 0xb503, 0x5, x3, 1112, x4) - -inst_289: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xaaab5fad, 0xb503, -0x55555556, x3, 1116, x4) - -inst_290: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x55560a58, 0xb503, 0x55555555, x3, 1120, x4) - -inst_291: -// rs1_val==46339 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb503; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0xb506, 0xb503, 0x3, x3, 1124, x4) - -inst_292: -// rs1_val==0 and rs2_val==46341, rs1_val == 0 -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0xb505, 0x0, 0xb505, x3, 1128, x4) - -inst_293: -// rs1_val==0 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0xffff4afd, 0x0, -0xb503, x3, 1132, x4) - -inst_294: -// rs1_val==0 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x66666667, 0x0, 0x66666667, x3, 1136, x4) - -inst_295: -// rs1_val==0 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x33333334, 0x0, 0x33333334, x3, 1140, x4) - -inst_296: -// rs1_val==0 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0x6, 0x0, 0x6, x3, 1144, x4) - -inst_297: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x3, 1148, x4) - -inst_298: -// rs1_val==0 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x55555556, 0x0, 0x55555556, x3, 1152, x4) - -inst_299: -// rs1_val==0 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0x4, 0x0, 0x4, x3, 1156, x4) - -inst_300: -// rs1_val==0 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0xb503, 0x0, 0xb503, x3, 1160, x4) - -inst_301: -// rs1_val==0 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x0, 0x0, 0x0, x3, 1164, x4) - -inst_302: -// rs1_val==0 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x66666665, 0x0, 0x66666665, x3, 1168, x4) - -inst_303: -// rs1_val==0 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x33333332, 0x0, 0x33333332, x3, 1172, x4) - -inst_304: -// rs1_val==0 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0x55555554, 0x0, 0x55555554, x3, 1176, x4) - -inst_305: -// rs1_val==0 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x2, 0x0, 0x2, x3, 1180, x4) - -inst_306: -// rs1_val==0 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0xb504, 0x0, 0xb504, x3, 1184, x4) - -inst_307: -// rs1_val==0 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0xffff4afc, 0x0, -0xb504, x3, 1188, x4) - -inst_308: -// rs1_val==0 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x66666666, 0x0, 0x66666666, x3, 1192, x4) - -inst_309: -// rs1_val==0 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x33333333, 0x0, 0x33333333, x3, 1196, x4) - -inst_310: -// rs1_val==0 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0x5, 0x0, 0x5, x3, 1200, x4) - -inst_311: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x3, 1204, x4) - -inst_312: -// rs1_val==0 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x55555555, 0x0, 0x55555555, x3, 1208, x4) - -inst_313: -// rs1_val==0 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x0; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x3, 0x0, 0x3, x3, 1212, x4) - -inst_314: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0x66671b6a, 0x66666665, 0xb505, x3, 1216, x4) - -inst_315: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0x6665b162, 0x66666665, -0xb503, x3, 1220, x4) - -inst_316: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0xcccccccc, 0x66666665, 0x66666667, x3, 1224, x4) - -inst_317: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x99999999, 0x66666665, 0x33333334, x3, 1228, x4) - -inst_318: -// rs1_val==1717986917 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0x6666666b, 0x66666665, 0x6, x3, 1232, x4) - -inst_319: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x11111110, 0x66666665, -0x55555555, x3, 1236, x4) - -inst_320: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbbb, 0x66666665, 0x55555556, x3, 1240, x4) - -inst_321: -// rs1_val==1717986917 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0x66666669, 0x66666665, 0x4, x3, 1244, x4) - -inst_322: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0x66671b68, 0x66666665, 0xb503, x3, 1248, x4) - -inst_323: -// rs1_val==1717986917 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x66666665, 0x66666665, 0x0, x3, 1252, x4) - -inst_324: -// rs1_val==858993459 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x33333333, 0x33333333, 0x0, x3, 1256, x4) - -inst_325: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x99999998, 0x33333333, 0x66666665, x3, 1260, x4) - -inst_326: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x66666665, 0x33333333, 0x33333332, x3, 1264, x4) - -inst_327: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0x88888887, 0x33333333, 0x55555554, x3, 1268, x4) - -inst_328: -// rs1_val==858993459 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x33333335, 0x33333333, 0x2, x3, 1272, x4) - -inst_329: -// rs1_val==858993459 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0x3333e837, 0x33333333, 0xb504, x3, 1276, x4) - -inst_330: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0x33327e2f, 0x33333333, -0xb504, x3, 1280, x4) - -inst_331: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x99999999, 0x33333333, 0x66666666, x3, 1284, x4) - -inst_332: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x66666666, 0x33333333, 0x33333333, x3, 1288, x4) - -inst_333: -// rs1_val==858993459 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0x33333338, 0x33333333, 0x5, x3, 1292, x4) - -inst_334: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xdddddddd, 0x33333333, -0x55555556, x3, 1296, x4) - -inst_335: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x88888888, 0x33333333, 0x55555555, x3, 1300, x4) - -inst_336: -// rs1_val==858993459 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x33333336, 0x33333333, 0x3, x3, 1304, x4) - -inst_337: -// rs1_val==5 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0xb50a, 0x5, 0xb505, x3, 1308, x4) - -inst_338: -// rs1_val==5 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0xffff4b02, 0x5, -0xb503, x3, 1312, x4) - -inst_339: -// rs1_val==5 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x6666666c, 0x5, 0x66666667, x3, 1316, x4) - -inst_340: -// rs1_val==5 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x33333339, 0x5, 0x33333334, x3, 1320, x4) - -inst_341: -// rs1_val==5 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0xb, 0x5, 0x6, x3, 1324, x4) - -inst_342: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaab0, 0x5, -0x55555555, x3, 1328, x4) - -inst_343: -// rs1_val==5 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x5555555b, 0x5, 0x55555556, x3, 1332, x4) - -inst_344: -// rs1_val==5 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0x9, 0x5, 0x4, x3, 1336, x4) - -inst_345: -// rs1_val==5 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0xb508, 0x5, 0xb503, x3, 1340, x4) - -inst_346: -// rs1_val==5 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x5, 0x5, 0x0, x3, 1344, x4) - -inst_347: -// rs1_val==5 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x6666666a, 0x5, 0x66666665, x3, 1348, x4) - -inst_348: -// rs1_val==5 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x33333337, 0x5, 0x33333332, x3, 1352, x4) - -inst_349: -// rs1_val==5 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0x55555559, 0x5, 0x55555554, x3, 1356, x4) - -inst_350: -// rs1_val==5 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x7, 0x5, 0x2, x3, 1360, x4) - -inst_351: -// rs1_val==5 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0xb509, 0x5, 0xb504, x3, 1364, x4) - -inst_352: -// rs1_val==5 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0xffff4b01, 0x5, -0xb504, x3, 1368, x4) - -inst_353: -// rs1_val==5 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x6666666b, 0x5, 0x66666666, x3, 1372, x4) - -inst_354: -// rs1_val==5 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x33333338, 0x5, 0x33333333, x3, 1376, x4) - -inst_355: -// rs1_val==5 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0xa, 0x5, 0x5, x3, 1380, x4) - -inst_356: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x3, 1384, x4) - -inst_357: -// rs1_val==5 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x5555555a, 0x5, 0x55555555, x3, 1388, x4) - -inst_358: -// rs1_val==5 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x5; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x8, 0x5, 0x3, x3, 1392, x4) - -inst_359: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0xaaab5faf, -0x55555556, 0xb505, x3, 1396, x4) - -inst_360: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0xaaa9f5a7, -0x55555556, -0xb503, x3, 1400, x4) - -inst_361: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x11111111, -0x55555556, 0x66666667, x3, 1404, x4) - -inst_362: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0xddddddde, -0x55555556, 0x33333334, x3, 1408, x4) - -inst_363: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaab0, -0x55555556, 0x6, x3, 1412, x4) - -inst_364: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x55555555, -0x55555556, -0x55555555, x3, 1416, x4) - -inst_365: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x0, -0x55555556, 0x55555556, x3, 1420, x4) - -inst_366: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x3, 1424, x4) - -inst_367: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0xaaab5fad, -0x55555556, 0xb503, x3, 1428, x4) - -inst_368: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1432, x4) - -inst_369: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x1111110f, -0x55555556, 0x66666665, x3, 1436, x4) - -inst_370: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0xdddddddc, -0x55555556, 0x33333332, x3, 1440, x4) - -inst_371: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x3, 1444, x4) - -inst_372: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaac, -0x55555556, 0x2, x3, 1448, x4) - -inst_373: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0xaaab5fae, -0x55555556, 0xb504, x3, 1452, x4) - -inst_374: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0xaaa9f5a6, -0x55555556, -0xb504, x3, 1456, x4) - -inst_375: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x11111110, -0x55555556, 0x66666666, x3, 1460, x4) - -inst_376: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0xdddddddd, -0x55555556, 0x33333333, x3, 1464, x4) - -inst_377: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1468, x4) - -inst_378: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x55555554, -0x55555556, -0x55555556, x3, 1472, x4) - -inst_379: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x3, 1476, x4) - -inst_380: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaad, -0x55555556, 0x3, x3, 1480, x4) - -inst_381: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0x55560a5a, 0x55555555, 0xb505, x3, 1484, x4) - -inst_382: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0x5554a052, 0x55555555, -0xb503, x3, 1488, x4) - -inst_383: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbbc, 0x55555555, 0x66666667, x3, 1492, x4) - -inst_384: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x88888889, 0x55555555, 0x33333334, x3, 1496, x4) - -inst_385: -// rs1_val==1431655765 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0x5555555b, 0x55555555, 0x6, x3, 1500, x4) - -inst_386: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x0, 0x55555555, -0x55555555, x3, 1504, x4) - -inst_387: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaab, 0x55555555, 0x55555556, x3, 1508, x4) - -inst_388: -// rs1_val==1431655765 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0x55555559, 0x55555555, 0x4, x3, 1512, x4) - -inst_389: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0x55560a58, 0x55555555, 0xb503, x3, 1516, x4) - -inst_390: -// rs1_val==1431655765 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x55555555, 0x55555555, 0x0, x3, 1520, x4) - -inst_391: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbba, 0x55555555, 0x66666665, x3, 1524, x4) - -inst_392: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x88888887, 0x55555555, 0x33333332, x3, 1528, x4) - -inst_393: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaa9, 0x55555555, 0x55555554, x3, 1532, x4) - -inst_394: -// rs1_val==1431655765 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x55555557, 0x55555555, 0x2, x3, 1536, x4) - -inst_395: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0x55560a59, 0x55555555, 0xb504, x3, 1540, x4) - -inst_396: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0x5554a051, 0x55555555, -0xb504, x3, 1544, x4) - -inst_397: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbbb, 0x55555555, 0x66666666, x3, 1548, x4) - -inst_398: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x88888888, 0x55555555, 0x33333333, x3, 1552, x4) - -inst_399: -// rs1_val==1431655765 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0x5555555a, 0x55555555, 0x5, x3, 1556, x4) - -inst_400: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x3, 1560, x4) - -inst_401: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaaa, 0x55555555, 0x55555555, x3, 1564, x4) - -inst_402: -// rs1_val==1431655765 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555555; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x55555558, 0x55555555, 0x3, x3, 1568, x4) - -inst_403: -// rs1_val==3 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0xb508, 0x3, 0xb505, x3, 1572, x4) - -inst_404: -// rs1_val==3 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0xffff4b00, 0x3, -0xb503, x3, 1576, x4) - -inst_405: -// rs1_val==3 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x6666666a, 0x3, 0x66666667, x3, 1580, x4) - -inst_406: -// rs1_val==3 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x33333337, 0x3, 0x33333334, x3, 1584, x4) - -inst_407: -// rs1_val==3 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0x9, 0x3, 0x6, x3, 1588, x4) - -inst_408: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaae, 0x3, -0x55555555, x3, 1592, x4) - -inst_409: -// rs1_val==3 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x55555559, 0x3, 0x55555556, x3, 1596, x4) - -inst_410: -// rs1_val==3 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0x7, 0x3, 0x4, x3, 1600, x4) - -inst_411: -// rs1_val==3 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0xb506, 0x3, 0xb503, x3, 1604, x4) - -inst_412: -// rs1_val==3 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x3, 0x3, 0x0, x3, 1608, x4) - -inst_413: -// rs1_val==3 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x66666668, 0x3, 0x66666665, x3, 1612, x4) - -inst_414: -// rs1_val==3 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x33333335, 0x3, 0x33333332, x3, 1616, x4) - -inst_415: -// rs1_val==3 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0x55555557, 0x3, 0x55555554, x3, 1620, x4) - -inst_416: -// rs1_val==3 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x5, 0x3, 0x2, x3, 1624, x4) - -inst_417: -// rs1_val==3 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0xb507, 0x3, 0xb504, x3, 1628, x4) - -inst_418: -// rs1_val==3 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0xffff4aff, 0x3, -0xb504, x3, 1632, x4) - -inst_419: -// rs1_val==3 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x66666669, 0x3, 0x66666666, x3, 1636, x4) - -inst_420: -// rs1_val==3 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x33333336, 0x3, 0x33333333, x3, 1640, x4) - -inst_421: -// rs1_val==3 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0x8, 0x3, 0x5, x3, 1644, x4) - -inst_422: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaad, 0x3, -0x55555556, x3, 1648, x4) - -inst_423: -// rs1_val==3 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x55555558, 0x3, 0x55555555, x3, 1652, x4) - -inst_424: -// rs1_val==3 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x3; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x6, 0x3, 0x3, x3, 1656, x4) - -inst_425: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0xccccccca, 0x66666665, 0x66666665, x3, 1660, x4) - -inst_426: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x99999997, 0x66666665, 0x33333332, x3, 1664, x4) - -inst_427: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbb9, 0x66666665, 0x55555554, x3, 1668, x4) - -inst_428: -// rs1_val==1717986917 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x66666667, 0x66666665, 0x2, x3, 1672, x4) - -inst_429: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0x66671b69, 0x66666665, 0xb504, x3, 1676, x4) - -inst_430: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0x6665b161, 0x66666665, -0xb504, x3, 1680, x4) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0xcccccccb, 0x66666665, 0x66666666, x3, 1684, x4) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x99999998, 0x66666665, 0x33333333, x3, 1688, x4) - -inst_433: -// rs1_val==1717986917 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0x6666666a, 0x66666665, 0x5, x3, 1692, x4) - -inst_434: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x1111110f, 0x66666665, -0x55555556, x3, 1696, x4) - -inst_435: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbba, 0x66666665, 0x55555555, x3, 1700, x4) - -inst_436: -// rs1_val==1717986917 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666665; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x66666668, 0x66666665, 0x3, x3, 1704, x4) - -inst_437: -// rs1_val==858993458 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0x3333e837, 0x33333332, 0xb505, x3, 1708, x4) - -inst_438: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0x33327e2f, 0x33333332, -0xb503, x3, 1712, x4) - -inst_439: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x99999999, 0x33333332, 0x66666667, x3, 1716, x4) - -inst_440: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x66666666, 0x33333332, 0x33333334, x3, 1720, x4) - -inst_441: -// rs1_val==858993458 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0x33333338, 0x33333332, 0x6, x3, 1724, x4) - -inst_442: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xdddddddd, 0x33333332, -0x55555555, x3, 1728, x4) - -inst_443: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x88888888, 0x33333332, 0x55555556, x3, 1732, x4) - -inst_444: -// rs1_val==858993458 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0x33333336, 0x33333332, 0x4, x3, 1736, x4) - -inst_445: -// rs1_val==858993458 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0x3333e835, 0x33333332, 0xb503, x3, 1740, x4) - -inst_446: -// rs1_val==858993458 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x33333332, 0x33333332, 0x0, x3, 1744, x4) - -inst_447: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x99999997, 0x33333332, 0x66666665, x3, 1748, x4) - -inst_448: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x66666664, 0x33333332, 0x33333332, x3, 1752, x4) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0x88888886, 0x33333332, 0x55555554, x3, 1756, x4) - -inst_450: -// rs1_val==858993458 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x33333334, 0x33333332, 0x2, x3, 1760, x4) - -inst_451: -// rs1_val==858993458 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0x3333e836, 0x33333332, 0xb504, x3, 1764, x4) - -inst_452: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0x33327e2e, 0x33333332, -0xb504, x3, 1768, x4) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x99999998, 0x33333332, 0x66666666, x3, 1772, x4) - -inst_454: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x66666665, 0x33333332, 0x33333333, x3, 1776, x4) - -inst_455: -// rs1_val==858993458 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0x33333337, 0x33333332, 0x5, x3, 1780, x4) - -inst_456: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xdddddddc, 0x33333332, -0x55555556, x3, 1784, x4) - -inst_457: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x88888887, 0x33333332, 0x55555555, x3, 1788, x4) - -inst_458: -// rs1_val==858993458 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333332; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x33333335, 0x33333332, 0x3, x3, 1792, x4) - -inst_459: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0x55560a59, 0x55555554, 0xb505, x3, 1796, x4) - -inst_460: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0x5554a051, 0x55555554, -0xb503, x3, 1800, x4) - -inst_461: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbbb, 0x55555554, 0x66666667, x3, 1804, x4) - -inst_462: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x88888888, 0x55555554, 0x33333334, x3, 1808, x4) - -inst_463: -// rs1_val==1431655764 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0x5555555a, 0x55555554, 0x6, x3, 1812, x4) - -inst_464: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x3, 1816, x4) - -inst_465: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaaa, 0x55555554, 0x55555556, x3, 1820, x4) - -inst_466: -// rs1_val==1431655764 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0x55555558, 0x55555554, 0x4, x3, 1824, x4) - -inst_467: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0x55560a57, 0x55555554, 0xb503, x3, 1828, x4) - -inst_468: -// rs1_val==1431655764 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x55555554, 0x55555554, 0x0, x3, 1832, x4) - -inst_469: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbb9, 0x55555554, 0x66666665, x3, 1836, x4) - -inst_470: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x88888886, 0x55555554, 0x33333332, x3, 1840, x4) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaa8, 0x55555554, 0x55555554, x3, 1844, x4) - -inst_472: -// rs1_val==1431655764 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x55555556, 0x55555554, 0x2, x3, 1848, x4) - -inst_473: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0x55560a58, 0x55555554, 0xb504, x3, 1852, x4) - -inst_474: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0x5554a050, 0x55555554, -0xb504, x3, 1856, x4) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbba, 0x55555554, 0x66666666, x3, 1860, x4) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x88888887, 0x55555554, 0x33333333, x3, 1864, x4) - -inst_477: -// rs1_val==1431655764 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0x55555559, 0x55555554, 0x5, x3, 1868, x4) - -inst_478: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x3, 1872, x4) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaa9, 0x55555554, 0x55555555, x3, 1876, x4) - -inst_480: -// rs1_val==1431655764 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x55555554; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x55555557, 0x55555554, 0x3, x3, 1880, x4) - -inst_481: -// rs1_val==2 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0xb507, 0x2, 0xb505, x3, 1884, x4) - -inst_482: -// rs1_val==2 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0xffff4aff, 0x2, -0xb503, x3, 1888, x4) - -inst_483: -// rs1_val==2 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x66666669, 0x2, 0x66666667, x3, 1892, x4) - -inst_484: -// rs1_val==2 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x33333336, 0x2, 0x33333334, x3, 1896, x4) - -inst_485: -// rs1_val==2 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0x8, 0x2, 0x6, x3, 1900, x4) - -inst_486: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaad, 0x2, -0x55555555, x3, 1904, x4) - -inst_487: -// rs1_val==2 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x55555558, 0x2, 0x55555556, x3, 1908, x4) - -inst_488: -// rs1_val==2 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0x6, 0x2, 0x4, x3, 1912, x4) - -inst_489: -// rs1_val==2 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0xb505, 0x2, 0xb503, x3, 1916, x4) - -inst_490: -// rs1_val==2 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x2, 0x2, 0x0, x3, 1920, x4) - -inst_491: -// rs1_val==2 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x66666667, 0x2, 0x66666665, x3, 1924, x4) - -inst_492: -// rs1_val==2 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x33333334, 0x2, 0x33333332, x3, 1928, x4) - -inst_493: -// rs1_val==2 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0x55555556, 0x2, 0x55555554, x3, 1932, x4) - -inst_494: -// rs1_val==2 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x4, 0x2, 0x2, x3, 1936, x4) - -inst_495: -// rs1_val==2 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0xb506, 0x2, 0xb504, x3, 1940, x4) - -inst_496: -// rs1_val==2 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0xffff4afe, 0x2, -0xb504, x3, 1944, x4) - -inst_497: -// rs1_val==2 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x66666668, 0x2, 0x66666666, x3, 1948, x4) - -inst_498: -// rs1_val==2 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x33333335, 0x2, 0x33333333, x3, 1952, x4) - -inst_499: -// rs1_val==2 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0x7, 0x2, 0x5, x3, 1956, x4) - -inst_500: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xaaaaaaac, 0x2, -0x55555556, x3, 1960, x4) - -inst_501: -// rs1_val==2 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x55555557, 0x2, 0x55555555, x3, 1964, x4) - -inst_502: -// rs1_val==2 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x2; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x5, 0x2, 0x3, x3, 1968, x4) - -inst_503: -// rs1_val==46340 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0x16a09, 0xb504, 0xb505, x3, 1972, x4) - -inst_504: -// rs1_val==46340 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0x1, 0xb504, -0xb503, x3, 1976, x4) - -inst_505: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x66671b6b, 0xb504, 0x66666667, x3, 1980, x4) - -inst_506: -// rs1_val==46340 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x3333e838, 0xb504, 0x33333334, x3, 1984, x4) - -inst_507: -// rs1_val==46340 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0xb50a, 0xb504, 0x6, x3, 1988, x4) - -inst_508: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaab5faf, 0xb504, -0x55555555, x3, 1992, x4) - -inst_509: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x55560a5a, 0xb504, 0x55555556, x3, 1996, x4) - -inst_510: -// rs1_val==46340 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0xb508, 0xb504, 0x4, x3, 2000, x4) - -inst_511: -// rs1_val==46340 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0x16a07, 0xb504, 0xb503, x3, 2004, x4) - -inst_512: -// rs1_val==46340 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0xb504, 0xb504, 0x0, x3, 2008, x4) - -inst_513: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x66671b69, 0xb504, 0x66666665, x3, 2012, x4) - -inst_514: -// rs1_val==46340 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x3333e836, 0xb504, 0x33333332, x3, 2016, x4) - -inst_515: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0x55560a58, 0xb504, 0x55555554, x3, 2020, x4) - -inst_516: -// rs1_val==46340 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0xb506, 0xb504, 0x2, x3, 2024, x4) - -inst_517: -// rs1_val==46340 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0x16a08, 0xb504, 0xb504, x3, 2028, x4) - -inst_518: -// rs1_val==46340 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0x0, 0xb504, -0xb504, x3, 2032, x4) - -inst_519: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x66671b6a, 0xb504, 0x66666666, x3, 2036, x4) - -inst_520: -// rs1_val==46340 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x3333e837, 0xb504, 0x33333333, x3, 2040, x4) - -inst_521: -// rs1_val==46340 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0xb509, 0xb504, 0x5, x3, 2044, x4) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_522: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xaaab5fae, 0xb504, -0x55555556, x3, 0, x4) - -inst_523: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x55560a59, 0xb504, 0x55555555, x3, 4, x4) - -inst_524: -// rs1_val==46340 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0xb504; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0xb507, 0xb504, 0x3, x3, 8, x4) - -inst_525: -// rs1_val==-46340 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0x1, -0xb504, 0xb505, x3, 12, x4) - -inst_526: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0xfffe95f9, -0xb504, -0xb503, x3, 16, x4) - -inst_527: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x6665b163, -0xb504, 0x66666667, x3, 20, x4) - -inst_528: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x33327e30, -0xb504, 0x33333334, x3, 24, x4) - -inst_529: -// rs1_val==-46340 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0xffff4b02, -0xb504, 0x6, x3, 28, x4) - -inst_530: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xaaa9f5a7, -0xb504, -0x55555555, x3, 32, x4) - -inst_531: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x5554a052, -0xb504, 0x55555556, x3, 36, x4) - -inst_532: -// rs1_val==-46340 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0xffff4b00, -0xb504, 0x4, x3, 40, x4) - -inst_533: -// rs1_val==-46340 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0xffffffff, -0xb504, 0xb503, x3, 44, x4) - -inst_534: -// rs1_val==-46340 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0xffff4afc, -0xb504, 0x0, x3, 48, x4) - -inst_535: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0x6665b161, -0xb504, 0x66666665, x3, 52, x4) - -inst_536: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x33327e2e, -0xb504, 0x33333332, x3, 56, x4) - -inst_537: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0x5554a050, -0xb504, 0x55555554, x3, 60, x4) - -inst_538: -// rs1_val==-46340 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0xffff4afe, -0xb504, 0x2, x3, 64, x4) - -inst_539: -// rs1_val==-46340 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0x0, -0xb504, 0xb504, x3, 68, x4) - -inst_540: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0xfffe95f8, -0xb504, -0xb504, x3, 72, x4) - -inst_541: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0x6665b162, -0xb504, 0x66666666, x3, 76, x4) - -inst_542: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x33327e2f, -0xb504, 0x33333333, x3, 80, x4) - -inst_543: -// rs1_val==-46340 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0xffff4b01, -0xb504, 0x5, x3, 84, x4) - -inst_544: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xaaa9f5a6, -0xb504, -0x55555556, x3, 88, x4) - -inst_545: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x5554a051, -0xb504, 0x55555555, x3, 92, x4) - -inst_546: -// rs1_val==-46340 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:-0xb504; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0xffff4aff, -0xb504, 0x3, x3, 96, x4) - -inst_547: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0x66671b6b, 0x66666666, 0xb505, x3, 100, x4) - -inst_548: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0x6665b163, 0x66666666, -0xb503, x3, 104, x4) - -inst_549: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0xcccccccd, 0x66666666, 0x66666667, x3, 108, x4) - -inst_550: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x9999999a, 0x66666666, 0x33333334, x3, 112, x4) - -inst_551: -// rs1_val==1717986918 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0x6666666c, 0x66666666, 0x6, x3, 116, x4) - -inst_552: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0x11111111, 0x66666666, -0x55555555, x3, 120, x4) - -inst_553: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbbc, 0x66666666, 0x55555556, x3, 124, x4) - -inst_554: -// rs1_val==1717986918 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0x6666666a, 0x66666666, 0x4, x3, 128, x4) - -inst_555: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0x66671b69, 0x66666666, 0xb503, x3, 132, x4) - -inst_556: -// rs1_val==1717986918 and rs2_val==0, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x0 -TEST_CR_OP( c.add, x10, x11, 0x66666666, 0x66666666, 0x0, x3, 136, x4) - -inst_557: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665 -TEST_CR_OP( c.add, x10, x11, 0xcccccccb, 0x66666666, 0x66666665, x3, 140, x4) - -inst_558: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332 -TEST_CR_OP( c.add, x10, x11, 0x99999998, 0x66666666, 0x33333332, x3, 144, x4) - -inst_559: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbba, 0x66666666, 0x55555554, x3, 148, x4) - -inst_560: -// rs1_val==1717986918 and rs2_val==2, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x2 -TEST_CR_OP( c.add, x10, x11, 0x66666668, 0x66666666, 0x2, x3, 152, x4) - -inst_561: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504 -TEST_CR_OP( c.add, x10, x11, 0x66671b6a, 0x66666666, 0xb504, x3, 156, x4) - -inst_562: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504 -TEST_CR_OP( c.add, x10, x11, 0x6665b162, 0x66666666, -0xb504, x3, 160, x4) - -inst_563: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666 -TEST_CR_OP( c.add, x10, x11, 0xcccccccc, 0x66666666, 0x66666666, x3, 164, x4) - -inst_564: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333 -TEST_CR_OP( c.add, x10, x11, 0x99999999, 0x66666666, 0x33333333, x3, 168, x4) - -inst_565: -// rs1_val==1717986918 and rs2_val==5, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x5 -TEST_CR_OP( c.add, x10, x11, 0x6666666b, 0x66666666, 0x5, x3, 172, x4) - -inst_566: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x11111110, 0x66666666, -0x55555556, x3, 176, x4) - -inst_567: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xbbbbbbbb, 0x66666666, 0x55555555, x3, 180, x4) - -inst_568: -// rs1_val==1717986918 and rs2_val==3, -// opcode: c.add; op1:x10; op2:x11; op1val:0x66666666; op2val:0x3 -TEST_CR_OP( c.add, x10, x11, 0x66666669, 0x66666666, 0x3, x3, 184, x4) - -inst_569: -// rs1_val==858993459 and rs2_val==46341, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505 -TEST_CR_OP( c.add, x10, x11, 0x3333e838, 0x33333333, 0xb505, x3, 188, x4) - -inst_570: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503 -TEST_CR_OP( c.add, x10, x11, 0x33327e30, 0x33333333, -0xb503, x3, 192, x4) - -inst_571: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667 -TEST_CR_OP( c.add, x10, x11, 0x9999999a, 0x33333333, 0x66666667, x3, 196, x4) - -inst_572: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334 -TEST_CR_OP( c.add, x10, x11, 0x66666667, 0x33333333, 0x33333334, x3, 200, x4) - -inst_573: -// rs1_val==858993459 and rs2_val==6, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x6 -TEST_CR_OP( c.add, x10, x11, 0x33333339, 0x33333333, 0x6, x3, 204, x4) - -inst_574: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555 -TEST_CR_OP( c.add, x10, x11, 0xddddddde, 0x33333333, -0x55555555, x3, 208, x4) - -inst_575: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556 -TEST_CR_OP( c.add, x10, x11, 0x88888889, 0x33333333, 0x55555556, x3, 212, x4) - -inst_576: -// rs1_val==858993459 and rs2_val==4, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0x4 -TEST_CR_OP( c.add, x10, x11, 0x33333337, 0x33333333, 0x4, x3, 216, x4) - -inst_577: -// rs1_val==858993459 and rs2_val==46339, -// opcode: c.add; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503 -TEST_CR_OP( c.add, x10, x11, 0x3333e836, 0x33333333, 0xb503, x3, 220, x4) - -inst_578: -// rs2_val == 2147483647, rs1_val == 8192, rs2_val == (2**(xlen-1)-1) -// opcode: c.add; op1:x10; op2:x11; op1val:0x2000; op2val:0x7fffffff -TEST_CR_OP( c.add, x10, x11, 0x80001fff, 0x2000, 0x7fffffff, x3, 224, x4) - -inst_579: -// rs2_val == -16777217, rs1_val == -32769 -// opcode: c.add; op1:x10; op2:x11; op1val:-0x8001; op2val:-0x1000001 -TEST_CR_OP( c.add, x10, x11, 0xfeff7ffe, -0x8001, -0x1000001, x3, 228, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 58*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/caddi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/caddi-01.S deleted file mode 100644 index e66b5b4e7..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/caddi-01.S +++ /dev/null @@ -1,1950 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.addi instruction of the RISC-V C extension for the caddi covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",caddi) - -RVTEST_SIGBASE( x10,signature_x10_1) - -inst_0: -// rd==x13, imm_val == (-2**(6-1)), rs1_val != imm_val, rs1_val > 0 and imm_val < 0, imm_val == -32, rs1_val == 8388608 -// opcode:c.addi; op1:x13; dest:x13 op1val:0x800000; immval:-0x20 -TEST_CI_OP( c.addi, x13, 0x7fffe0, 0x800000, -0x20, x10, 0, x8) - -inst_1: -// rd==x2, rs1_val == 2147483647, imm_val == 4, rs1_val == (2**(xlen-1)-1), rs1_val > 0 and imm_val > 0 -// opcode:c.addi; op1:x2; dest:x2 op1val:0x7fffffff; immval:0x4 -TEST_CI_OP( c.addi, x2, 0x80000003, 0x7fffffff, 0x4, x10, 4, x8) - -inst_2: -// rd==x4, rs1_val == -1073741825, rs1_val < 0 and imm_val < 0 -// opcode:c.addi; op1:x4; dest:x4 op1val:-0x40000001; immval:-0x1 -TEST_CI_OP( c.addi, x4, 0xbffffffe, -0x40000001, -0x1, x10, 8, x8) - -inst_3: -// rd==x7, rs1_val == -536870913, imm_val == 8, rs1_val < 0 and imm_val > 0 -// opcode:c.addi; op1:x7; dest:x7 op1val:-0x20000001; immval:0x8 -TEST_CI_OP( c.addi, x7, 0xe0000007, -0x20000001, 0x8, x10, 12, x8) - -inst_4: -// rd==x11, rs1_val == -268435457, -// opcode:c.addi; op1:x11; dest:x11 op1val:-0x10000001; immval:0x4 -TEST_CI_OP( c.addi, x11, 0xf0000003, -0x10000001, 0x4, x10, 16, x8) - -inst_5: -// rd==x6, rs1_val == -134217729, -// opcode:c.addi; op1:x6; dest:x6 op1val:-0x8000001; immval:0x5 -TEST_CI_OP( c.addi, x6, 0xf8000004, -0x8000001, 0x5, x10, 20, x8) - -inst_6: -// rd==x9, rs1_val == -67108865, -// opcode:c.addi; op1:x9; dest:x9 op1val:-0x4000001; immval:0x4 -TEST_CI_OP( c.addi, x9, 0xfc000003, -0x4000001, 0x4, x10, 24, x8) - -inst_7: -// rd==x5, rs1_val == -33554433, imm_val == -5 -// opcode:c.addi; op1:x5; dest:x5 op1val:-0x2000001; immval:-0x5 -TEST_CI_OP( c.addi, x5, 0xfdfffffa, -0x2000001, -0x5, x10, 28, x8) - -inst_8: -// rd==x12, rs1_val == -16777217, imm_val == 16 -// opcode:c.addi; op1:x12; dest:x12 op1val:-0x1000001; immval:0x10 -TEST_CI_OP( c.addi, x12, 0xff00000f, -0x1000001, 0x10, x10, 32, x8) - -inst_9: -// rd==x3, rs1_val == -8388609, -// opcode:c.addi; op1:x3; dest:x3 op1val:-0x800001; immval:-0x5 -TEST_CI_OP( c.addi, x3, 0xff7ffffa, -0x800001, -0x5, x10, 36, x8) - -inst_10: -// rd==x1, rs1_val == -4194305, -// opcode:c.addi; op1:x1; dest:x1 op1val:-0x400001; immval:-0x20 -TEST_CI_OP( c.addi, x1, 0xffbfffdf, -0x400001, -0x20, x10, 40, x8) - -inst_11: -// rd==x8, rs1_val == -2097153, imm_val == -2 -// opcode:c.addi; op1:x8; dest:x8 op1val:-0x200001; immval:-0x2 -TEST_CI_OP( c.addi, x8, 0xffdffffd, -0x200001, -0x2, x10, 44, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_12: -// rd==x15, rs1_val == -1048577, -// opcode:c.addi; op1:x15; dest:x15 op1val:-0x100001; immval:-0x2 -TEST_CI_OP( c.addi, x15, 0xffeffffd, -0x100001, -0x2, x1, 0, x2) - -inst_13: -// rd==x14, rs1_val == -524289, -// opcode:c.addi; op1:x14; dest:x14 op1val:-0x80001; immval:0x5 -TEST_CI_OP( c.addi, x14, 0xfff80004, -0x80001, 0x5, x1, 4, x2) - -inst_14: -// rd==x10, rs1_val == -262145, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x40001; immval:0x5 -TEST_CI_OP( c.addi, x10, 0xfffc0004, -0x40001, 0x5, x1, 8, x2) - -inst_15: -// rs1_val == -131073, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x20001; immval:0x4 -TEST_CI_OP( c.addi, x10, 0xfffe0003, -0x20001, 0x4, x1, 12, x2) - -inst_16: -// rs1_val == -65537, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x10001; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0xfffefffa, -0x10001, -0x5, x1, 16, x2) - -inst_17: -// rs1_val == -32769, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x8001; immval:-0x8 -TEST_CI_OP( c.addi, x10, 0xffff7ff7, -0x8001, -0x8, x1, 20, x2) - -inst_18: -// rs1_val == -16385, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x4001; immval:0x6 -TEST_CI_OP( c.addi, x10, 0xffffc005, -0x4001, 0x6, x1, 24, x2) - -inst_19: -// rs1_val == -8193, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x2001; immval:0x3 -TEST_CI_OP( c.addi, x10, 0xffffe002, -0x2001, 0x3, x1, 28, x2) - -inst_20: -// rs1_val == -4097, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x1001; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0xffffeffd, -0x1001, -0x2, x1, 32, x2) - -inst_21: -// rs1_val == -2049, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x801; immval:-0x20 -TEST_CI_OP( c.addi, x10, 0xfffff7df, -0x801, -0x20, x1, 36, x2) - -inst_22: -// rs1_val == -1025, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x401; immval:0x4 -TEST_CI_OP( c.addi, x10, 0xfffffc03, -0x401, 0x4, x1, 40, x2) - -inst_23: -// rs1_val == -513, imm_val == -17 -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x201; immval:-0x11 -TEST_CI_OP( c.addi, x10, 0xfffffdee, -0x201, -0x11, x1, 44, x2) - -inst_24: -// rs1_val == -257, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x101; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0xfffffefe, -0x101, -0x1, x1, 48, x2) - -inst_25: -// rs1_val == -129, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x81; immval:0x3 -TEST_CI_OP( c.addi, x10, 0xffffff82, -0x81, 0x3, x1, 52, x2) - -inst_26: -// rs1_val == -65, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x41; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0xffffffba, -0x41, -0x5, x1, 56, x2) - -inst_27: -// rs1_val == -33, imm_val == -22 -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x21; immval:-0x16 -TEST_CI_OP( c.addi, x10, 0xffffffc9, -0x21, -0x16, x1, 60, x2) - -inst_28: -// rs1_val == -17, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x11; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0xffffffeb, -0x11, -0x4, x1, 64, x2) - -inst_29: -// rs1_val == -9, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x9; immval:-0x10 -TEST_CI_OP( c.addi, x10, 0xffffffe7, -0x9, -0x10, x1, 68, x2) - -inst_30: -// rs1_val == -5, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x5; immval:-0x10 -TEST_CI_OP( c.addi, x10, 0xffffffeb, -0x5, -0x10, x1, 72, x2) - -inst_31: -// rs1_val == -3, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x3; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x1, -0x3, 0x4, x1, 76, x2) - -inst_32: -// rs1_val == -2, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x2; immval:0x7 -TEST_CI_OP( c.addi, x10, 0x5, -0x2, 0x7, x1, 80, x2) - -inst_33: -// imm_val == 31, imm_val == (2**(6-1)-1) -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x3; immval:0x1f -TEST_CI_OP( c.addi, x10, 0x1c, -0x3, 0x1f, x1, 84, x2) - -inst_34: -// imm_val == -9, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x10000001; immval:-0x9 -TEST_CI_OP( c.addi, x10, 0xeffffff6, -0x10000001, -0x9, x1, 88, x2) - -inst_35: -// imm_val == -3, rs1_val == 524288 -// opcode:c.addi; op1:x10; dest:x10 op1val:0x80000; immval:-0x3 -TEST_CI_OP( c.addi, x10, 0x7fffd, 0x80000, -0x3, x1, 92, x2) - -inst_36: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x80000000; immval:0x9 -TEST_CI_OP( c.addi, x10, 0x80000009, -0x80000000, 0x9, x1, 96, x2) - -inst_37: -// rs1_val == 1073741824, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x40000000; immval:-0x16 -TEST_CI_OP( c.addi, x10, 0x3fffffea, 0x40000000, -0x16, x1, 100, x2) - -inst_38: -// rs1_val == 536870912, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x20000000; immval:0x10 -TEST_CI_OP( c.addi, x10, 0x20000010, 0x20000000, 0x10, x1, 104, x2) - -inst_39: -// rs1_val == 268435456, imm_val == 0 -// opcode:c.addi; op1:x10; dest:x10 op1val:0x10000000; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x10000000, 0x10000000, 0x0, x1, 108, x2) - -inst_40: -// rs1_val == 134217728, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x8000000; immval:0x10 -TEST_CI_OP( c.addi, x10, 0x8000010, 0x8000000, 0x10, x1, 112, x2) - -inst_41: -// rs1_val == 67108864, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4000000; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x4000005, 0x4000000, 0x5, x1, 116, x2) - -inst_42: -// rs1_val == 33554432, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2000000; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x2000006, 0x2000000, 0x6, x1, 120, x2) - -inst_43: -// rs1_val == 16777216, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x1000000; immval:0x8 -TEST_CI_OP( c.addi, x10, 0x1000008, 0x1000000, 0x8, x1, 124, x2) - -inst_44: -// rs1_val == 4194304, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x400000; immval:0xf -TEST_CI_OP( c.addi, x10, 0x40000f, 0x400000, 0xf, x1, 128, x2) - -inst_45: -// rs1_val == 2097152, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x200000; immval:0x9 -TEST_CI_OP( c.addi, x10, 0x200009, 0x200000, 0x9, x1, 132, x2) - -inst_46: -// rs1_val == 1048576, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x100000; immval:0x9 -TEST_CI_OP( c.addi, x10, 0x100009, 0x100000, 0x9, x1, 136, x2) - -inst_47: -// rs1_val == 262144, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x40000; immval:0xf -TEST_CI_OP( c.addi, x10, 0x4000f, 0x40000, 0xf, x1, 140, x2) - -inst_48: -// rs1_val == 131072, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x20000; immval:-0x16 -TEST_CI_OP( c.addi, x10, 0x1ffea, 0x20000, -0x16, x1, 144, x2) - -inst_49: -// rs1_val == 65536, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x10000; immval:-0x10 -TEST_CI_OP( c.addi, x10, 0xfff0, 0x10000, -0x10, x1, 148, x2) - -inst_50: -// rs1_val == 32768, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x8000; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x8006, 0x8000, 0x6, x1, 152, x2) - -inst_51: -// rs1_val == 16384, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4000; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x4005, 0x4000, 0x5, x1, 156, x2) - -inst_52: -// rs1_val == 8192, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2000; immval:0x7 -TEST_CI_OP( c.addi, x10, 0x2007, 0x2000, 0x7, x1, 160, x2) - -inst_53: -// rs1_val == 4096, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x1000; immval:-0x7 -TEST_CI_OP( c.addi, x10, 0xff9, 0x1000, -0x7, x1, 164, x2) - -inst_54: -// rs1_val == 2048, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x800; immval:-0xa -TEST_CI_OP( c.addi, x10, 0x7f6, 0x800, -0xa, x1, 168, x2) - -inst_55: -// rs1_val == 1024, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x400; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x3fe, 0x400, -0x2, x1, 172, x2) - -inst_56: -// rs1_val == 512, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x200; immval:-0x11 -TEST_CI_OP( c.addi, x10, 0x1ef, 0x200, -0x11, x1, 176, x2) - -inst_57: -// rs1_val == 256, imm_val == 1 -// opcode:c.addi; op1:x10; dest:x10 op1val:0x100; immval:0x1 -TEST_CI_OP( c.addi, x10, 0x101, 0x100, 0x1, x1, 180, x2) - -inst_58: -// rs1_val == 128, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x80; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x84, 0x80, 0x4, x1, 184, x2) - -inst_59: -// rs1_val == 64, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x40; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x46, 0x40, 0x6, x1, 188, x2) - -inst_60: -// rs1_val == 32, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x20; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x24, 0x20, 0x4, x1, 192, x2) - -inst_61: -// rs1_val == 16, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x10; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x13, 0x10, 0x3, x1, 196, x2) - -inst_62: -// rs1_val == 8, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x8; immval:0x4 -TEST_CI_OP( c.addi, x10, 0xc, 0x8, 0x4, x1, 200, x2) - -inst_63: -// rs1_val == 4, rs1_val==4 and imm_val==0 -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x4, 0x4, 0x0, x1, 204, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 and imm_val==-1 -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0x1, 0x2, -0x1, x1, 208, x2) - -inst_65: -// rs1_val == 1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x1; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x5, 0x1, 0x4, x1, 212, x2) - -inst_66: -// imm_val == 2, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x1; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x1, -0x1, 0x2, x1, 216, x2) - -inst_67: -// rs1_val==46341 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb505; immval:0x6 -TEST_CI_OP( c.addi, x10, 0xb50b, 0xb505, 0x6, x1, 220, x2) - -inst_68: -// rs1_val==46341 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb505; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0xb501, 0xb505, -0x4, x1, 224, x2) - -inst_69: -// rs1_val==46341 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb505; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0xb504, 0xb505, -0x1, x1, 228, x2) - -inst_70: -// rs1_val==46341 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb505; immval:0x7 -TEST_CI_OP( c.addi, x10, 0xb50c, 0xb505, 0x7, x1, 232, x2) - -inst_71: -// rs1_val==46341 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb505; immval:0x4 -TEST_CI_OP( c.addi, x10, 0xb509, 0xb505, 0x4, x1, 236, x2) - -inst_72: -// rs1_val==46341 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb505; immval:0xb -TEST_CI_OP( c.addi, x10, 0xb510, 0xb505, 0xb, x1, 240, x2) - -inst_73: -// rs1_val==46341 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb505; immval:0x0 -TEST_CI_OP( c.addi, x10, 0xb505, 0xb505, 0x0, x1, 244, x2) - -inst_74: -// rs1_val==46341 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb505; immval:0x5 -TEST_CI_OP( c.addi, x10, 0xb50a, 0xb505, 0x5, x1, 248, x2) - -inst_75: -// rs1_val==46341 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb505; immval:0x2 -TEST_CI_OP( c.addi, x10, 0xb507, 0xb505, 0x2, x1, 252, x2) - -inst_76: -// rs1_val==46341 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb505; immval:0x9 -TEST_CI_OP( c.addi, x10, 0xb50e, 0xb505, 0x9, x1, 256, x2) - -inst_77: -// rs1_val==46341 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb505; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0xb500, 0xb505, -0x5, x1, 260, x2) - -inst_78: -// rs1_val==46341 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb505; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0xb503, 0xb505, -0x2, x1, 264, x2) - -inst_79: -// rs1_val==46341 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb505; immval:0x3 -TEST_CI_OP( c.addi, x10, 0xb508, 0xb505, 0x3, x1, 268, x2) - -inst_80: -// rs1_val==46341 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb505; immval:0xa -TEST_CI_OP( c.addi, x10, 0xb50f, 0xb505, 0xa, x1, 272, x2) - -inst_81: -// rs1_val==-46339 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb503; immval:0x6 -TEST_CI_OP( c.addi, x10, 0xffff4b03, -0xb503, 0x6, x1, 276, x2) - -inst_82: -// rs1_val==-46339 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb503; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0xffff4af9, -0xb503, -0x4, x1, 280, x2) - -inst_83: -// rs1_val==-46339 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb503; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0xffff4afc, -0xb503, -0x1, x1, 284, x2) - -inst_84: -// rs1_val==-46339 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb503; immval:0x7 -TEST_CI_OP( c.addi, x10, 0xffff4b04, -0xb503, 0x7, x1, 288, x2) - -inst_85: -// rs1_val==-46339 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb503; immval:0x4 -TEST_CI_OP( c.addi, x10, 0xffff4b01, -0xb503, 0x4, x1, 292, x2) - -inst_86: -// rs1_val==-46339 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb503; immval:0xb -TEST_CI_OP( c.addi, x10, 0xffff4b08, -0xb503, 0xb, x1, 296, x2) - -inst_87: -// rs1_val==-46339 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb503; immval:0x0 -TEST_CI_OP( c.addi, x10, 0xffff4afd, -0xb503, 0x0, x1, 300, x2) - -inst_88: -// rs1_val==-46339 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb503; immval:0x5 -TEST_CI_OP( c.addi, x10, 0xffff4b02, -0xb503, 0x5, x1, 304, x2) - -inst_89: -// rs1_val==-46339 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb503; immval:0x2 -TEST_CI_OP( c.addi, x10, 0xffff4aff, -0xb503, 0x2, x1, 308, x2) - -inst_90: -// rs1_val==-46339 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb503; immval:0x9 -TEST_CI_OP( c.addi, x10, 0xffff4b06, -0xb503, 0x9, x1, 312, x2) - -inst_91: -// rs1_val==-46339 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb503; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0xffff4af8, -0xb503, -0x5, x1, 316, x2) - -inst_92: -// rs1_val==-46339 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb503; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0xffff4afb, -0xb503, -0x2, x1, 320, x2) - -inst_93: -// rs1_val==-46339 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb503; immval:0x3 -TEST_CI_OP( c.addi, x10, 0xffff4b00, -0xb503, 0x3, x1, 324, x2) - -inst_94: -// rs1_val==-46339 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb503; immval:0xa -TEST_CI_OP( c.addi, x10, 0xffff4b07, -0xb503, 0xa, x1, 328, x2) - -inst_95: -// rs1_val==1717986919 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666667; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x6666666d, 0x66666667, 0x6, x1, 332, x2) - -inst_96: -// rs1_val==1717986919 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666667; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0x66666663, 0x66666667, -0x4, x1, 336, x2) - -inst_97: -// rs1_val==1717986919 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666667; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0x66666666, 0x66666667, -0x1, x1, 340, x2) - -inst_98: -// rs1_val==1717986919 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666667; immval:0x7 -TEST_CI_OP( c.addi, x10, 0x6666666e, 0x66666667, 0x7, x1, 344, x2) - -inst_99: -// rs1_val==1717986919 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666667; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x6666666b, 0x66666667, 0x4, x1, 348, x2) - -inst_100: -// rs1_val==1717986919 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666667; immval:0xb -TEST_CI_OP( c.addi, x10, 0x66666672, 0x66666667, 0xb, x1, 352, x2) - -inst_101: -// rs1_val==1717986919 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666667; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x66666667, 0x66666667, 0x0, x1, 356, x2) - -inst_102: -// rs1_val==1717986919 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666667; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x6666666c, 0x66666667, 0x5, x1, 360, x2) - -inst_103: -// rs1_val==1717986919 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666667; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x66666669, 0x66666667, 0x2, x1, 364, x2) - -inst_104: -// rs1_val==1717986919 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666667; immval:0x9 -TEST_CI_OP( c.addi, x10, 0x66666670, 0x66666667, 0x9, x1, 368, x2) - -inst_105: -// rs1_val==1717986919 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666667; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0x66666662, 0x66666667, -0x5, x1, 372, x2) - -inst_106: -// rs1_val==1717986919 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666667; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x66666665, 0x66666667, -0x2, x1, 376, x2) - -inst_107: -// rs1_val==1717986919 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666667; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x6666666a, 0x66666667, 0x3, x1, 380, x2) - -inst_108: -// rs1_val==1717986919 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666667; immval:0xa -TEST_CI_OP( c.addi, x10, 0x66666671, 0x66666667, 0xa, x1, 384, x2) - -inst_109: -// rs1_val==858993460 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333334; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x3333333a, 0x33333334, 0x6, x1, 388, x2) - -inst_110: -// rs1_val==858993460 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333334; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0x33333330, 0x33333334, -0x4, x1, 392, x2) - -inst_111: -// rs1_val==858993460 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333334; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0x33333333, 0x33333334, -0x1, x1, 396, x2) - -inst_112: -// rs1_val==858993460 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333334; immval:0x7 -TEST_CI_OP( c.addi, x10, 0x3333333b, 0x33333334, 0x7, x1, 400, x2) - -inst_113: -// rs1_val==858993460 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333334; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x33333338, 0x33333334, 0x4, x1, 404, x2) - -inst_114: -// rs1_val==858993460 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333334; immval:0xb -TEST_CI_OP( c.addi, x10, 0x3333333f, 0x33333334, 0xb, x1, 408, x2) - -inst_115: -// rs1_val==858993460 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333334; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x33333334, 0x33333334, 0x0, x1, 412, x2) - -inst_116: -// rs1_val==858993460 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333334; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x33333339, 0x33333334, 0x5, x1, 416, x2) - -inst_117: -// rs1_val==858993460 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333334; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x33333336, 0x33333334, 0x2, x1, 420, x2) - -inst_118: -// rs1_val==858993460 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333334; immval:0x9 -TEST_CI_OP( c.addi, x10, 0x3333333d, 0x33333334, 0x9, x1, 424, x2) - -inst_119: -// rs1_val==858993460 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333334; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0x3333332f, 0x33333334, -0x5, x1, 428, x2) - -inst_120: -// rs1_val==858993460 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333334; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x33333332, 0x33333334, -0x2, x1, 432, x2) - -inst_121: -// rs1_val==858993460 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333334; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x33333337, 0x33333334, 0x3, x1, 436, x2) - -inst_122: -// rs1_val==858993460 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333334; immval:0xa -TEST_CI_OP( c.addi, x10, 0x3333333e, 0x33333334, 0xa, x1, 440, x2) - -inst_123: -// rs1_val==6 and imm_val==6, rs1_val == imm_val -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:0x6 -TEST_CI_OP( c.addi, x10, 0xc, 0x6, 0x6, x1, 444, x2) - -inst_124: -// rs1_val==6 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0x2, 0x6, -0x4, x1, 448, x2) - -inst_125: -// rs1_val==6 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0x5, 0x6, -0x1, x1, 452, x2) - -inst_126: -// rs1_val==6 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:0x7 -TEST_CI_OP( c.addi, x10, 0xd, 0x6, 0x7, x1, 456, x2) - -inst_127: -// rs1_val==6 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:0x4 -TEST_CI_OP( c.addi, x10, 0xa, 0x6, 0x4, x1, 460, x2) - -inst_128: -// rs1_val==6 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:0xb -TEST_CI_OP( c.addi, x10, 0x11, 0x6, 0xb, x1, 464, x2) - -inst_129: -// rs1_val==6 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x6, 0x6, 0x0, x1, 468, x2) - -inst_130: -// rs1_val==6 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:0x5 -TEST_CI_OP( c.addi, x10, 0xb, 0x6, 0x5, x1, 472, x2) - -inst_131: -// rs1_val==6 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x8, 0x6, 0x2, x1, 476, x2) - -inst_132: -// rs1_val==6 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:0x9 -TEST_CI_OP( c.addi, x10, 0xf, 0x6, 0x9, x1, 480, x2) - -inst_133: -// rs1_val==6 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0x1, 0x6, -0x5, x1, 484, x2) - -inst_134: -// rs1_val==6 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x4, 0x6, -0x2, x1, 488, x2) - -inst_135: -// rs1_val==6 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x9, 0x6, 0x3, x1, 492, x2) - -inst_136: -// rs1_val==6 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:0xa -TEST_CI_OP( c.addi, x10, 0x10, 0x6, 0xa, x1, 496, x2) - -inst_137: -// rs1_val==-1431655765 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555555; immval:0x6 -TEST_CI_OP( c.addi, x10, 0xaaaaaab1, -0x55555555, 0x6, x1, 500, x2) - -inst_138: -// rs1_val==-1431655765 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555555; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0xaaaaaaa7, -0x55555555, -0x4, x1, 504, x2) - -inst_139: -// rs1_val==-1431655765 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555555; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0xaaaaaaaa, -0x55555555, -0x1, x1, 508, x2) - -inst_140: -// rs1_val==-1431655765 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555555; immval:0x7 -TEST_CI_OP( c.addi, x10, 0xaaaaaab2, -0x55555555, 0x7, x1, 512, x2) - -inst_141: -// rs1_val==-1431655765 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555555; immval:0x4 -TEST_CI_OP( c.addi, x10, 0xaaaaaaaf, -0x55555555, 0x4, x1, 516, x2) - -inst_142: -// rs1_val==-1431655765 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555555; immval:0xb -TEST_CI_OP( c.addi, x10, 0xaaaaaab6, -0x55555555, 0xb, x1, 520, x2) - -inst_143: -// rs1_val==-1431655765 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555555; immval:0x0 -TEST_CI_OP( c.addi, x10, 0xaaaaaaab, -0x55555555, 0x0, x1, 524, x2) - -inst_144: -// rs1_val==-1431655765 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555555; immval:0x5 -TEST_CI_OP( c.addi, x10, 0xaaaaaab0, -0x55555555, 0x5, x1, 528, x2) - -inst_145: -// rs1_val==-1431655765 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555555; immval:0x2 -TEST_CI_OP( c.addi, x10, 0xaaaaaaad, -0x55555555, 0x2, x1, 532, x2) - -inst_146: -// rs1_val==-1431655765 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555555; immval:0x9 -TEST_CI_OP( c.addi, x10, 0xaaaaaab4, -0x55555555, 0x9, x1, 536, x2) - -inst_147: -// rs1_val==-1431655765 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555555; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0xaaaaaaa6, -0x55555555, -0x5, x1, 540, x2) - -inst_148: -// rs1_val==-1431655765 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555555; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0xaaaaaaa9, -0x55555555, -0x2, x1, 544, x2) - -inst_149: -// rs1_val==-1431655765 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555555; immval:0x3 -TEST_CI_OP( c.addi, x10, 0xaaaaaaae, -0x55555555, 0x3, x1, 548, x2) - -inst_150: -// rs1_val==-1431655765 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555555; immval:0xa -TEST_CI_OP( c.addi, x10, 0xaaaaaab5, -0x55555555, 0xa, x1, 552, x2) - -inst_151: -// rs1_val==1431655766 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555556; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x5555555c, 0x55555556, 0x6, x1, 556, x2) - -inst_152: -// rs1_val==1431655766 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555556; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0x55555552, 0x55555556, -0x4, x1, 560, x2) - -inst_153: -// rs1_val==1431655766 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555556; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0x55555555, 0x55555556, -0x1, x1, 564, x2) - -inst_154: -// rs1_val==1431655766 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555556; immval:0x7 -TEST_CI_OP( c.addi, x10, 0x5555555d, 0x55555556, 0x7, x1, 568, x2) - -inst_155: -// rs1_val==1431655766 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555556; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x5555555a, 0x55555556, 0x4, x1, 572, x2) - -inst_156: -// rs1_val==1431655766 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555556; immval:0xb -TEST_CI_OP( c.addi, x10, 0x55555561, 0x55555556, 0xb, x1, 576, x2) - -inst_157: -// rs1_val==1431655766 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555556; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x55555556, 0x55555556, 0x0, x1, 580, x2) - -inst_158: -// rs1_val==1431655766 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555556; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x5555555b, 0x55555556, 0x5, x1, 584, x2) - -inst_159: -// rs1_val==1431655766 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555556; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x55555558, 0x55555556, 0x2, x1, 588, x2) - -inst_160: -// rs1_val==1431655766 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555556; immval:0x9 -TEST_CI_OP( c.addi, x10, 0x5555555f, 0x55555556, 0x9, x1, 592, x2) - -inst_161: -// rs1_val==1431655766 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555556; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0x55555551, 0x55555556, -0x5, x1, 596, x2) - -inst_162: -// rs1_val==1431655766 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555556; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x55555554, 0x55555556, -0x2, x1, 600, x2) - -inst_163: -// rs1_val==1431655766 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555556; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x55555559, 0x55555556, 0x3, x1, 604, x2) - -inst_164: -// rs1_val==1431655766 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555556; immval:0xa -TEST_CI_OP( c.addi, x10, 0x55555560, 0x55555556, 0xa, x1, 608, x2) - -inst_165: -// rs1_val==4 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4; immval:0x6 -TEST_CI_OP( c.addi, x10, 0xa, 0x4, 0x6, x1, 612, x2) - -inst_166: -// rs1_val==4 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0x0, 0x4, -0x4, x1, 616, x2) - -inst_167: -// rs1_val==4 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0x3, 0x4, -0x1, x1, 620, x2) - -inst_168: -// rs1_val==4 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4; immval:0x7 -TEST_CI_OP( c.addi, x10, 0xb, 0x4, 0x7, x1, 624, x2) - -inst_169: -// rs1_val==4 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x8, 0x4, 0x4, x1, 628, x2) - -inst_170: -// rs1_val==4 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4; immval:0xb -TEST_CI_OP( c.addi, x10, 0xf, 0x4, 0xb, x1, 632, x2) - -inst_171: -// rs1_val==4 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x9, 0x4, 0x5, x1, 636, x2) - -inst_172: -// rs1_val==4 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x6, 0x4, 0x2, x1, 640, x2) - -inst_173: -// rs1_val==4 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4; immval:0x9 -TEST_CI_OP( c.addi, x10, 0xd, 0x4, 0x9, x1, 644, x2) - -inst_174: -// rs1_val==4 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0xffffffff, 0x4, -0x5, x1, 648, x2) - -inst_175: -// rs1_val==4 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x2, 0x4, -0x2, x1, 652, x2) - -inst_176: -// rs1_val==4 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x7, 0x4, 0x3, x1, 656, x2) - -inst_177: -// rs1_val==4 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x4; immval:0xa -TEST_CI_OP( c.addi, x10, 0xe, 0x4, 0xa, x1, 660, x2) - -inst_178: -// rs1_val==46339 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb503; immval:0x6 -TEST_CI_OP( c.addi, x10, 0xb509, 0xb503, 0x6, x1, 664, x2) - -inst_179: -// rs1_val==46339 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb503; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0xb4ff, 0xb503, -0x4, x1, 668, x2) - -inst_180: -// rs1_val==46339 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb503; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0xb502, 0xb503, -0x1, x1, 672, x2) - -inst_181: -// rs1_val==46339 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb503; immval:0x7 -TEST_CI_OP( c.addi, x10, 0xb50a, 0xb503, 0x7, x1, 676, x2) - -inst_182: -// rs1_val==46339 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb503; immval:0x4 -TEST_CI_OP( c.addi, x10, 0xb507, 0xb503, 0x4, x1, 680, x2) - -inst_183: -// rs1_val==46339 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb503; immval:0xb -TEST_CI_OP( c.addi, x10, 0xb50e, 0xb503, 0xb, x1, 684, x2) - -inst_184: -// rs1_val==46339 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb503; immval:0x0 -TEST_CI_OP( c.addi, x10, 0xb503, 0xb503, 0x0, x1, 688, x2) - -inst_185: -// rs1_val==46339 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb503; immval:0x5 -TEST_CI_OP( c.addi, x10, 0xb508, 0xb503, 0x5, x1, 692, x2) - -inst_186: -// rs1_val==46339 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb503; immval:0x2 -TEST_CI_OP( c.addi, x10, 0xb505, 0xb503, 0x2, x1, 696, x2) - -inst_187: -// rs1_val==46339 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb503; immval:0x9 -TEST_CI_OP( c.addi, x10, 0xb50c, 0xb503, 0x9, x1, 700, x2) - -inst_188: -// rs1_val==46339 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb503; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0xb4fe, 0xb503, -0x5, x1, 704, x2) - -inst_189: -// rs1_val==46339 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb503; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0xb501, 0xb503, -0x2, x1, 708, x2) - -inst_190: -// rs1_val==46339 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb503; immval:0x3 -TEST_CI_OP( c.addi, x10, 0xb506, 0xb503, 0x3, x1, 712, x2) - -inst_191: -// rs1_val==46339 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb503; immval:0xa -TEST_CI_OP( c.addi, x10, 0xb50d, 0xb503, 0xa, x1, 716, x2) - -inst_192: -// rs1_val==0 and imm_val==6, rs1_val == 0 -// opcode:c.addi; op1:x10; dest:x10 op1val:0x0; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x6, 0x0, 0x6, x1, 720, x2) - -inst_193: -// rs1_val==0 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x0; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0xfffffffc, 0x0, -0x4, x1, 724, x2) - -inst_194: -// rs1_val==0 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x0; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0xffffffff, 0x0, -0x1, x1, 728, x2) - -inst_195: -// rs1_val==0 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x0; immval:0x7 -TEST_CI_OP( c.addi, x10, 0x7, 0x0, 0x7, x1, 732, x2) - -inst_196: -// rs1_val==0 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x0; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x4, 0x0, 0x4, x1, 736, x2) - -inst_197: -// rs1_val==0 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x0; immval:0xb -TEST_CI_OP( c.addi, x10, 0xb, 0x0, 0xb, x1, 740, x2) - -inst_198: -// rs1_val==0 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x0; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x0, 0x0, 0x0, x1, 744, x2) - -inst_199: -// rs1_val==0 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x0; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x5, 0x0, 0x5, x1, 748, x2) - -inst_200: -// rs1_val==0 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x0; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x2, 0x0, 0x2, x1, 752, x2) - -inst_201: -// rs1_val==0 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x0; immval:0x9 -TEST_CI_OP( c.addi, x10, 0x9, 0x0, 0x9, x1, 756, x2) - -inst_202: -// rs1_val==0 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x0; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0xfffffffb, 0x0, -0x5, x1, 760, x2) - -inst_203: -// rs1_val==0 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x0; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0xfffffffe, 0x0, -0x2, x1, 764, x2) - -inst_204: -// rs1_val==0 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x0; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x3, 0x0, 0x3, x1, 768, x2) - -inst_205: -// rs1_val==0 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x0; immval:0xa -TEST_CI_OP( c.addi, x10, 0xa, 0x0, 0xa, x1, 772, x2) - -inst_206: -// rs1_val==1717986917 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666665; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x6666666b, 0x66666665, 0x6, x1, 776, x2) - -inst_207: -// rs1_val==1717986917 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666665; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0x66666661, 0x66666665, -0x4, x1, 780, x2) - -inst_208: -// rs1_val==1717986917 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666665; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0x66666664, 0x66666665, -0x1, x1, 784, x2) - -inst_209: -// rs1_val==1717986917 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666665; immval:0x7 -TEST_CI_OP( c.addi, x10, 0x6666666c, 0x66666665, 0x7, x1, 788, x2) - -inst_210: -// rs1_val==1717986917 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666665; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x66666669, 0x66666665, 0x4, x1, 792, x2) - -inst_211: -// rs1_val==1717986917 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666665; immval:0xb -TEST_CI_OP( c.addi, x10, 0x66666670, 0x66666665, 0xb, x1, 796, x2) - -inst_212: -// rs1_val==1717986917 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666665; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x66666665, 0x66666665, 0x0, x1, 800, x2) - -inst_213: -// rs1_val==1717986917 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666665; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x6666666a, 0x66666665, 0x5, x1, 804, x2) - -inst_214: -// rs1_val==1717986917 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666665; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x66666667, 0x66666665, 0x2, x1, 808, x2) - -inst_215: -// rs1_val==1717986917 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666665; immval:0x9 -TEST_CI_OP( c.addi, x10, 0x6666666e, 0x66666665, 0x9, x1, 812, x2) - -inst_216: -// rs1_val==1717986917 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666665; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0x66666660, 0x66666665, -0x5, x1, 816, x2) - -inst_217: -// rs1_val==1717986917 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666665; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x66666663, 0x66666665, -0x2, x1, 820, x2) - -inst_218: -// rs1_val==1717986917 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666665; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x66666668, 0x66666665, 0x3, x1, 824, x2) - -inst_219: -// rs1_val==1717986917 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666665; immval:0xa -TEST_CI_OP( c.addi, x10, 0x6666666f, 0x66666665, 0xa, x1, 828, x2) - -inst_220: -// rs1_val==858993458 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333332; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x33333338, 0x33333332, 0x6, x1, 832, x2) - -inst_221: -// rs1_val==858993458 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333332; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0x3333332e, 0x33333332, -0x4, x1, 836, x2) - -inst_222: -// rs1_val==858993458 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333332; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0x33333331, 0x33333332, -0x1, x1, 840, x2) - -inst_223: -// rs1_val==858993458 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333332; immval:0x7 -TEST_CI_OP( c.addi, x10, 0x33333339, 0x33333332, 0x7, x1, 844, x2) - -inst_224: -// rs1_val==858993458 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333332; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x33333336, 0x33333332, 0x4, x1, 848, x2) - -inst_225: -// rs1_val==858993458 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333332; immval:0xb -TEST_CI_OP( c.addi, x10, 0x3333333d, 0x33333332, 0xb, x1, 852, x2) - -inst_226: -// rs1_val==858993458 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333332; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x33333332, 0x33333332, 0x0, x1, 856, x2) - -inst_227: -// rs1_val==858993458 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333332; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x33333337, 0x33333332, 0x5, x1, 860, x2) - -inst_228: -// rs1_val==858993458 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333332; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x33333334, 0x33333332, 0x2, x1, 864, x2) - -inst_229: -// rs1_val==858993458 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333332; immval:0x9 -TEST_CI_OP( c.addi, x10, 0x3333333b, 0x33333332, 0x9, x1, 868, x2) - -inst_230: -// rs1_val==858993458 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333332; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0x3333332d, 0x33333332, -0x5, x1, 872, x2) - -inst_231: -// rs1_val==858993458 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333332; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x33333330, 0x33333332, -0x2, x1, 876, x2) - -inst_232: -// rs1_val==858993458 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333332; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x33333335, 0x33333332, 0x3, x1, 880, x2) - -inst_233: -// rs1_val==858993458 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333332; immval:0xa -TEST_CI_OP( c.addi, x10, 0x3333333c, 0x33333332, 0xa, x1, 884, x2) - -inst_234: -// rs1_val==1431655764 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555554; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x5555555a, 0x55555554, 0x6, x1, 888, x2) - -inst_235: -// rs1_val==1431655764 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555554; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0x55555550, 0x55555554, -0x4, x1, 892, x2) - -inst_236: -// rs1_val==1431655764 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555554; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0x55555553, 0x55555554, -0x1, x1, 896, x2) - -inst_237: -// rs1_val==1431655764 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555554; immval:0x7 -TEST_CI_OP( c.addi, x10, 0x5555555b, 0x55555554, 0x7, x1, 900, x2) - -inst_238: -// rs1_val==1431655764 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555554; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x55555558, 0x55555554, 0x4, x1, 904, x2) - -inst_239: -// rs1_val==1431655764 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555554; immval:0xb -TEST_CI_OP( c.addi, x10, 0x5555555f, 0x55555554, 0xb, x1, 908, x2) - -inst_240: -// rs1_val==1431655764 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555554; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x55555554, 0x55555554, 0x0, x1, 912, x2) - -inst_241: -// rs1_val==1431655764 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555554; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x55555559, 0x55555554, 0x5, x1, 916, x2) - -inst_242: -// rs1_val==1431655764 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555554; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x55555556, 0x55555554, 0x2, x1, 920, x2) - -inst_243: -// rs1_val==1431655764 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555554; immval:0x9 -TEST_CI_OP( c.addi, x10, 0x5555555d, 0x55555554, 0x9, x1, 924, x2) - -inst_244: -// rs1_val==1431655764 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555554; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0x5555554f, 0x55555554, -0x5, x1, 928, x2) - -inst_245: -// rs1_val==1431655764 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555554; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x55555552, 0x55555554, -0x2, x1, 932, x2) - -inst_246: -// rs1_val==1431655764 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555554; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x55555557, 0x55555554, 0x3, x1, 936, x2) - -inst_247: -// rs1_val==1431655764 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555554; immval:0xa -TEST_CI_OP( c.addi, x10, 0x5555555e, 0x55555554, 0xa, x1, 940, x2) - -inst_248: -// rs1_val==2 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x8, 0x2, 0x6, x1, 944, x2) - -inst_249: -// rs1_val==2 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0xfffffffe, 0x2, -0x4, x1, 948, x2) - -inst_250: -// rs1_val==2 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2; immval:0x7 -TEST_CI_OP( c.addi, x10, 0x9, 0x2, 0x7, x1, 952, x2) - -inst_251: -// rs1_val==2 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x6, 0x2, 0x4, x1, 956, x2) - -inst_252: -// rs1_val==2 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2; immval:0xb -TEST_CI_OP( c.addi, x10, 0xd, 0x2, 0xb, x1, 960, x2) - -inst_253: -// rs1_val==2 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x2, 0x2, 0x0, x1, 964, x2) - -inst_254: -// rs1_val==2 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x7, 0x2, 0x5, x1, 968, x2) - -inst_255: -// rs1_val==2 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x4, 0x2, 0x2, x1, 972, x2) - -inst_256: -// rs1_val==2 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2; immval:0x9 -TEST_CI_OP( c.addi, x10, 0xb, 0x2, 0x9, x1, 976, x2) - -inst_257: -// rs1_val==2 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0xfffffffd, 0x2, -0x5, x1, 980, x2) - -inst_258: -// rs1_val==2 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x0, 0x2, -0x2, x1, 984, x2) - -inst_259: -// rs1_val==2 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x5, 0x2, 0x3, x1, 988, x2) - -inst_260: -// rs1_val==2 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x2; immval:0xa -TEST_CI_OP( c.addi, x10, 0xc, 0x2, 0xa, x1, 992, x2) - -inst_261: -// rs1_val==46340 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb504; immval:0x6 -TEST_CI_OP( c.addi, x10, 0xb50a, 0xb504, 0x6, x1, 996, x2) - -inst_262: -// rs1_val==46340 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb504; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0xb500, 0xb504, -0x4, x1, 1000, x2) - -inst_263: -// rs1_val==46340 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb504; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0xb503, 0xb504, -0x1, x1, 1004, x2) - -inst_264: -// rs1_val==46340 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb504; immval:0x7 -TEST_CI_OP( c.addi, x10, 0xb50b, 0xb504, 0x7, x1, 1008, x2) - -inst_265: -// rs1_val==46340 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb504; immval:0x4 -TEST_CI_OP( c.addi, x10, 0xb508, 0xb504, 0x4, x1, 1012, x2) - -inst_266: -// rs1_val==46340 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb504; immval:0xb -TEST_CI_OP( c.addi, x10, 0xb50f, 0xb504, 0xb, x1, 1016, x2) - -inst_267: -// rs1_val==46340 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb504; immval:0x0 -TEST_CI_OP( c.addi, x10, 0xb504, 0xb504, 0x0, x1, 1020, x2) - -inst_268: -// rs1_val==46340 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb504; immval:0x5 -TEST_CI_OP( c.addi, x10, 0xb509, 0xb504, 0x5, x1, 1024, x2) - -inst_269: -// rs1_val==46340 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb504; immval:0x2 -TEST_CI_OP( c.addi, x10, 0xb506, 0xb504, 0x2, x1, 1028, x2) - -inst_270: -// rs1_val==46340 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb504; immval:0x9 -TEST_CI_OP( c.addi, x10, 0xb50d, 0xb504, 0x9, x1, 1032, x2) - -inst_271: -// rs1_val==46340 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb504; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0xb4ff, 0xb504, -0x5, x1, 1036, x2) - -inst_272: -// rs1_val==46340 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb504; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0xb502, 0xb504, -0x2, x1, 1040, x2) - -inst_273: -// rs1_val==46340 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb504; immval:0x3 -TEST_CI_OP( c.addi, x10, 0xb507, 0xb504, 0x3, x1, 1044, x2) - -inst_274: -// rs1_val==46340 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0xb504; immval:0xa -TEST_CI_OP( c.addi, x10, 0xb50e, 0xb504, 0xa, x1, 1048, x2) - -inst_275: -// rs1_val==-46340 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb504; immval:0x6 -TEST_CI_OP( c.addi, x10, 0xffff4b02, -0xb504, 0x6, x1, 1052, x2) - -inst_276: -// rs1_val==-46340 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb504; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0xffff4af8, -0xb504, -0x4, x1, 1056, x2) - -inst_277: -// rs1_val==-46340 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb504; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0xffff4afb, -0xb504, -0x1, x1, 1060, x2) - -inst_278: -// rs1_val==-46340 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb504; immval:0x7 -TEST_CI_OP( c.addi, x10, 0xffff4b03, -0xb504, 0x7, x1, 1064, x2) - -inst_279: -// rs1_val==-46340 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb504; immval:0x4 -TEST_CI_OP( c.addi, x10, 0xffff4b00, -0xb504, 0x4, x1, 1068, x2) - -inst_280: -// rs1_val==-46340 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb504; immval:0xb -TEST_CI_OP( c.addi, x10, 0xffff4b07, -0xb504, 0xb, x1, 1072, x2) - -inst_281: -// rs1_val==-46340 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb504; immval:0x0 -TEST_CI_OP( c.addi, x10, 0xffff4afc, -0xb504, 0x0, x1, 1076, x2) - -inst_282: -// rs1_val==-46340 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb504; immval:0x5 -TEST_CI_OP( c.addi, x10, 0xffff4b01, -0xb504, 0x5, x1, 1080, x2) - -inst_283: -// rs1_val==-46340 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb504; immval:0x2 -TEST_CI_OP( c.addi, x10, 0xffff4afe, -0xb504, 0x2, x1, 1084, x2) - -inst_284: -// rs1_val==-46340 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb504; immval:0x9 -TEST_CI_OP( c.addi, x10, 0xffff4b05, -0xb504, 0x9, x1, 1088, x2) - -inst_285: -// rs1_val==-46340 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb504; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0xffff4af7, -0xb504, -0x5, x1, 1092, x2) - -inst_286: -// rs1_val==-46340 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb504; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0xffff4afa, -0xb504, -0x2, x1, 1096, x2) - -inst_287: -// rs1_val==-46340 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb504; immval:0x3 -TEST_CI_OP( c.addi, x10, 0xffff4aff, -0xb504, 0x3, x1, 1100, x2) - -inst_288: -// rs1_val==-46340 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0xb504; immval:0xa -TEST_CI_OP( c.addi, x10, 0xffff4b06, -0xb504, 0xa, x1, 1104, x2) - -inst_289: -// rs1_val==1717986918 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666666; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x6666666c, 0x66666666, 0x6, x1, 1108, x2) - -inst_290: -// rs1_val==1717986918 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666666; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0x66666662, 0x66666666, -0x4, x1, 1112, x2) - -inst_291: -// rs1_val==1717986918 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666666; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0x66666665, 0x66666666, -0x1, x1, 1116, x2) - -inst_292: -// rs1_val==1717986918 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666666; immval:0x7 -TEST_CI_OP( c.addi, x10, 0x6666666d, 0x66666666, 0x7, x1, 1120, x2) - -inst_293: -// rs1_val==1717986918 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666666; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x6666666a, 0x66666666, 0x4, x1, 1124, x2) - -inst_294: -// rs1_val==1717986918 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666666; immval:0xb -TEST_CI_OP( c.addi, x10, 0x66666671, 0x66666666, 0xb, x1, 1128, x2) - -inst_295: -// rs1_val==1717986918 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666666; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x66666666, 0x66666666, 0x0, x1, 1132, x2) - -inst_296: -// rs1_val==1717986918 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666666; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x6666666b, 0x66666666, 0x5, x1, 1136, x2) - -inst_297: -// rs1_val==1717986918 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666666; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x66666668, 0x66666666, 0x2, x1, 1140, x2) - -inst_298: -// rs1_val==1717986918 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666666; immval:0x9 -TEST_CI_OP( c.addi, x10, 0x6666666f, 0x66666666, 0x9, x1, 1144, x2) - -inst_299: -// rs1_val==1717986918 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666666; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0x66666661, 0x66666666, -0x5, x1, 1148, x2) - -inst_300: -// rs1_val==1717986918 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666666; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x66666664, 0x66666666, -0x2, x1, 1152, x2) - -inst_301: -// rs1_val==1717986918 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666666; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x66666669, 0x66666666, 0x3, x1, 1156, x2) - -inst_302: -// rs1_val==1717986918 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x66666666; immval:0xa -TEST_CI_OP( c.addi, x10, 0x66666670, 0x66666666, 0xa, x1, 1160, x2) - -inst_303: -// rs1_val==858993459 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333333; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x33333339, 0x33333333, 0x6, x1, 1164, x2) - -inst_304: -// rs1_val==858993459 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333333; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0x3333332f, 0x33333333, -0x4, x1, 1168, x2) - -inst_305: -// rs1_val==858993459 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333333; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0x33333332, 0x33333333, -0x1, x1, 1172, x2) - -inst_306: -// rs1_val==858993459 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333333; immval:0x7 -TEST_CI_OP( c.addi, x10, 0x3333333a, 0x33333333, 0x7, x1, 1176, x2) - -inst_307: -// rs1_val==858993459 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333333; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x33333337, 0x33333333, 0x4, x1, 1180, x2) - -inst_308: -// rs1_val==858993459 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333333; immval:0xb -TEST_CI_OP( c.addi, x10, 0x3333333e, 0x33333333, 0xb, x1, 1184, x2) - -inst_309: -// rs1_val==858993459 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333333; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x33333333, 0x33333333, 0x0, x1, 1188, x2) - -inst_310: -// rs1_val==858993459 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333333; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x33333338, 0x33333333, 0x5, x1, 1192, x2) - -inst_311: -// rs1_val==858993459 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333333; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x33333335, 0x33333333, 0x2, x1, 1196, x2) - -inst_312: -// rs1_val==858993459 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333333; immval:0x9 -TEST_CI_OP( c.addi, x10, 0x3333333c, 0x33333333, 0x9, x1, 1200, x2) - -inst_313: -// rs1_val==3 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x3; immval:0xa -TEST_CI_OP( c.addi, x10, 0xd, 0x3, 0xa, x1, 1204, x2) - -inst_314: -// rs1_val == -1431655766, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:-0x7 -TEST_CI_OP( c.addi, x10, 0xaaaaaaa3, -0x55555556, -0x7, x1, 1208, x2) - -inst_315: -// rs1_val == 1431655765, rs1_val==1431655765 and imm_val==9 -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555555; immval:0x9 -TEST_CI_OP( c.addi, x10, 0x5555555e, 0x55555555, 0x9, x1, 1212, x2) - -inst_316: -// imm_val == 21, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x6; immval:0x15 -TEST_CI_OP( c.addi, x10, 0x1b, 0x6, 0x15, x1, 1216, x2) - -inst_317: -// rs1_val==858993459 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333333; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0x3333332e, 0x33333333, -0x5, x1, 1220, x2) - -inst_318: -// rs1_val==858993459 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333333; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x33333331, 0x33333333, -0x2, x1, 1224, x2) - -inst_319: -// rs1_val==858993459 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333333; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x33333336, 0x33333333, 0x3, x1, 1228, x2) - -inst_320: -// rs1_val==858993459 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x33333333; immval:0xa -TEST_CI_OP( c.addi, x10, 0x3333333d, 0x33333333, 0xa, x1, 1232, x2) - -inst_321: -// rs1_val==5 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x5; immval:0x6 -TEST_CI_OP( c.addi, x10, 0xb, 0x5, 0x6, x1, 1236, x2) - -inst_322: -// rs1_val==5 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x5; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0x1, 0x5, -0x4, x1, 1240, x2) - -inst_323: -// rs1_val==5 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x5; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0x4, 0x5, -0x1, x1, 1244, x2) - -inst_324: -// rs1_val==5 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x5; immval:0x7 -TEST_CI_OP( c.addi, x10, 0xc, 0x5, 0x7, x1, 1248, x2) - -inst_325: -// rs1_val==5 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x5; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x9, 0x5, 0x4, x1, 1252, x2) - -inst_326: -// rs1_val==5 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x5; immval:0xb -TEST_CI_OP( c.addi, x10, 0x10, 0x5, 0xb, x1, 1256, x2) - -inst_327: -// rs1_val==5 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x5; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x5, 0x5, 0x0, x1, 1260, x2) - -inst_328: -// rs1_val==5 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x5; immval:0x5 -TEST_CI_OP( c.addi, x10, 0xa, 0x5, 0x5, x1, 1264, x2) - -inst_329: -// rs1_val==5 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x5; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x7, 0x5, 0x2, x1, 1268, x2) - -inst_330: -// rs1_val==5 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x5; immval:0x9 -TEST_CI_OP( c.addi, x10, 0xe, 0x5, 0x9, x1, 1272, x2) - -inst_331: -// rs1_val==5 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x5; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0x0, 0x5, -0x5, x1, 1276, x2) - -inst_332: -// rs1_val==5 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x5; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x3, 0x5, -0x2, x1, 1280, x2) - -inst_333: -// rs1_val==5 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x5; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x8, 0x5, 0x3, x1, 1284, x2) - -inst_334: -// rs1_val==5 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x5; immval:0xa -TEST_CI_OP( c.addi, x10, 0xf, 0x5, 0xa, x1, 1288, x2) - -inst_335: -// rs1_val==-1431655766 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:0x6 -TEST_CI_OP( c.addi, x10, 0xaaaaaab0, -0x55555556, 0x6, x1, 1292, x2) - -inst_336: -// rs1_val==-1431655766 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0xaaaaaaa6, -0x55555556, -0x4, x1, 1296, x2) - -inst_337: -// rs1_val==-1431655766 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0xaaaaaaa9, -0x55555556, -0x1, x1, 1300, x2) - -inst_338: -// rs1_val==-1431655766 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:0x7 -TEST_CI_OP( c.addi, x10, 0xaaaaaab1, -0x55555556, 0x7, x1, 1304, x2) - -inst_339: -// rs1_val==-1431655766 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:0x4 -TEST_CI_OP( c.addi, x10, 0xaaaaaaae, -0x55555556, 0x4, x1, 1308, x2) - -inst_340: -// rs1_val==-1431655766 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:0xb -TEST_CI_OP( c.addi, x10, 0xaaaaaab5, -0x55555556, 0xb, x1, 1312, x2) - -inst_341: -// rs1_val==-1431655766 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:0x0 -TEST_CI_OP( c.addi, x10, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1316, x2) - -inst_342: -// rs1_val==-1431655766 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:0x5 -TEST_CI_OP( c.addi, x10, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1320, x2) - -inst_343: -// rs1_val==-1431655766 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:0x2 -TEST_CI_OP( c.addi, x10, 0xaaaaaaac, -0x55555556, 0x2, x1, 1324, x2) - -inst_344: -// rs1_val==-1431655766 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:0x9 -TEST_CI_OP( c.addi, x10, 0xaaaaaab3, -0x55555556, 0x9, x1, 1328, x2) - -inst_345: -// rs1_val==-1431655766 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0xaaaaaaa5, -0x55555556, -0x5, x1, 1332, x2) - -inst_346: -// rs1_val==-1431655766 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0xaaaaaaa8, -0x55555556, -0x2, x1, 1336, x2) - -inst_347: -// rs1_val==-1431655766 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:0x3 -TEST_CI_OP( c.addi, x10, 0xaaaaaaad, -0x55555556, 0x3, x1, 1340, x2) - -inst_348: -// rs1_val==-1431655766 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:-0x55555556; immval:0xa -TEST_CI_OP( c.addi, x10, 0xaaaaaab4, -0x55555556, 0xa, x1, 1344, x2) - -inst_349: -// rs1_val==1431655765 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555555; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x5555555b, 0x55555555, 0x6, x1, 1348, x2) - -inst_350: -// rs1_val==1431655765 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555555; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0x55555551, 0x55555555, -0x4, x1, 1352, x2) - -inst_351: -// rs1_val==1431655765 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555555; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0x55555554, 0x55555555, -0x1, x1, 1356, x2) - -inst_352: -// rs1_val==1431655765 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555555; immval:0x7 -TEST_CI_OP( c.addi, x10, 0x5555555c, 0x55555555, 0x7, x1, 1360, x2) - -inst_353: -// rs1_val==1431655765 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555555; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x55555559, 0x55555555, 0x4, x1, 1364, x2) - -inst_354: -// rs1_val==1431655765 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555555; immval:0xb -TEST_CI_OP( c.addi, x10, 0x55555560, 0x55555555, 0xb, x1, 1368, x2) - -inst_355: -// rs1_val==1431655765 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555555; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x55555555, 0x55555555, 0x0, x1, 1372, x2) - -inst_356: -// rs1_val==1431655765 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555555; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x5555555a, 0x55555555, 0x5, x1, 1376, x2) - -inst_357: -// rs1_val==1431655765 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555555; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x55555557, 0x55555555, 0x2, x1, 1380, x2) - -inst_358: -// rs1_val==1431655765 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555555; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0x55555550, 0x55555555, -0x5, x1, 1384, x2) - -inst_359: -// rs1_val==1431655765 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555555; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x55555553, 0x55555555, -0x2, x1, 1388, x2) - -inst_360: -// rs1_val==1431655765 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555555; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x55555558, 0x55555555, 0x3, x1, 1392, x2) - -inst_361: -// rs1_val==1431655765 and imm_val==10, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x55555555; immval:0xa -TEST_CI_OP( c.addi, x10, 0x5555555f, 0x55555555, 0xa, x1, 1396, x2) - -inst_362: -// rs1_val==3 and imm_val==6, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x3; immval:0x6 -TEST_CI_OP( c.addi, x10, 0x9, 0x3, 0x6, x1, 1400, x2) - -inst_363: -// rs1_val==3 and imm_val==-4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x3; immval:-0x4 -TEST_CI_OP( c.addi, x10, 0xffffffff, 0x3, -0x4, x1, 1404, x2) - -inst_364: -// rs1_val==3 and imm_val==-1, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x3; immval:-0x1 -TEST_CI_OP( c.addi, x10, 0x2, 0x3, -0x1, x1, 1408, x2) - -inst_365: -// rs1_val==3 and imm_val==7, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x3; immval:0x7 -TEST_CI_OP( c.addi, x10, 0xa, 0x3, 0x7, x1, 1412, x2) - -inst_366: -// rs1_val==3 and imm_val==4, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x3; immval:0x4 -TEST_CI_OP( c.addi, x10, 0x7, 0x3, 0x4, x1, 1416, x2) - -inst_367: -// rs1_val==3 and imm_val==11, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x3; immval:0xb -TEST_CI_OP( c.addi, x10, 0xe, 0x3, 0xb, x1, 1420, x2) - -inst_368: -// rs1_val==3 and imm_val==0, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x3; immval:0x0 -TEST_CI_OP( c.addi, x10, 0x3, 0x3, 0x0, x1, 1424, x2) - -inst_369: -// rs1_val==3 and imm_val==5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x3; immval:0x5 -TEST_CI_OP( c.addi, x10, 0x8, 0x3, 0x5, x1, 1428, x2) - -inst_370: -// rs1_val==3 and imm_val==2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x3; immval:0x2 -TEST_CI_OP( c.addi, x10, 0x5, 0x3, 0x2, x1, 1432, x2) - -inst_371: -// rs1_val==3 and imm_val==9, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x3; immval:0x9 -TEST_CI_OP( c.addi, x10, 0xc, 0x3, 0x9, x1, 1436, x2) - -inst_372: -// rs1_val==3 and imm_val==-5, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x3; immval:-0x5 -TEST_CI_OP( c.addi, x10, 0xfffffffe, 0x3, -0x5, x1, 1440, x2) - -inst_373: -// rs1_val==3 and imm_val==-2, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x3; immval:-0x2 -TEST_CI_OP( c.addi, x10, 0x1, 0x3, -0x2, x1, 1444, x2) - -inst_374: -// rs1_val==3 and imm_val==3, -// opcode:c.addi; op1:x10; dest:x10 op1val:0x3; immval:0x3 -TEST_CI_OP( c.addi, x10, 0x6, 0x3, 0x3, x1, 1448, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x10_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x10_1: - .fill 12*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 363*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/caddi16sp-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/caddi16sp-01.S deleted file mode 100644 index 886fd1476..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/caddi16sp-01.S +++ /dev/null @@ -1,430 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.addi16sp instruction of the RISC-V C extension for the caddi16sp covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",caddi16sp) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rd==x2, imm_val == -512, rs1_val == 4194304, rs1_val != imm_val, rs1_val > 0 and imm_val < 0 -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x400000; immval:-0x200 -TEST_CI_OP( c.addi16sp, x2, 0x3ffe00, 0x400000, -0x200, x1, 0, x3) - -inst_1: -// rs1_val == 2147483647, imm_val == 128, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x7fffffff; immval:0x80 -TEST_CI_OP( c.addi16sp, x2, 0x8000007f, 0x7fffffff, 0x80, x1, 4, x3) - -inst_2: -// rs1_val == -1073741825, rs1_val < 0 and imm_val > 0 -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x40000001; immval:0x60 -TEST_CI_OP( c.addi16sp, x2, 0xc000005f, -0x40000001, 0x60, x1, 8, x3) - -inst_3: -// rs1_val == -536870913, rs1_val < 0 and imm_val < 0 -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x20000001; immval:-0x60 -TEST_CI_OP( c.addi16sp, x2, 0xdfffff9f, -0x20000001, -0x60, x1, 12, x3) - -inst_4: -// rs1_val == -268435457, imm_val == -352 -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x10000001; immval:-0x160 -TEST_CI_OP( c.addi16sp, x2, 0xeffffe9f, -0x10000001, -0x160, x1, 16, x3) - -inst_5: -// rs1_val == -134217729, imm_val == -80 -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x8000001; immval:-0x50 -TEST_CI_OP( c.addi16sp, x2, 0xf7ffffaf, -0x8000001, -0x50, x1, 20, x3) - -inst_6: -// rs1_val == -67108865, imm_val == -144 -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x4000001; immval:-0x90 -TEST_CI_OP( c.addi16sp, x2, 0xfbffff6f, -0x4000001, -0x90, x1, 24, x3) - -inst_7: -// rs1_val == -33554433, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x2000001; immval:0xf0 -TEST_CI_OP( c.addi16sp, x2, 0xfe0000ef, -0x2000001, 0xf0, x1, 28, x3) - -inst_8: -// rs1_val == -16777217, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x1000001; immval:-0x80 -TEST_CI_OP( c.addi16sp, x2, 0xfeffff7f, -0x1000001, -0x80, x1, 32, x3) - -inst_9: -// rs1_val == -8388609, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x800001; immval:-0x70 -TEST_CI_OP( c.addi16sp, x2, 0xff7fff8f, -0x800001, -0x70, x1, 36, x3) - -inst_10: -// rs1_val == -4194305, imm_val == 64 -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x400001; immval:0x40 -TEST_CI_OP( c.addi16sp, x2, 0xffc0003f, -0x400001, 0x40, x1, 40, x3) - -inst_11: -// rs1_val == -2097153, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x200001; immval:-0x160 -TEST_CI_OP( c.addi16sp, x2, 0xffdffe9f, -0x200001, -0x160, x1, 44, x3) - -inst_12: -// rs1_val == -1048577, imm_val == 16 -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x100001; immval:0x10 -TEST_CI_OP( c.addi16sp, x2, 0xfff0000f, -0x100001, 0x10, x1, 48, x3) - -inst_13: -// rs1_val == -524289, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x80001; immval:0x10 -TEST_CI_OP( c.addi16sp, x2, 0xfff8000f, -0x80001, 0x10, x1, 52, x3) - -inst_14: -// rs1_val == -262145, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x40001; immval:-0x100 -TEST_CI_OP( c.addi16sp, x2, 0xfffbfeff, -0x40001, -0x100, x1, 56, x3) - -inst_15: -// rs1_val == -131073, imm_val == 336 -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x20001; immval:0x150 -TEST_CI_OP( c.addi16sp, x2, 0xfffe014f, -0x20001, 0x150, x1, 60, x3) - -inst_16: -// rs1_val == -65537, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x10001; immval:-0x50 -TEST_CI_OP( c.addi16sp, x2, 0xfffeffaf, -0x10001, -0x50, x1, 64, x3) - -inst_17: -// rs1_val == -32769, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x8001; immval:0x40 -TEST_CI_OP( c.addi16sp, x2, 0xffff803f, -0x8001, 0x40, x1, 68, x3) - -inst_18: -// rs1_val == -16385, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x4001; immval:0x50 -TEST_CI_OP( c.addi16sp, x2, 0xffffc04f, -0x4001, 0x50, x1, 72, x3) - -inst_19: -// rs1_val == -8193, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x2001; immval:-0x40 -TEST_CI_OP( c.addi16sp, x2, 0xffffdfbf, -0x2001, -0x40, x1, 76, x3) - -inst_20: -// rs1_val == -4097, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x1001; immval:0x80 -TEST_CI_OP( c.addi16sp, x2, 0xfffff07f, -0x1001, 0x80, x1, 80, x3) - -inst_21: -// rs1_val == -2049, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x801; immval:0x80 -TEST_CI_OP( c.addi16sp, x2, 0xfffff87f, -0x801, 0x80, x1, 84, x3) - -inst_22: -// rs1_val == -1025, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x401; immval:-0x10 -TEST_CI_OP( c.addi16sp, x2, 0xfffffbef, -0x401, -0x10, x1, 88, x3) - -inst_23: -// rs1_val == -513, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x201; immval:0x150 -TEST_CI_OP( c.addi16sp, x2, 0xffffff4f, -0x201, 0x150, x1, 92, x3) - -inst_24: -// rs1_val == -257, imm_val == -32 -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x101; immval:-0x20 -TEST_CI_OP( c.addi16sp, x2, 0xfffffedf, -0x101, -0x20, x1, 96, x3) - -inst_25: -// rs1_val == -129, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x81; immval:-0x20 -TEST_CI_OP( c.addi16sp, x2, 0xffffff5f, -0x81, -0x20, x1, 100, x3) - -inst_26: -// rs1_val == -65, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x41; immval:0x90 -TEST_CI_OP( c.addi16sp, x2, 0x4f, -0x41, 0x90, x1, 104, x3) - -inst_27: -// rs1_val == -33, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x21; immval:0x10 -TEST_CI_OP( c.addi16sp, x2, 0xffffffef, -0x21, 0x10, x1, 108, x3) - -inst_28: -// rs1_val == -17, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x11; immval:-0x160 -TEST_CI_OP( c.addi16sp, x2, 0xfffffe8f, -0x11, -0x160, x1, 112, x3) - -inst_29: -// rs1_val == -9, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x9; immval:-0x60 -TEST_CI_OP( c.addi16sp, x2, 0xffffff97, -0x9, -0x60, x1, 116, x3) - -inst_30: -// rs1_val == -5, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x5; immval:-0x100 -TEST_CI_OP( c.addi16sp, x2, 0xfffffefb, -0x5, -0x100, x1, 120, x3) - -inst_31: -// rs1_val == -3, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x3; immval:-0x90 -TEST_CI_OP( c.addi16sp, x2, 0xffffff6d, -0x3, -0x90, x1, 124, x3) - -inst_32: -// rs1_val == -2, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x2; immval:0x70 -TEST_CI_OP( c.addi16sp, x2, 0x6e, -0x2, 0x70, x1, 128, x3) - -inst_33: -// imm_val == 496, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x400000; immval:0x1f0 -TEST_CI_OP( c.addi16sp, x2, 0x4001f0, 0x400000, 0x1f0, x1, 132, x3) - -inst_34: -// imm_val == -272, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x5; immval:-0x110 -TEST_CI_OP( c.addi16sp, x2, 0xfffffeeb, -0x5, -0x110, x1, 136, x3) - -inst_35: -// imm_val == -48, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x400001; immval:-0x30 -TEST_CI_OP( c.addi16sp, x2, 0xffbfffcf, -0x400001, -0x30, x1, 140, x3) - -inst_36: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x80000000; immval:-0xa0 -TEST_CI_OP( c.addi16sp, x2, 0x7fffff60, -0x80000000, -0xa0, x1, 144, x3) - -inst_37: -// rs1_val == 1073741824, imm_val == 256 -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x40000000; immval:0x100 -TEST_CI_OP( c.addi16sp, x2, 0x40000100, 0x40000000, 0x100, x1, 148, x3) - -inst_38: -// rs1_val == 536870912, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x20000000; immval:-0x10 -TEST_CI_OP( c.addi16sp, x2, 0x1ffffff0, 0x20000000, -0x10, x1, 152, x3) - -inst_39: -// rs1_val == 268435456, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x10000000; immval:0x30 -TEST_CI_OP( c.addi16sp, x2, 0x10000030, 0x10000000, 0x30, x1, 156, x3) - -inst_40: -// rs1_val == 134217728, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x8000000; immval:-0xa0 -TEST_CI_OP( c.addi16sp, x2, 0x7ffff60, 0x8000000, -0xa0, x1, 160, x3) - -inst_41: -// rs1_val == 64, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x40; immval:0x80 -TEST_CI_OP( c.addi16sp, x2, 0xc0, 0x40, 0x80, x1, 164, x3) - -inst_42: -// rs1_val == 32, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x20; immval:0x1f0 -TEST_CI_OP( c.addi16sp, x2, 0x210, 0x20, 0x1f0, x1, 168, x3) - -inst_43: -// rs1_val == 16, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x10; immval:0x1f0 -TEST_CI_OP( c.addi16sp, x2, 0x200, 0x10, 0x1f0, x1, 172, x3) - -inst_44: -// rs1_val == 8, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x8; immval:0x70 -TEST_CI_OP( c.addi16sp, x2, 0x78, 0x8, 0x70, x1, 176, x3) - -inst_45: -// rs1_val == 4, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x4; immval:-0x110 -TEST_CI_OP( c.addi16sp, x2, 0xfffffef4, 0x4, -0x110, x1, 180, x3) - -inst_46: -// rs1_val == 2, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x2; immval:-0x50 -TEST_CI_OP( c.addi16sp, x2, 0xffffffb2, 0x2, -0x50, x1, 184, x3) - -inst_47: -// rs1_val == 1, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x1; immval:0x50 -TEST_CI_OP( c.addi16sp, x2, 0x51, 0x1, 0x50, x1, 188, x3) - -inst_48: -// imm_val == 32, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x9; immval:0x20 -TEST_CI_OP( c.addi16sp, x2, 0x29, 0x9, 0x20, x1, 192, x3) - -inst_49: -// rs1_val == -1431655766, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:-0x55555556; immval:0x30 -TEST_CI_OP( c.addi16sp, x2, 0xaaaaaada, -0x55555556, 0x30, x1, 196, x3) - -inst_50: -// rs1_val == 1431655765, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x55555555; immval:0x60 -TEST_CI_OP( c.addi16sp, x2, 0x555555b5, 0x55555555, 0x60, x1, 200, x3) - -inst_51: -// rs1_val == 0, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x0; immval:-0x100 -TEST_CI_OP( c.addi16sp, x2, 0xffffff00, 0x0, -0x100, x1, 204, x3) - -inst_52: -// rs1_val == imm_val, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x40; immval:0x40 -TEST_CI_OP( c.addi16sp, x2, 0x80, 0x40, 0x40, x1, 208, x3) - -inst_53: -// rs1_val == 67108864, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x4000000; immval:-0x200 -TEST_CI_OP( c.addi16sp, x2, 0x3fffe00, 0x4000000, -0x200, x1, 212, x3) - -inst_54: -// rs1_val == 33554432, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x2000000; immval:-0x200 -TEST_CI_OP( c.addi16sp, x2, 0x1fffe00, 0x2000000, -0x200, x1, 216, x3) - -inst_55: -// rs1_val == 16777216, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x1000000; immval:-0x40 -TEST_CI_OP( c.addi16sp, x2, 0xffffc0, 0x1000000, -0x40, x1, 220, x3) - -inst_56: -// rs1_val == 8388608, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x800000; immval:0x10 -TEST_CI_OP( c.addi16sp, x2, 0x800010, 0x800000, 0x10, x1, 224, x3) - -inst_57: -// rs1_val == 2097152, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x200000; immval:0x20 -TEST_CI_OP( c.addi16sp, x2, 0x200020, 0x200000, 0x20, x1, 228, x3) - -inst_58: -// rs1_val == 1048576, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x100000; immval:0x90 -TEST_CI_OP( c.addi16sp, x2, 0x100090, 0x100000, 0x90, x1, 232, x3) - -inst_59: -// rs1_val == 524288, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x80000; immval:0x50 -TEST_CI_OP( c.addi16sp, x2, 0x80050, 0x80000, 0x50, x1, 236, x3) - -inst_60: -// rs1_val == 262144, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x40000; immval:-0x160 -TEST_CI_OP( c.addi16sp, x2, 0x3fea0, 0x40000, -0x160, x1, 240, x3) - -inst_61: -// rs1_val == 131072, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x20000; immval:-0xa0 -TEST_CI_OP( c.addi16sp, x2, 0x1ff60, 0x20000, -0xa0, x1, 244, x3) - -inst_62: -// rs1_val == 65536, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x10000; immval:0x150 -TEST_CI_OP( c.addi16sp, x2, 0x10150, 0x10000, 0x150, x1, 248, x3) - -inst_63: -// rs1_val == 32768, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x8000; immval:0x1f0 -TEST_CI_OP( c.addi16sp, x2, 0x81f0, 0x8000, 0x1f0, x1, 252, x3) - -inst_64: -// rs1_val == 16384, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x4000; immval:0x40 -TEST_CI_OP( c.addi16sp, x2, 0x4040, 0x4000, 0x40, x1, 256, x3) - -inst_65: -// rs1_val == 8192, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x2000; immval:0x80 -TEST_CI_OP( c.addi16sp, x2, 0x2080, 0x2000, 0x80, x1, 260, x3) - -inst_66: -// rs1_val == 4096, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x1000; immval:0x1f0 -TEST_CI_OP( c.addi16sp, x2, 0x11f0, 0x1000, 0x1f0, x1, 264, x3) - -inst_67: -// rs1_val == 2048, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x800; immval:0x100 -TEST_CI_OP( c.addi16sp, x2, 0x900, 0x800, 0x100, x1, 268, x3) - -inst_68: -// rs1_val == 1024, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x400; immval:0x1f0 -TEST_CI_OP( c.addi16sp, x2, 0x5f0, 0x400, 0x1f0, x1, 272, x3) - -inst_69: -// rs1_val == 512, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x200; immval:0x150 -TEST_CI_OP( c.addi16sp, x2, 0x350, 0x200, 0x150, x1, 276, x3) - -inst_70: -// rs1_val == 256, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x100; immval:0x1f0 -TEST_CI_OP( c.addi16sp, x2, 0x2f0, 0x100, 0x1f0, x1, 280, x3) - -inst_71: -// rs1_val == 128, -// opcode:c.addi16sp; op1:x2; dest:x2 op1val:0x80; immval:0x30 -TEST_CI_OP( c.addi16sp, x2, 0xb0, 0x80, 0x30, x1, 284, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/caddi4spn-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/caddi4spn-01.S deleted file mode 100644 index 9ecd98f0f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/caddi4spn-01.S +++ /dev/null @@ -1,165 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.addi4spn instruction of the RISC-V C extension for the caddi4spn covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",caddi4spn) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rd==x10, imm_val == 1020, imm_val > 0 -// opcode:c.addi4spn; dest:x10; immval:0x3fc -TEST_CADDI4SPN_OP( c.addi4spn, x10, 0x3fc, 0x3fc, x1, 0, x2) - -inst_1: -// rd==x13, imm_val == 508, -// opcode:c.addi4spn; dest:x13; immval:0x1fc -TEST_CADDI4SPN_OP( c.addi4spn, x13, 0x1fc, 0x1fc, x1, 4, x2) - -inst_2: -// rd==x9, imm_val == 764, -// opcode:c.addi4spn; dest:x9; immval:0x2fc -TEST_CADDI4SPN_OP( c.addi4spn, x9, 0x2fc, 0x2fc, x1, 8, x2) - -inst_3: -// rd==x8, imm_val == 892, -// opcode:c.addi4spn; dest:x8; immval:0x37c -TEST_CADDI4SPN_OP( c.addi4spn, x8, 0x37c, 0x37c, x1, 12, x2) - -inst_4: -// rd==x14, imm_val == 956, -// opcode:c.addi4spn; dest:x14; immval:0x3bc -TEST_CADDI4SPN_OP( c.addi4spn, x14, 0x3bc, 0x3bc, x1, 16, x2) - -inst_5: -// rd==x11, imm_val == 988, -// opcode:c.addi4spn; dest:x11; immval:0x3dc -TEST_CADDI4SPN_OP( c.addi4spn, x11, 0x3dc, 0x3dc, x1, 20, x2) - -inst_6: -// rd==x15, imm_val == 1004, -// opcode:c.addi4spn; dest:x15; immval:0x3ec -TEST_CADDI4SPN_OP( c.addi4spn, x15, 0x3ec, 0x3ec, x1, 24, x2) - -inst_7: -// rd==x12, imm_val == 1012, -// opcode:c.addi4spn; dest:x12; immval:0x3f4 -TEST_CADDI4SPN_OP( c.addi4spn, x12, 0x3f4, 0x3f4, x1, 28, x2) - -inst_8: -// imm_val == 1016, -// opcode:c.addi4spn; dest:x10; immval:0x3f8 -TEST_CADDI4SPN_OP( c.addi4spn, x10, 0x3f8, 0x3f8, x1, 32, x2) - -inst_9: -// imm_val == 512, -// opcode:c.addi4spn; dest:x10; immval:0x200 -TEST_CADDI4SPN_OP( c.addi4spn, x10, 0x200, 0x200, x1, 36, x2) - -inst_10: -// imm_val == 256, -// opcode:c.addi4spn; dest:x10; immval:0x100 -TEST_CADDI4SPN_OP( c.addi4spn, x10, 0x100, 0x100, x1, 40, x2) - -inst_11: -// imm_val == 128, -// opcode:c.addi4spn; dest:x10; immval:0x80 -TEST_CADDI4SPN_OP( c.addi4spn, x10, 0x80, 0x80, x1, 44, x2) - -inst_12: -// imm_val == 4, -// opcode:c.addi4spn; dest:x10; immval:0x4 -TEST_CADDI4SPN_OP( c.addi4spn, x10, 0x4, 0x4, x1, 48, x2) - -inst_13: -// imm_val == 680, -// opcode:c.addi4spn; dest:x10; immval:0x2a8 -TEST_CADDI4SPN_OP( c.addi4spn, x10, 0x2a8, 0x2a8, x1, 52, x2) - -inst_14: -// imm_val == 340, -// opcode:c.addi4spn; dest:x10; immval:0x154 -TEST_CADDI4SPN_OP( c.addi4spn, x10, 0x154, 0x154, x1, 56, x2) - -inst_15: -// imm_val == 64, -// opcode:c.addi4spn; dest:x10; immval:0x40 -TEST_CADDI4SPN_OP( c.addi4spn, x10, 0x40, 0x40, x1, 60, x2) - -inst_16: -// imm_val == 32, -// opcode:c.addi4spn; dest:x10; immval:0x20 -TEST_CADDI4SPN_OP( c.addi4spn, x10, 0x20, 0x20, x1, 64, x2) - -inst_17: -// imm_val == 16, -// opcode:c.addi4spn; dest:x10; immval:0x10 -TEST_CADDI4SPN_OP( c.addi4spn, x10, 0x10, 0x10, x1, 68, x2) - -inst_18: -// imm_val == 8, -// opcode:c.addi4spn; dest:x10; immval:0x8 -TEST_CADDI4SPN_OP( c.addi4spn, x10, 0x8, 0x8, x1, 72, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 19*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cand-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cand-01.S deleted file mode 100644 index 06dbde15d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cand-01.S +++ /dev/null @@ -1,3005 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.and instruction of the RISC-V C extension for the cand covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cand) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2, rs1==x14, rs2==x10, rs1_val == (-2**(xlen-1)), rs2_val < 0, rs1_val == -2147483648, rs2_val == -8193 -// opcode: c.and; op1:x14; op2:x10; op1val:-0x80000000; op2val:-0x2001 -TEST_CR_OP( c.and, x14, x10, 0x80000000, -0x80000000, -0x2001, x1, 0, x2) - -inst_1: -// rs1 == rs2, rs1==x11, rs2==x11, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1), rs2_val > 0 -// opcode: c.and; op1:x11; op2:x11; op1val:0xb503; op2val:0xb503 -TEST_CR_OP( c.and, x11, x11, 0xb503, 0xb503, 0xb503, x1, 4, x2) - -inst_2: -// rs1==x12, rs2==x9, rs2_val == -1073741825, -// opcode: c.and; op1:x12; op2:x9; op1val:-0xb504; op2val:-0x40000001 -TEST_CR_OP( c.and, x12, x9, 0xbfff4afc, -0xb504, -0x40000001, x1, 8, x2) - -inst_3: -// rs1==x13, rs2==x12, rs2_val == -536870913, -// opcode: c.and; op1:x13; op2:x12; op1val:0x3; op2val:-0x20000001 -TEST_CR_OP( c.and, x13, x12, 0x3, 0x3, -0x20000001, x1, 12, x2) - -inst_4: -// rs1==x8, rs2==x14, rs2_val == -268435457, rs1_val == 512 -// opcode: c.and; op1:x8; op2:x14; op1val:0x200; op2val:-0x10000001 -TEST_CR_OP( c.and, x8, x14, 0x200, 0x200, -0x10000001, x1, 16, x2) - -inst_5: -// rs1==x10, rs2==x13, rs2_val == -134217729, rs1_val == 1431655765 -// opcode: c.and; op1:x10; op2:x13; op1val:0x55555555; op2val:-0x8000001 -TEST_CR_OP( c.and, x10, x13, 0x55555555, 0x55555555, -0x8000001, x1, 20, x2) - -inst_6: -// rs1==x15, rs2==x8, rs2_val == -67108865, rs1_val == 4096 -// opcode: c.and; op1:x15; op2:x8; op1val:0x1000; op2val:-0x4000001 -TEST_CR_OP( c.and, x15, x8, 0x1000, 0x1000, -0x4000001, x1, 24, x2) - -inst_7: -// rs1==x9, rs2==x15, rs2_val == -33554433, rs1_val == -32769 -// opcode: c.and; op1:x9; op2:x15; op1val:-0x8001; op2val:-0x2000001 -TEST_CR_OP( c.and, x9, x15, 0xfdff7fff, -0x8001, -0x2000001, x1, 28, x2) - -inst_8: -// rs2_val == -16777217, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x7; op2val:-0x1000001 -TEST_CR_OP( c.and, x10, x11, 0xfefffff9, -0x7, -0x1000001, x1, 32, x2) - -inst_9: -// rs2_val == -8388609, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x6; op2val:-0x800001 -TEST_CR_OP( c.and, x10, x11, 0xff7ffffa, -0x6, -0x800001, x1, 36, x2) - -inst_10: -// rs2_val == -4194305, rs1_val == 1073741824 -// opcode: c.and; op1:x10; op2:x11; op1val:0x40000000; op2val:-0x400001 -TEST_CR_OP( c.and, x10, x11, 0x40000000, 0x40000000, -0x400001, x1, 40, x2) - -inst_11: -// rs2_val == -2097153, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:-0x200001 -TEST_CR_OP( c.and, x10, x11, 0x6, 0x6, -0x200001, x1, 44, x2) - -inst_12: -// rs2_val == -1048577, rs1_val == 32 -// opcode: c.and; op1:x10; op2:x11; op1val:0x20; op2val:-0x100001 -TEST_CR_OP( c.and, x10, x11, 0x20, 0x20, -0x100001, x1, 48, x2) - -inst_13: -// rs2_val == -524289, rs1_val == 536870912 -// opcode: c.and; op1:x10; op2:x11; op1val:0x20000000; op2val:-0x80001 -TEST_CR_OP( c.and, x10, x11, 0x20000000, 0x20000000, -0x80001, x1, 52, x2) - -inst_14: -// rs2_val == -262145, -// opcode: c.and; op1:x10; op2:x11; op1val:0x20; op2val:-0x40001 -TEST_CR_OP( c.and, x10, x11, 0x20, 0x20, -0x40001, x1, 56, x2) - -inst_15: -// rs2_val == -131073, rs1_val == -33 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x21; op2val:-0x20001 -TEST_CR_OP( c.and, x10, x11, 0xfffdffdf, -0x21, -0x20001, x1, 60, x2) - -inst_16: -// rs2_val == -65537, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:-0x10001 -TEST_CR_OP( c.and, x10, x11, 0x66666665, 0x66666665, -0x10001, x1, 64, x2) - -inst_17: -// rs2_val == -32769, rs1_val == 0 -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:-0x8001 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, -0x8001, x1, 68, x2) - -inst_18: -// rs2_val == -16385, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x8; op2val:-0x4001 -TEST_CR_OP( c.and, x10, x11, 0xffffbff8, -0x8, -0x4001, x1, 72, x2) - -inst_19: -// rs2_val == -4097, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:-0x1001 -TEST_CR_OP( c.and, x10, x11, 0x3, 0x3, -0x1001, x1, 76, x2) - -inst_20: -// rs2_val == -2049, rs1_val == -3 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x3; op2val:-0x801 -TEST_CR_OP( c.and, x10, x11, 0xfffff7fd, -0x3, -0x801, x1, 80, x2) - -inst_21: -// rs2_val == -1025, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:-0x401 -TEST_CR_OP( c.and, x10, x11, 0x3, 0x3, -0x401, x1, 84, x2) - -inst_22: -// rs2_val == -513, rs1_val == 2097152 -// opcode: c.and; op1:x10; op2:x11; op1val:0x200000; op2val:-0x201 -TEST_CR_OP( c.and, x10, x11, 0x200000, 0x200000, -0x201, x1, 88, x2) - -inst_23: -// rs2_val == -257, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:-0x101 -TEST_CR_OP( c.and, x10, x11, 0x55555456, 0x55555556, -0x101, x1, 92, x2) - -inst_24: -// rs2_val == -129, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x4; op2val:-0x81 -TEST_CR_OP( c.and, x10, x11, 0xffffff7c, -0x4, -0x81, x1, 96, x2) - -inst_25: -// rs2_val == -65, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:-0x41 -TEST_CR_OP( c.and, x10, x11, 0xffff4abd, -0xb503, -0x41, x1, 100, x2) - -inst_26: -// rs2_val == -33, -// opcode: c.and; op1:x10; op2:x11; op1val:0x20000000; op2val:-0x21 -TEST_CR_OP( c.and, x10, x11, 0x20000000, 0x20000000, -0x21, x1, 104, x2) - -inst_27: -// rs2_val == -17, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:-0x11 -TEST_CR_OP( c.and, x10, x11, 0x55555545, 0x55555555, -0x11, x1, 108, x2) - -inst_28: -// rs2_val == -9, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x9 -TEST_CR_OP( c.and, x10, x11, 0xaaaaaaa3, -0x55555555, -0x9, x1, 112, x2) - -inst_29: -// rs2_val == -5, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x5 -TEST_CR_OP( c.and, x10, x11, 0x80000000, -0x80000000, -0x5, x1, 116, x2) - -inst_30: -// rs2_val == -3, rs1_val == -536870913 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x3 -TEST_CR_OP( c.and, x10, x11, 0xdffffffd, -0x20000001, -0x3, x1, 120, x2) - -inst_31: -// rs2_val == -2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:-0x2 -TEST_CR_OP( c.and, x10, x11, 0x33333334, 0x33333334, -0x2, x1, 124, x2) - -inst_32: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1), rs2_val == 2048 -// opcode: c.and; op1:x10; op2:x11; op1val:0x7fffffff; op2val:0x800 -TEST_CR_OP( c.and, x10, x11, 0x800, 0x7fffffff, 0x800, x1, 128, x2) - -inst_33: -// rs1_val == -1073741825, rs2_val == 1431655765 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x40000001; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x15555555, -0x40000001, 0x55555555, x1, 132, x2) - -inst_34: -// rs1_val == -268435457, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x10000001; op2val:0x3fffffff -TEST_CR_OP( c.and, x10, x11, 0x2fffffff, -0x10000001, 0x3fffffff, x1, 136, x2) - -inst_35: -// rs1_val == -134217729, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x10001 -TEST_CR_OP( c.and, x10, x11, 0xf7feffff, -0x8000001, -0x10001, x1, 140, x2) - -inst_36: -// rs1_val == -67108865, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x4000001; op2val:0x9 -TEST_CR_OP( c.and, x10, x11, 0x9, -0x4000001, 0x9, x1, 144, x2) - -inst_37: -// rs1_val == -33554433, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x20000001 -TEST_CR_OP( c.and, x10, x11, 0xddffffff, -0x2000001, -0x20000001, x1, 148, x2) - -inst_38: -// rs1_val == -16777217, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x6 -TEST_CR_OP( c.and, x10, x11, 0xfefffffa, -0x1000001, -0x6, x1, 152, x2) - -inst_39: -// rs1_val == -8388609, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x800001; op2val:-0x40000000 -TEST_CR_OP( c.and, x10, x11, 0xc0000000, -0x800001, -0x40000000, x1, 156, x2) - -inst_40: -// rs1_val == -4194305, rs2_val == 32768 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x400001; op2val:0x8000 -TEST_CR_OP( c.and, x10, x11, 0x8000, -0x400001, 0x8000, x1, 160, x2) - -inst_41: -// rs1_val == -2097153, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x200001; op2val:-0x8001 -TEST_CR_OP( c.and, x10, x11, 0xffdf7fff, -0x200001, -0x8001, x1, 164, x2) - -inst_42: -// rs1_val == -1048577, rs2_val == 2097152 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x100001; op2val:0x200000 -TEST_CR_OP( c.and, x10, x11, 0x200000, -0x100001, 0x200000, x1, 168, x2) - -inst_43: -// rs1_val == -524289, rs2_val == 256 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x80001; op2val:0x100 -TEST_CR_OP( c.and, x10, x11, 0x100, -0x80001, 0x100, x1, 172, x2) - -inst_44: -// rs1_val == -262145, rs2_val == 0 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x40001; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, -0x40001, 0x0, x1, 176, x2) - -inst_45: -// rs1_val == -131073, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x20001; op2val:-0x4 -TEST_CR_OP( c.and, x10, x11, 0xfffdfffc, -0x20001, -0x4, x1, 180, x2) - -inst_46: -// rs1_val == -65537, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x10001; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x6, -0x10001, 0x6, x1, 184, x2) - -inst_47: -// rs1_val == -16385, rs2_val == 131072 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x4001; op2val:0x20000 -TEST_CR_OP( c.and, x10, x11, 0x20000, -0x4001, 0x20000, x1, 188, x2) - -inst_48: -// rs1_val == -8193, rs2_val == 1073741824 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x2001; op2val:0x40000000 -TEST_CR_OP( c.and, x10, x11, 0x40000000, -0x2001, 0x40000000, x1, 192, x2) - -inst_49: -// rs1_val == -4097, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x1001; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x55554554, -0x1001, 0x55555554, x1, 196, x2) - -inst_50: -// rs1_val == -2049, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x801; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0xffff42fd, -0x801, -0xb503, x1, 200, x2) - -inst_51: -// rs1_val == -1025, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x401; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x55555156, -0x401, 0x55555556, x1, 204, x2) - -inst_52: -// rs1_val == -513, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x201; op2val:-0x81 -TEST_CR_OP( c.and, x10, x11, 0xfffffd7f, -0x201, -0x81, x1, 208, x2) - -inst_53: -// rs1_val == -257, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x101; op2val:-0x3 -TEST_CR_OP( c.and, x10, x11, 0xfffffefd, -0x101, -0x3, x1, 212, x2) - -inst_54: -// rs1_val == -129, rs2_val == 4 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x81; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, -0x81, 0x4, x1, 216, x2) - -inst_55: -// rs1_val == -65, rs2_val == 512 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x41; op2val:0x200 -TEST_CR_OP( c.and, x10, x11, 0x200, -0x41, 0x200, x1, 220, x2) - -inst_56: -// rs1_val == -17, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x11; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x6, -0x11, 0x6, x1, 224, x2) - -inst_57: -// rs1_val == -9, rs2_val == 1048576 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x9; op2val:0x100000 -TEST_CR_OP( c.and, x10, x11, 0x100000, -0x9, 0x100000, x1, 228, x2) - -inst_58: -// rs1_val == -5, rs2_val == -1431655766 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x5; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0xaaaaaaaa, -0x5, -0x55555556, x1, 232, x2) - -inst_59: -// rs1_val == -2, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x2; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x2, -0x2, 0x3, x1, 236, x2) - -inst_60: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: c.and; op1:x10; op2:x11; op1val:-0x4; op2val:-0x80000000 -TEST_CR_OP( c.and, x10, x11, 0x80000000, -0x4, -0x80000000, x1, 240, x2) - -inst_61: -// rs2_val == 536870912, rs1_val == 33554432 -// opcode: c.and; op1:x10; op2:x11; op1val:0x2000000; op2val:0x20000000 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x2000000, 0x20000000, x1, 244, x2) - -inst_62: -// rs2_val == 268435456, rs1_val == 8 -// opcode: c.and; op1:x10; op2:x11; op1val:0x8; op2val:0x10000000 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x8, 0x10000000, x1, 248, x2) - -inst_63: -// rs2_val == 134217728, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x401; op2val:0x8000000 -TEST_CR_OP( c.and, x10, x11, 0x8000000, -0x401, 0x8000000, x1, 252, x2) - -inst_64: -// rs2_val == 67108864, rs1_val == 64 -// opcode: c.and; op1:x10; op2:x11; op1val:0x40; op2val:0x4000000 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x40, 0x4000000, x1, 256, x2) - -inst_65: -// rs2_val == 33554432, -// opcode: c.and; op1:x10; op2:x11; op1val:0x200000; op2val:0x2000000 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x200000, 0x2000000, x1, 260, x2) - -inst_66: -// rs2_val == 16777216, -// opcode: c.and; op1:x10; op2:x11; op1val:0x8; op2val:0x1000000 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x8, 0x1000000, x1, 264, x2) - -inst_67: -// rs2_val == 8388608, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x800000 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x55555555, 0x800000, x1, 268, x2) - -inst_68: -// rs2_val == 4194304, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x80001; op2val:0x400000 -TEST_CR_OP( c.and, x10, x11, 0x400000, -0x80001, 0x400000, x1, 272, x2) - -inst_69: -// rs2_val == 524288, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x80000 -TEST_CR_OP( c.and, x10, x11, 0x80000, -0x55555555, 0x80000, x1, 276, x2) - -inst_70: -// rs2_val == 262144, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x40000 -TEST_CR_OP( c.and, x10, x11, 0x40000, 0x55555555, 0x40000, x1, 280, x2) - -inst_71: -// rs2_val == 65536, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x10000 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x3, 0x10000, x1, 284, x2) - -inst_72: -// rs2_val == 16384, rs1_val == 8388608 -// opcode: c.and; op1:x10; op2:x11; op1val:0x800000; op2val:0x4000 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x800000, 0x4000, x1, 288, x2) - -inst_73: -// rs2_val == 8192, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x2000 -TEST_CR_OP( c.and, x10, x11, 0x2000, 0x3fffffff, 0x2000, x1, 292, x2) - -inst_74: -// rs2_val == 4096, -// opcode: c.and; op1:x10; op2:x11; op1val:0x1000; op2val:0x1000 -TEST_CR_OP( c.and, x10, x11, 0x1000, 0x1000, 0x1000, x1, 296, x2) - -inst_75: -// rs2_val == 1024, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x100001; op2val:0x400 -TEST_CR_OP( c.and, x10, x11, 0x400, -0x100001, 0x400, x1, 300, x2) - -inst_76: -// rs2_val == 128, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x2; op2val:0x80 -TEST_CR_OP( c.and, x10, x11, 0x80, -0x2, 0x80, x1, 304, x2) - -inst_77: -// rs2_val == 64, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x9; op2val:0x40 -TEST_CR_OP( c.and, x10, x11, 0x40, -0x9, 0x40, x1, 308, x2) - -inst_78: -// rs2_val == 32, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x20 -TEST_CR_OP( c.and, x10, x11, 0x20, 0x33333334, 0x20, x1, 312, x2) - -inst_79: -// rs2_val == 16, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x4001; op2val:0x10 -TEST_CR_OP( c.and, x10, x11, 0x10, -0x4001, 0x10, x1, 316, x2) - -inst_80: -// rs2_val == 8, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x8 -TEST_CR_OP( c.and, x10, x11, 0x8, -0xb503, 0x8, x1, 320, x2) - -inst_81: -// rs2_val == 2, rs1_val==1717986917 and rs2_val==2 -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x66666665, 0x2, x1, 324, x2) - -inst_82: -// rs2_val == 1, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x1 -TEST_CR_OP( c.and, x10, x11, 0x1, 0xb505, 0x1, x1, 328, x2) - -inst_83: -// rs1_val == 268435456, -// opcode: c.and; op1:x10; op2:x11; op1val:0x10000000; op2val:0x3fffffff -TEST_CR_OP( c.and, x10, x11, 0x10000000, 0x10000000, 0x3fffffff, x1, 332, x2) - -inst_84: -// rs1_val == 134217728, -// opcode: c.and; op1:x10; op2:x11; op1val:0x8000000; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x8000000, 0x3, x1, 336, x2) - -inst_85: -// rs1_val == 67108864, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4000000; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x4000000, 0x4000000, 0x55555555, x1, 340, x2) - -inst_86: -// rs1_val == 16777216, -// opcode: c.and; op1:x10; op2:x11; op1val:0x1000000; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x1000000, 0x1000000, 0x33333332, x1, 344, x2) - -inst_87: -// rs1_val == 4194304, -// opcode: c.and; op1:x10; op2:x11; op1val:0x400000; op2val:0x20000 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x400000, 0x20000, x1, 348, x2) - -inst_88: -// rs1_val == 1048576, -// opcode: c.and; op1:x10; op2:x11; op1val:0x100000; op2val:0x7fffffff -TEST_CR_OP( c.and, x10, x11, 0x100000, 0x100000, 0x7fffffff, x1, 352, x2) - -inst_89: -// rs1_val == 524288, -// opcode: c.and; op1:x10; op2:x11; op1val:0x80000; op2val:0x20 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x80000, 0x20, x1, 356, x2) - -inst_90: -// rs1_val == 262144, -// opcode: c.and; op1:x10; op2:x11; op1val:0x40000; op2val:-0x8 -TEST_CR_OP( c.and, x10, x11, 0x40000, 0x40000, -0x8, x1, 360, x2) - -inst_91: -// rs1_val == 131072, -// opcode: c.and; op1:x10; op2:x11; op1val:0x20000; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x20000, 0x20000, -0x55555556, x1, 364, x2) - -inst_92: -// rs1_val == 65536, -// opcode: c.and; op1:x10; op2:x11; op1val:0x10000; op2val:-0x401 -TEST_CR_OP( c.and, x10, x11, 0x10000, 0x10000, -0x401, x1, 368, x2) - -inst_93: -// rs1_val == 32768, -// opcode: c.and; op1:x10; op2:x11; op1val:0x8000; op2val:0x10 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x8000, 0x10, x1, 372, x2) - -inst_94: -// rs1_val == 16384, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4000; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x4000, -0x55555556, x1, 376, x2) - -inst_95: -// rs1_val == 8192, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2000; op2val:-0x21 -TEST_CR_OP( c.and, x10, x11, 0x2000, 0x2000, -0x21, x1, 380, x2) - -inst_96: -// rs1_val == 2048, -// opcode: c.and; op1:x10; op2:x11; op1val:0x800; op2val:0x1 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x800, 0x1, x1, 384, x2) - -inst_97: -// rs1_val == 1024, -// opcode: c.and; op1:x10; op2:x11; op1val:0x400; op2val:-0x400001 -TEST_CR_OP( c.and, x10, x11, 0x400, 0x400, -0x400001, x1, 388, x2) - -inst_98: -// rs1_val == 256, -// opcode: c.and; op1:x10; op2:x11; op1val:0x100; op2val:-0x10000001 -TEST_CR_OP( c.and, x10, x11, 0x100, 0x100, -0x10000001, x1, 392, x2) - -inst_99: -// rs1_val == 128, -// opcode: c.and; op1:x10; op2:x11; op1val:0x80; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x80, 0x80, -0x55555556, x1, 396, x2) - -inst_100: -// rs1_val == 16, -// opcode: c.and; op1:x10; op2:x11; op1val:0x10; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x10, 0x66666667, x1, 400, x2) - -inst_101: -// rs1_val == 4, rs1_val==4 and rs2_val==1431655766 -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x4, 0x55555556, x1, 404, x2) - -inst_102: -// rs1_val == 2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x20000 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x2, 0x20000, x1, 408, x2) - -inst_103: -// rs1_val == 1, -// opcode: c.and; op1:x10; op2:x11; op1val:0x1; op2val:0x10 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x1, 0x10, x1, 412, x2) - -inst_104: -// rs1_val==46341 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0xb505, 0xb505, 0xb505, x1, 416, x2) - -inst_105: -// rs1_val==46341 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x5, 0xb505, -0xb503, x1, 420, x2) - -inst_106: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x2405, 0xb505, 0x66666667, x1, 424, x2) - -inst_107: -// rs1_val==46341 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x3104, 0xb505, 0x33333334, x1, 428, x2) - -inst_108: -// rs1_val==46341 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x4, 0xb505, 0x6, x1, 432, x2) - -inst_109: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0xa001, 0xb505, -0x55555555, x1, 436, x2) - -inst_110: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x1504, 0xb505, 0x55555556, x1, 440, x2) - -inst_111: -// rs1_val==46341 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, 0xb505, 0x4, x1, 444, x2) - -inst_112: -// rs1_val==46341 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0xb501, 0xb505, 0xb503, x1, 448, x2) - -inst_113: -// rs1_val==46341 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0xb505, 0x0, x1, 452, x2) - -inst_114: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x2405, 0xb505, 0x66666665, x1, 456, x2) - -inst_115: -// rs1_val==46341 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x3100, 0xb505, 0x33333332, x1, 460, x2) - -inst_116: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x1504, 0xb505, 0x55555554, x1, 464, x2) - -inst_117: -// rs1_val==46341 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x0, 0xb505, 0x2, x1, 468, x2) - -inst_118: -// rs1_val==46341 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0xb504, 0xb505, 0xb504, x1, 472, x2) - -inst_119: -// rs1_val==46341 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x4, 0xb505, -0xb504, x1, 476, x2) - -inst_120: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x2404, 0xb505, 0x66666666, x1, 480, x2) - -inst_121: -// rs1_val==46341 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x3101, 0xb505, 0x33333333, x1, 484, x2) - -inst_122: -// rs1_val==46341 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x5, 0xb505, 0x5, x1, 488, x2) - -inst_123: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0xa000, 0xb505, -0x55555556, x1, 492, x2) - -inst_124: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x1505, 0xb505, 0x55555555, x1, 496, x2) - -inst_125: -// rs1_val==46341 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb505; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x1, 0xb505, 0x3, x1, 500, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x5, -0xb503, 0xb505, x1, 504, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 508, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x66664265, -0xb503, 0x66666667, x1, 512, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x33330234, -0xb503, 0x33333334, x1, 516, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x4, -0xb503, 0x6, x1, 520, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0xaaaa0aa9, -0xb503, -0x55555555, x1, 524, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x55554054, -0xb503, 0x55555556, x1, 528, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, -0xb503, 0x4, x1, 532, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x1, -0xb503, 0xb503, x1, 536, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, -0xb503, 0x0, x1, 540, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x66664265, -0xb503, 0x66666665, x1, 544, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x33330230, -0xb503, 0x33333332, x1, 548, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x55554054, -0xb503, 0x55555554, x1, 552, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x0, -0xb503, 0x2, x1, 556, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x4, -0xb503, 0xb504, x1, 560, x2) - -inst_141: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0xffff4afc, -0xb503, -0xb504, x1, 564, x2) - -inst_142: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x66664264, -0xb503, 0x66666666, x1, 568, x2) - -inst_143: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x33330231, -0xb503, 0x33333333, x1, 572, x2) - -inst_144: -// rs1_val==-46339 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x5, -0xb503, 0x5, x1, 576, x2) - -inst_145: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0xaaaa0aa8, -0xb503, -0x55555556, x1, 580, x2) - -inst_146: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x55554055, -0xb503, 0x55555555, x1, 584, x2) - -inst_147: -// rs1_val==-46339 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb503; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x1, -0xb503, 0x3, x1, 588, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x2405, 0x66666667, 0xb505, x1, 592, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x66664265, 0x66666667, -0xb503, x1, 596, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 600, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x22222224, 0x66666667, 0x33333334, x1, 604, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x6, 0x66666667, 0x6, x1, 608, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x22222223, 0x66666667, -0x55555555, x1, 612, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x44444446, 0x66666667, 0x55555556, x1, 616, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x66666667, 0x4, x1, 620, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x2403, 0x66666667, 0xb503, x1, 624, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x66666667, 0x0, x1, 628, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x66666665, 0x66666667, 0x66666665, x1, 632, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x22222222, 0x66666667, 0x33333332, x1, 636, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x44444444, 0x66666667, 0x55555554, x1, 640, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x66666667, 0x2, x1, 644, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x2404, 0x66666667, 0xb504, x1, 648, x2) - -inst_163: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x66664264, 0x66666667, -0xb504, x1, 652, x2) - -inst_164: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x66666666, 0x66666667, 0x66666666, x1, 656, x2) - -inst_165: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x22222223, 0x66666667, 0x33333333, x1, 660, x2) - -inst_166: -// rs1_val==1717986919 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x5, 0x66666667, 0x5, x1, 664, x2) - -inst_167: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x22222222, 0x66666667, -0x55555556, x1, 668, x2) - -inst_168: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x44444445, 0x66666667, 0x55555555, x1, 672, x2) - -inst_169: -// rs1_val==1717986919 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666667; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x3, 0x66666667, 0x3, x1, 676, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x3104, 0x33333334, 0xb505, x1, 680, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x33330234, 0x33333334, -0xb503, x1, 684, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x22222224, 0x33333334, 0x66666667, x1, 688, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 692, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x33333334, 0x6, x1, 696, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x22222220, 0x33333334, -0x55555555, x1, 700, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x11111114, 0x33333334, 0x55555556, x1, 704, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x33333334, 0x4, x1, 708, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x3100, 0x33333334, 0xb503, x1, 712, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x33333334, 0x0, x1, 716, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x22222224, 0x33333334, 0x66666665, x1, 720, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x33333330, 0x33333334, 0x33333332, x1, 724, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x11111114, 0x33333334, 0x55555554, x1, 728, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x33333334, 0x2, x1, 732, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x3104, 0x33333334, 0xb504, x1, 736, x2) - -inst_185: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x33330234, 0x33333334, -0xb504, x1, 740, x2) - -inst_186: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x22222224, 0x33333334, 0x66666666, x1, 744, x2) - -inst_187: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x33333330, 0x33333334, 0x33333333, x1, 748, x2) - -inst_188: -// rs1_val==858993460 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x33333334, 0x5, x1, 752, x2) - -inst_189: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x22222220, 0x33333334, -0x55555556, x1, 756, x2) - -inst_190: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x11111114, 0x33333334, 0x55555555, x1, 760, x2) - -inst_191: -// rs1_val==858993460 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333334; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x33333334, 0x3, x1, 764, x2) - -inst_192: -// rs1_val==6 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x6, 0xb505, x1, 768, x2) - -inst_193: -// rs1_val==6 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x6, -0xb503, x1, 772, x2) - -inst_194: -// rs1_val==6 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x6, 0x6, 0x66666667, x1, 776, x2) - -inst_195: -// rs1_val==6 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x6, 0x33333334, x1, 780, x2) - -inst_196: -// rs1_val==6 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x6, 0x6, 0x6, x1, 784, x2) - -inst_197: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x6, -0x55555555, x1, 788, x2) - -inst_198: -// rs1_val==6 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x6, 0x6, 0x55555556, x1, 792, x2) - -inst_199: -// rs1_val==6 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x6, 0x4, x1, 796, x2) - -inst_200: -// rs1_val==6 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x6, 0xb503, x1, 800, x2) - -inst_201: -// rs1_val==6 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x6, 0x0, x1, 804, x2) - -inst_202: -// rs1_val==6 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x6, 0x66666665, x1, 808, x2) - -inst_203: -// rs1_val==6 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x6, 0x33333332, x1, 812, x2) - -inst_204: -// rs1_val==6 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x6, 0x55555554, x1, 816, x2) - -inst_205: -// rs1_val==6 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x6, 0x2, x1, 820, x2) - -inst_206: -// rs1_val==6 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x6, 0xb504, x1, 824, x2) - -inst_207: -// rs1_val==6 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x6, -0xb504, x1, 828, x2) - -inst_208: -// rs1_val==6 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x6, 0x6, 0x66666666, x1, 832, x2) - -inst_209: -// rs1_val==6 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x6, 0x33333333, x1, 836, x2) - -inst_210: -// rs1_val==6 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x6, 0x5, x1, 840, x2) - -inst_211: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x6, -0x55555556, x1, 844, x2) - -inst_212: -// rs1_val==6 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x6, 0x55555555, x1, 848, x2) - -inst_213: -// rs1_val==6 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x6; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x6, 0x3, x1, 852, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0xa001, -0x55555555, 0xb505, x1, 856, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0xaaaa0aa9, -0x55555555, -0xb503, x1, 860, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x22222223, -0x55555555, 0x66666667, x1, 864, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x22222220, -0x55555555, 0x33333334, x1, 868, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x2, -0x55555555, 0x6, x1, 872, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 876, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x2, -0x55555555, 0x55555556, x1, 880, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x0, -0x55555555, 0x4, x1, 884, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0xa003, -0x55555555, 0xb503, x1, 888, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, -0x55555555, 0x0, x1, 892, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x22222221, -0x55555555, 0x66666665, x1, 896, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x22222222, -0x55555555, 0x33333332, x1, 900, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x0, -0x55555555, 0x55555554, x1, 904, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x2, -0x55555555, 0x2, x1, 908, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0xa000, -0x55555555, 0xb504, x1, 912, x2) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0xaaaa0aa8, -0x55555555, -0xb504, x1, 916, x2) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x22222222, -0x55555555, 0x66666666, x1, 920, x2) - -inst_231: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x22222223, -0x55555555, 0x33333333, x1, 924, x2) - -inst_232: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x1, -0x55555555, 0x5, x1, 928, x2) - -inst_233: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0xaaaaaaaa, -0x55555555, -0x55555556, x1, 932, x2) - -inst_234: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x1, -0x55555555, 0x55555555, x1, 936, x2) - -inst_235: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x3, -0x55555555, 0x3, x1, 940, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x1504, 0x55555556, 0xb505, x1, 944, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x55554054, 0x55555556, -0xb503, x1, 948, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x44444446, 0x55555556, 0x66666667, x1, 952, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x11111114, 0x55555556, 0x33333334, x1, 956, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x6, 0x55555556, 0x6, x1, 960, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x55555556, -0x55555555, x1, 964, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 968, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x55555556, 0x4, x1, 972, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x1502, 0x55555556, 0xb503, x1, 976, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x55555556, 0x0, x1, 980, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x44444444, 0x55555556, 0x66666665, x1, 984, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x11111112, 0x55555556, 0x33333332, x1, 988, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x55555554, 0x55555556, 0x55555554, x1, 992, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x55555556, 0x2, x1, 996, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x1504, 0x55555556, 0xb504, x1, 1000, x2) - -inst_251: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x55554054, 0x55555556, -0xb504, x1, 1004, x2) - -inst_252: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x44444446, 0x55555556, 0x66666666, x1, 1008, x2) - -inst_253: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x11111112, 0x55555556, 0x33333333, x1, 1012, x2) - -inst_254: -// rs1_val==1431655766 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x55555556, 0x5, x1, 1016, x2) - -inst_255: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x55555556, -0x55555556, x1, 1020, x2) - -inst_256: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x55555554, 0x55555556, 0x55555555, x1, 1024, x2) - -inst_257: -// rs1_val==1431655766 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555556; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x55555556, 0x3, x1, 1028, x2) - -inst_258: -// rs1_val==4 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x4, 0xb505, x1, 1032, x2) - -inst_259: -// rs1_val==4 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x4, -0xb503, x1, 1036, x2) - -inst_260: -// rs1_val==4 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x4, 0x66666667, x1, 1040, x2) - -inst_261: -// rs1_val==4 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x4, 0x33333334, x1, 1044, x2) - -inst_262: -// rs1_val==4 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x4, 0x6, x1, 1048, x2) - -inst_263: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x4, -0x55555555, x1, 1052, x2) - -inst_264: -// rs1_val==4 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x4, 0x4, x1, 1056, x2) - -inst_265: -// rs1_val==4 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x4, 0xb503, x1, 1060, x2) - -inst_266: -// rs1_val==4 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x4, 0x0, x1, 1064, x2) - -inst_267: -// rs1_val==4 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x4, 0x66666665, x1, 1068, x2) - -inst_268: -// rs1_val==4 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x4, 0x33333332, x1, 1072, x2) - -inst_269: -// rs1_val==4 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x4, 0x55555554, x1, 1076, x2) - -inst_270: -// rs1_val==4 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x4, 0x2, x1, 1080, x2) - -inst_271: -// rs1_val==4 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x4, 0xb504, x1, 1084, x2) - -inst_272: -// rs1_val==4 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x4, -0xb504, x1, 1088, x2) - -inst_273: -// rs1_val==4 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x4, 0x66666666, x1, 1092, x2) - -inst_274: -// rs1_val==4 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x4, 0x33333333, x1, 1096, x2) - -inst_275: -// rs1_val==4 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x4, 0x5, x1, 1100, x2) - -inst_276: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x4, -0x55555556, x1, 1104, x2) - -inst_277: -// rs1_val==4 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x4, 0x55555555, x1, 1108, x2) - -inst_278: -// rs1_val==4 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x4; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x4, 0x3, x1, 1112, x2) - -inst_279: -// rs1_val==46339 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0xb501, 0xb503, 0xb505, x1, 1116, x2) - -inst_280: -// rs1_val==46339 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x1, 0xb503, -0xb503, x1, 1120, x2) - -inst_281: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x2403, 0xb503, 0x66666667, x1, 1124, x2) - -inst_282: -// rs1_val==46339 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x3100, 0xb503, 0x33333334, x1, 1128, x2) - -inst_283: -// rs1_val==46339 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x2, 0xb503, 0x6, x1, 1132, x2) - -inst_284: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0xa003, 0xb503, -0x55555555, x1, 1136, x2) - -inst_285: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x1502, 0xb503, 0x55555556, x1, 1140, x2) - -inst_286: -// rs1_val==46339 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x0, 0xb503, 0x4, x1, 1144, x2) - -inst_287: -// rs1_val==46339 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1148, x2) - -inst_288: -// rs1_val==46339 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0xb503, 0x0, x1, 1152, x2) - -inst_289: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x2401, 0xb503, 0x66666665, x1, 1156, x2) - -inst_290: -// rs1_val==46339 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x3102, 0xb503, 0x33333332, x1, 1160, x2) - -inst_291: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x1500, 0xb503, 0x55555554, x1, 1164, x2) - -inst_292: -// rs1_val==46339 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x2, 0xb503, 0x2, x1, 1168, x2) - -inst_293: -// rs1_val==46339 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0xb500, 0xb503, 0xb504, x1, 1172, x2) - -inst_294: -// rs1_val==46339 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x0, 0xb503, -0xb504, x1, 1176, x2) - -inst_295: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x2402, 0xb503, 0x66666666, x1, 1180, x2) - -inst_296: -// rs1_val==46339 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x3103, 0xb503, 0x33333333, x1, 1184, x2) - -inst_297: -// rs1_val==46339 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x1, 0xb503, 0x5, x1, 1188, x2) - -inst_298: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0xa002, 0xb503, -0x55555556, x1, 1192, x2) - -inst_299: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x1501, 0xb503, 0x55555555, x1, 1196, x2) - -inst_300: -// rs1_val==46339 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb503; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x3, 0xb503, 0x3, x1, 1200, x2) - -inst_301: -// rs1_val==0 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0xb505, x1, 1204, x2) - -inst_302: -// rs1_val==0 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, -0xb503, x1, 1208, x2) - -inst_303: -// rs1_val==0 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x66666667, x1, 1212, x2) - -inst_304: -// rs1_val==0 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x33333334, x1, 1216, x2) - -inst_305: -// rs1_val==0 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x6, x1, 1220, x2) - -inst_306: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, -0x55555555, x1, 1224, x2) - -inst_307: -// rs1_val==0 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x55555556, x1, 1228, x2) - -inst_308: -// rs1_val==0 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x4, x1, 1232, x2) - -inst_309: -// rs1_val==0 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0xb503, x1, 1236, x2) - -inst_310: -// rs1_val==0 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x0, x1, 1240, x2) - -inst_311: -// rs1_val==0 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x66666665, x1, 1244, x2) - -inst_312: -// rs1_val==0 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x33333332, x1, 1248, x2) - -inst_313: -// rs1_val==0 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x55555554, x1, 1252, x2) - -inst_314: -// rs1_val==0 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x2, x1, 1256, x2) - -inst_315: -// rs1_val==0 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0xb504, x1, 1260, x2) - -inst_316: -// rs1_val==0 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, -0xb504, x1, 1264, x2) - -inst_317: -// rs1_val==0 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x66666666, x1, 1268, x2) - -inst_318: -// rs1_val==0 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x33333333, x1, 1272, x2) - -inst_319: -// rs1_val==0 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x5, x1, 1276, x2) - -inst_320: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, -0x55555556, x1, 1280, x2) - -inst_321: -// rs1_val==0 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x55555555, x1, 1284, x2) - -inst_322: -// rs1_val==0 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x0; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x0, 0x3, x1, 1288, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x2405, 0x66666665, 0xb505, x1, 1292, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x66664265, 0x66666665, -0xb503, x1, 1296, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x66666665, 0x66666665, 0x66666667, x1, 1300, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x22222224, 0x66666665, 0x33333334, x1, 1304, x2) - -inst_327: -// rs1_val==1717986917 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x66666665, 0x6, x1, 1308, x2) - -inst_328: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x22222221, 0x66666665, -0x55555555, x1, 1312, x2) - -inst_329: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x44444444, 0x66666665, 0x55555556, x1, 1316, x2) - -inst_330: -// rs1_val==1717986917 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x66666665, 0x4, x1, 1320, x2) - -inst_331: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x2401, 0x66666665, 0xb503, x1, 1324, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x33333333, 0x0, x1, 1328, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x22222221, 0x33333333, 0x66666665, x1, 1332, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x33333332, 0x33333333, 0x33333332, x1, 1336, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x11111110, 0x33333333, 0x55555554, x1, 1340, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x33333333, 0x2, x1, 1344, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x3100, 0x33333333, 0xb504, x1, 1348, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x33330230, 0x33333333, -0xb504, x1, 1352, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x22222222, 0x33333333, 0x66666666, x1, 1356, x2) - -inst_340: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1360, x2) - -inst_341: -// rs1_val==858993459 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x1, 0x33333333, 0x5, x1, 1364, x2) - -inst_342: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x22222222, 0x33333333, -0x55555556, x1, 1368, x2) - -inst_343: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x11111111, 0x33333333, 0x55555555, x1, 1372, x2) - -inst_344: -// rs1_val==858993459 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x3, 0x33333333, 0x3, x1, 1376, x2) - -inst_345: -// rs1_val==5 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x5, 0x5, 0xb505, x1, 1380, x2) - -inst_346: -// rs1_val==5 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x5, 0x5, -0xb503, x1, 1384, x2) - -inst_347: -// rs1_val==5 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x5, 0x5, 0x66666667, x1, 1388, x2) - -inst_348: -// rs1_val==5 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x5, 0x33333334, x1, 1392, x2) - -inst_349: -// rs1_val==5 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x5, 0x6, x1, 1396, x2) - -inst_350: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x1, 0x5, -0x55555555, x1, 1400, x2) - -inst_351: -// rs1_val==5 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x5, 0x55555556, x1, 1404, x2) - -inst_352: -// rs1_val==5 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x5, 0x4, x1, 1408, x2) - -inst_353: -// rs1_val==5 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x1, 0x5, 0xb503, x1, 1412, x2) - -inst_354: -// rs1_val==5 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x5, 0x0, x1, 1416, x2) - -inst_355: -// rs1_val==5 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x5, 0x5, 0x66666665, x1, 1420, x2) - -inst_356: -// rs1_val==5 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x5, 0x33333332, x1, 1424, x2) - -inst_357: -// rs1_val==5 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x5, 0x55555554, x1, 1428, x2) - -inst_358: -// rs1_val==5 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x5, 0x2, x1, 1432, x2) - -inst_359: -// rs1_val==5 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x5, 0xb504, x1, 1436, x2) - -inst_360: -// rs1_val==5 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x5, -0xb504, x1, 1440, x2) - -inst_361: -// rs1_val==5 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x5, 0x66666666, x1, 1444, x2) - -inst_362: -// rs1_val==5 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x1, 0x5, 0x33333333, x1, 1448, x2) - -inst_363: -// rs1_val==5 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x5, 0x5, 0x5, x1, 1452, x2) - -inst_364: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x5, -0x55555556, x1, 1456, x2) - -inst_365: -// rs1_val==5 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x5, 0x5, 0x55555555, x1, 1460, x2) - -inst_366: -// rs1_val==5 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x5; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x1, 0x5, 0x3, x1, 1464, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0xa000, -0x55555556, 0xb505, x1, 1468, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb503, x1, 1472, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x22222222, -0x55555556, 0x66666667, x1, 1476, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x22222220, -0x55555556, 0x33333334, x1, 1480, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x2, -0x55555556, 0x6, x1, 1484, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555555, x1, 1488, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x2, -0x55555556, 0x55555556, x1, 1492, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x0, -0x55555556, 0x4, x1, 1496, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0xa002, -0x55555556, 0xb503, x1, 1500, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, -0x55555556, 0x0, x1, 1504, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x22222220, -0x55555556, 0x66666665, x1, 1508, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x22222222, -0x55555556, 0x33333332, x1, 1512, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x0, -0x55555556, 0x55555554, x1, 1516, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x2, -0x55555556, 0x2, x1, 1520, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0xa000, -0x55555556, 0xb504, x1, 1524, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb504, x1, 1528, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x22222222, -0x55555556, 0x66666666, x1, 1532, x2) - -inst_384: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x22222222, -0x55555556, 0x33333333, x1, 1536, x2) - -inst_385: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x0, -0x55555556, 0x5, x1, 1540, x2) - -inst_386: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1544, x2) - -inst_387: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x0, -0x55555556, 0x55555555, x1, 1548, x2) - -inst_388: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x2, -0x55555556, 0x3, x1, 1552, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x1505, 0x55555555, 0xb505, x1, 1556, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x55554055, 0x55555555, -0xb503, x1, 1560, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x44444445, 0x55555555, 0x66666667, x1, 1564, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x11111114, 0x55555555, 0x33333334, x1, 1568, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x55555555, 0x6, x1, 1572, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x1, 0x55555555, -0x55555555, x1, 1576, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x55555554, 0x55555555, 0x55555556, x1, 1580, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x55555555, 0x4, x1, 1584, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x1501, 0x55555555, 0xb503, x1, 1588, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x55555555, 0x0, x1, 1592, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x44444445, 0x55555555, 0x66666665, x1, 1596, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x11111110, 0x55555555, 0x33333332, x1, 1600, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x55555554, 0x55555555, 0x55555554, x1, 1604, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x55555555, 0x2, x1, 1608, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x1504, 0x55555555, 0xb504, x1, 1612, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x55554054, 0x55555555, -0xb504, x1, 1616, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x44444444, 0x55555555, 0x66666666, x1, 1620, x2) - -inst_406: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x11111111, 0x55555555, 0x33333333, x1, 1624, x2) - -inst_407: -// rs1_val==1431655765 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x5, 0x55555555, 0x5, x1, 1628, x2) - -inst_408: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x55555555, -0x55555556, x1, 1632, x2) - -inst_409: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1636, x2) - -inst_410: -// rs1_val==1431655765 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555555; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x1, 0x55555555, 0x3, x1, 1640, x2) - -inst_411: -// rs1_val==3 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x1, 0x3, 0xb505, x1, 1644, x2) - -inst_412: -// rs1_val==3 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x1, 0x3, -0xb503, x1, 1648, x2) - -inst_413: -// rs1_val==3 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x3, 0x3, 0x66666667, x1, 1652, x2) - -inst_414: -// rs1_val==3 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x3, 0x33333334, x1, 1656, x2) - -inst_415: -// rs1_val==3 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x3, 0x6, x1, 1660, x2) - -inst_416: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x3, 0x3, -0x55555555, x1, 1664, x2) - -inst_417: -// rs1_val==3 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x3, 0x55555556, x1, 1668, x2) - -inst_418: -// rs1_val==3 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x3, 0x4, x1, 1672, x2) - -inst_419: -// rs1_val==3 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x3, 0x3, 0xb503, x1, 1676, x2) - -inst_420: -// rs1_val==3 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x3, 0x0, x1, 1680, x2) - -inst_421: -// rs1_val==3 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x1, 0x3, 0x66666665, x1, 1684, x2) - -inst_422: -// rs1_val==3 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x3, 0x33333332, x1, 1688, x2) - -inst_423: -// rs1_val==3 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x3, 0x55555554, x1, 1692, x2) - -inst_424: -// rs1_val==3 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x3, 0x2, x1, 1696, x2) - -inst_425: -// rs1_val==3 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x3, 0xb504, x1, 1700, x2) - -inst_426: -// rs1_val==3 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x3, -0xb504, x1, 1704, x2) - -inst_427: -// rs1_val==3 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x3, 0x66666666, x1, 1708, x2) - -inst_428: -// rs1_val==3 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x3, 0x3, 0x33333333, x1, 1712, x2) - -inst_429: -// rs1_val==3 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x1, 0x3, 0x5, x1, 1716, x2) - -inst_430: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x3, -0x55555556, x1, 1720, x2) - -inst_431: -// rs1_val==3 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x1, 0x3, 0x55555555, x1, 1724, x2) - -inst_432: -// rs1_val==3 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x3; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x3, 0x3, 0x3, x1, 1728, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x66666665, 0x0, x1, 1732, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1736, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x22222220, 0x66666665, 0x33333332, x1, 1740, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x44444444, 0x66666665, 0x55555554, x1, 1744, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x2404, 0x66666665, 0xb504, x1, 1748, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x66664264, 0x66666665, -0xb504, x1, 1752, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x66666664, 0x66666665, 0x66666666, x1, 1756, x2) - -inst_440: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x22222221, 0x66666665, 0x33333333, x1, 1760, x2) - -inst_441: -// rs1_val==1717986917 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x5, 0x66666665, 0x5, x1, 1764, x2) - -inst_442: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x22222220, 0x66666665, -0x55555556, x1, 1768, x2) - -inst_443: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x44444445, 0x66666665, 0x55555555, x1, 1772, x2) - -inst_444: -// rs1_val==1717986917 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666665; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x1, 0x66666665, 0x3, x1, 1776, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x3100, 0x33333332, 0xb505, x1, 1780, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x33330230, 0x33333332, -0xb503, x1, 1784, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x22222222, 0x33333332, 0x66666667, x1, 1788, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x33333330, 0x33333332, 0x33333334, x1, 1792, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x33333332, 0x6, x1, 1796, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x22222222, 0x33333332, -0x55555555, x1, 1800, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x11111112, 0x33333332, 0x55555556, x1, 1804, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x33333332, 0x4, x1, 1808, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x3102, 0x33333332, 0xb503, x1, 1812, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x33333332, 0x0, x1, 1816, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x22222220, 0x33333332, 0x66666665, x1, 1820, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1824, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x11111110, 0x33333332, 0x55555554, x1, 1828, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x33333332, 0x2, x1, 1832, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x3100, 0x33333332, 0xb504, x1, 1836, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x33330230, 0x33333332, -0xb504, x1, 1840, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x22222222, 0x33333332, 0x66666666, x1, 1844, x2) - -inst_462: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x33333332, 0x33333332, 0x33333333, x1, 1848, x2) - -inst_463: -// rs1_val==858993458 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x33333332, 0x5, x1, 1852, x2) - -inst_464: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x22222222, 0x33333332, -0x55555556, x1, 1856, x2) - -inst_465: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x11111110, 0x33333332, 0x55555555, x1, 1860, x2) - -inst_466: -// rs1_val==858993458 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333332; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x33333332, 0x3, x1, 1864, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x1504, 0x55555554, 0xb505, x1, 1868, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x55554054, 0x55555554, -0xb503, x1, 1872, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x44444444, 0x55555554, 0x66666667, x1, 1876, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x11111114, 0x55555554, 0x33333334, x1, 1880, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x55555554, 0x6, x1, 1884, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x55555554, -0x55555555, x1, 1888, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x55555554, 0x55555554, 0x55555556, x1, 1892, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x55555554, 0x4, x1, 1896, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x1500, 0x55555554, 0xb503, x1, 1900, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x55555554, 0x0, x1, 1904, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x44444444, 0x55555554, 0x66666665, x1, 1908, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x11111110, 0x55555554, 0x33333332, x1, 1912, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1916, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x55555554, 0x2, x1, 1920, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x1504, 0x55555554, 0xb504, x1, 1924, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x55554054, 0x55555554, -0xb504, x1, 1928, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x44444444, 0x55555554, 0x66666666, x1, 1932, x2) - -inst_484: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x11111110, 0x55555554, 0x33333333, x1, 1936, x2) - -inst_485: -// rs1_val==1431655764 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x55555554, 0x5, x1, 1940, x2) - -inst_486: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x55555554, -0x55555556, x1, 1944, x2) - -inst_487: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x55555554, 0x55555554, 0x55555555, x1, 1948, x2) - -inst_488: -// rs1_val==1431655764 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x55555554; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x55555554, 0x3, x1, 1952, x2) - -inst_489: -// rs1_val==2 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x2, 0xb505, x1, 1956, x2) - -inst_490: -// rs1_val==2 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x2, -0xb503, x1, 1960, x2) - -inst_491: -// rs1_val==2 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x2, 0x66666667, x1, 1964, x2) - -inst_492: -// rs1_val==2 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x2, 0x33333334, x1, 1968, x2) - -inst_493: -// rs1_val==2 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x2, 0x6, x1, 1972, x2) - -inst_494: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x2, -0x55555555, x1, 1976, x2) - -inst_495: -// rs1_val==2 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x2, 0x55555556, x1, 1980, x2) - -inst_496: -// rs1_val==2 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x2, 0x4, x1, 1984, x2) - -inst_497: -// rs1_val==2 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x2, 0xb503, x1, 1988, x2) - -inst_498: -// rs1_val==2 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x2, 0x0, x1, 1992, x2) - -inst_499: -// rs1_val==2 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x2, 0x66666665, x1, 1996, x2) - -inst_500: -// rs1_val==2 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x2, 0x33333332, x1, 2000, x2) - -inst_501: -// rs1_val==2 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x2, 0x55555554, x1, 2004, x2) - -inst_502: -// rs1_val==2 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x2, 0x2, x1, 2008, x2) - -inst_503: -// rs1_val==2 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x2, 0xb504, x1, 2012, x2) - -inst_504: -// rs1_val==2 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x2, -0xb504, x1, 2016, x2) - -inst_505: -// rs1_val==2 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x2, 0x66666666, x1, 2020, x2) - -inst_506: -// rs1_val==2 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x2, 0x33333333, x1, 2024, x2) - -inst_507: -// rs1_val==2 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x2, 0x5, x1, 2028, x2) - -inst_508: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x2, -0x55555556, x1, 2032, x2) - -inst_509: -// rs1_val==2 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x2, 0x55555555, x1, 2036, x2) - -inst_510: -// rs1_val==2 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x2; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x2, 0x3, x1, 2040, x2) - -inst_511: -// rs1_val==46340 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0xb504, 0xb504, 0xb505, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_512: -// rs1_val==46340 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x4, 0xb504, -0xb503, x1, 0, x2) - -inst_513: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x2404, 0xb504, 0x66666667, x1, 4, x2) - -inst_514: -// rs1_val==46340 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x3104, 0xb504, 0x33333334, x1, 8, x2) - -inst_515: -// rs1_val==46340 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x4, 0xb504, 0x6, x1, 12, x2) - -inst_516: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0xa000, 0xb504, -0x55555555, x1, 16, x2) - -inst_517: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x1504, 0xb504, 0x55555556, x1, 20, x2) - -inst_518: -// rs1_val==46340 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, 0xb504, 0x4, x1, 24, x2) - -inst_519: -// rs1_val==46340 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0xb500, 0xb504, 0xb503, x1, 28, x2) - -inst_520: -// rs1_val==46340 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0xb504, 0x0, x1, 32, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x2404, 0xb504, 0x66666665, x1, 36, x2) - -inst_522: -// rs1_val==46340 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x3100, 0xb504, 0x33333332, x1, 40, x2) - -inst_523: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x1504, 0xb504, 0x55555554, x1, 44, x2) - -inst_524: -// rs1_val==46340 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x0, 0xb504, 0x2, x1, 48, x2) - -inst_525: -// rs1_val==46340 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0xb504, 0xb504, 0xb504, x1, 52, x2) - -inst_526: -// rs1_val==46340 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x4, 0xb504, -0xb504, x1, 56, x2) - -inst_527: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x2404, 0xb504, 0x66666666, x1, 60, x2) - -inst_528: -// rs1_val==46340 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x3100, 0xb504, 0x33333333, x1, 64, x2) - -inst_529: -// rs1_val==46340 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x4, 0xb504, 0x5, x1, 68, x2) - -inst_530: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0xa000, 0xb504, -0x55555556, x1, 72, x2) - -inst_531: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x1504, 0xb504, 0x55555555, x1, 76, x2) - -inst_532: -// rs1_val==46340 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0xb504; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x0, 0xb504, 0x3, x1, 80, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x4, -0xb504, 0xb505, x1, 84, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0xffff4afc, -0xb504, -0xb503, x1, 88, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x66664264, -0xb504, 0x66666667, x1, 92, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x33330234, -0xb504, 0x33333334, x1, 96, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x4, -0xb504, 0x6, x1, 100, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555555, x1, 104, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x55554054, -0xb504, 0x55555556, x1, 108, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, -0xb504, 0x4, x1, 112, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x0, -0xb504, 0xb503, x1, 116, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, -0xb504, 0x0, x1, 120, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x66664264, -0xb504, 0x66666665, x1, 124, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x33330230, -0xb504, 0x33333332, x1, 128, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x55554054, -0xb504, 0x55555554, x1, 132, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x0, -0xb504, 0x2, x1, 136, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x4, -0xb504, 0xb504, x1, 140, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 144, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x66664264, -0xb504, 0x66666666, x1, 148, x2) - -inst_550: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x33330230, -0xb504, 0x33333333, x1, 152, x2) - -inst_551: -// rs1_val==-46340 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x4, -0xb504, 0x5, x1, 156, x2) - -inst_552: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555556, x1, 160, x2) - -inst_553: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x55554054, -0xb504, 0x55555555, x1, 164, x2) - -inst_554: -// rs1_val==-46340 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:-0xb504; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x0, -0xb504, 0x3, x1, 168, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x2404, 0x66666666, 0xb505, x1, 172, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x66664264, 0x66666666, -0xb503, x1, 176, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x66666666, 0x66666666, 0x66666667, x1, 180, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x22222224, 0x66666666, 0x33333334, x1, 184, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x6, 0x66666666, 0x6, x1, 188, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x22222222, 0x66666666, -0x55555555, x1, 192, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x44444446, 0x66666666, 0x55555556, x1, 196, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x66666666, 0x4, x1, 200, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x2402, 0x66666666, 0xb503, x1, 204, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==0, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x0 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x66666666, 0x0, x1, 208, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665 -TEST_CR_OP( c.and, x10, x11, 0x66666664, 0x66666666, 0x66666665, x1, 212, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332 -TEST_CR_OP( c.and, x10, x11, 0x22222222, 0x66666666, 0x33333332, x1, 216, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554 -TEST_CR_OP( c.and, x10, x11, 0x44444444, 0x66666666, 0x55555554, x1, 220, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==2, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x2 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x66666666, 0x2, x1, 224, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504 -TEST_CR_OP( c.and, x10, x11, 0x2404, 0x66666666, 0xb504, x1, 228, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504 -TEST_CR_OP( c.and, x10, x11, 0x66664264, 0x66666666, -0xb504, x1, 232, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666 -TEST_CR_OP( c.and, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 236, x2) - -inst_572: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333 -TEST_CR_OP( c.and, x10, x11, 0x22222222, 0x66666666, 0x33333333, x1, 240, x2) - -inst_573: -// rs1_val==1717986918 and rs2_val==5, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x5 -TEST_CR_OP( c.and, x10, x11, 0x4, 0x66666666, 0x5, x1, 244, x2) - -inst_574: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x22222222, 0x66666666, -0x55555556, x1, 248, x2) - -inst_575: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x44444444, 0x66666666, 0x55555555, x1, 252, x2) - -inst_576: -// rs1_val==1717986918 and rs2_val==3, -// opcode: c.and; op1:x10; op2:x11; op1val:0x66666666; op2val:0x3 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x66666666, 0x3, x1, 256, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==46341, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505 -TEST_CR_OP( c.and, x10, x11, 0x3101, 0x33333333, 0xb505, x1, 260, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503 -TEST_CR_OP( c.and, x10, x11, 0x33330231, 0x33333333, -0xb503, x1, 264, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667 -TEST_CR_OP( c.and, x10, x11, 0x22222223, 0x33333333, 0x66666667, x1, 268, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334 -TEST_CR_OP( c.and, x10, x11, 0x33333330, 0x33333333, 0x33333334, x1, 272, x2) - -inst_581: -// rs1_val==858993459 and rs2_val==6, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x6 -TEST_CR_OP( c.and, x10, x11, 0x2, 0x33333333, 0x6, x1, 276, x2) - -inst_582: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555 -TEST_CR_OP( c.and, x10, x11, 0x22222223, 0x33333333, -0x55555555, x1, 280, x2) - -inst_583: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556 -TEST_CR_OP( c.and, x10, x11, 0x11111112, 0x33333333, 0x55555556, x1, 284, x2) - -inst_584: -// rs1_val==858993459 and rs2_val==4, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0x4 -TEST_CR_OP( c.and, x10, x11, 0x0, 0x33333333, 0x4, x1, 288, x2) - -inst_585: -// rs1_val==858993459 and rs2_val==46339, -// opcode: c.and; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503 -TEST_CR_OP( c.and, x10, x11, 0x3103, 0x33333333, 0xb503, x1, 292, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 74*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/candi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/candi-01.S deleted file mode 100644 index ff2c2a5ba..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/candi-01.S +++ /dev/null @@ -1,1940 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.andi instruction of the RISC-V C extension for the candi covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",candi) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1==x14, imm_val == (-2**(6-1)), rs1_val != imm_val, rs1_val == 512, rs1_val > 0 and imm_val < 0, imm_val == -32 -// opcode: c.andi; op1:x14; immval:-0x20 -TEST_CI_OP( c.andi, x14, 0x200, 0x200, -0x20, x1, 0, x2) - -inst_1: -// rs1==x11, rs1_val == 2147483647, imm_val == 4, rs1_val == (2**(xlen-1)-1), rs1_val > 0 and imm_val > 0 -// opcode: c.andi; op1:x11; immval:0x4 -TEST_CI_OP( c.andi, x11, 0x4, 0x7fffffff, 0x4, x1, 4, x2) - -inst_2: -// rs1==x8, rs1_val == -1073741825, imm_val == 0 -// opcode: c.andi; op1:x8; immval:0x0 -TEST_CI_OP( c.andi, x8, 0x0, -0x40000001, 0x0, x1, 8, x2) - -inst_3: -// rs1==x9, rs1_val == -536870913, rs1_val < 0 and imm_val > 0 -// opcode: c.andi; op1:x9; immval:0x7 -TEST_CI_OP( c.andi, x9, 0x7, -0x20000001, 0x7, x1, 12, x2) - -inst_4: -// rs1==x12, rs1_val == -268435457, -// opcode: c.andi; op1:x12; immval:0x3 -TEST_CI_OP( c.andi, x12, 0x3, -0x10000001, 0x3, x1, 16, x2) - -inst_5: -// rs1==x15, rs1_val == -134217729, -// opcode: c.andi; op1:x15; immval:0x6 -TEST_CI_OP( c.andi, x15, 0x6, -0x8000001, 0x6, x1, 20, x2) - -inst_6: -// rs1==x10, rs1_val == -67108865, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x9, -0x4000001, 0x9, x1, 24, x2) - -inst_7: -// rs1==x13, rs1_val == -33554433, -// opcode: c.andi; op1:x13; immval:0x4 -TEST_CI_OP( c.andi, x13, 0x4, -0x2000001, 0x4, x1, 28, x2) - -inst_8: -// rs1_val == -16777217, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, -0x1000001, 0x0, x1, 32, x2) - -inst_9: -// rs1_val == -8388609, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x5, -0x800001, 0x5, x1, 36, x2) - -inst_10: -// rs1_val == -4194305, rs1_val < 0 and imm_val < 0 -// opcode: c.andi; op1:x10; immval:-0x8 -TEST_CI_OP( c.andi, x10, 0xffbffff8, -0x400001, -0x8, x1, 40, x2) - -inst_11: -// rs1_val == -2097153, imm_val == -3 -// opcode: c.andi; op1:x10; immval:-0x3 -TEST_CI_OP( c.andi, x10, 0xffdffffd, -0x200001, -0x3, x1, 44, x2) - -inst_12: -// rs1_val == -1048577, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, -0x100001, 0x4, x1, 48, x2) - -inst_13: -// rs1_val == -524289, imm_val == 2 -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x2, -0x80001, 0x2, x1, 52, x2) - -inst_14: -// rs1_val == -262145, -// opcode: c.andi; op1:x10; immval:-0x8 -TEST_CI_OP( c.andi, x10, 0xfffbfff8, -0x40001, -0x8, x1, 56, x2) - -inst_15: -// rs1_val == -131073, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x7, -0x20001, 0x7, x1, 60, x2) - -inst_16: -// rs1_val == -65537, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x6, -0x10001, 0x6, x1, 64, x2) - -inst_17: -// rs1_val == -32769, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x5, -0x8001, 0x5, x1, 68, x2) - -inst_18: -// rs1_val == -16385, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, -0x4001, 0x4, x1, 72, x2) - -inst_19: -// rs1_val == -8193, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x3, -0x2001, 0x3, x1, 76, x2) - -inst_20: -// rs1_val == -4097, -// opcode: c.andi; op1:x10; immval:-0x6 -TEST_CI_OP( c.andi, x10, 0xffffeffa, -0x1001, -0x6, x1, 80, x2) - -inst_21: -// rs1_val == -2049, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x6, -0x801, 0x6, x1, 84, x2) - -inst_22: -// rs1_val == -1025, imm_val == -5 -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0xfffffbfb, -0x401, -0x5, x1, 88, x2) - -inst_23: -// rs1_val == -513, imm_val == -22 -// opcode: c.andi; op1:x10; immval:-0x16 -TEST_CI_OP( c.andi, x10, 0xfffffdea, -0x201, -0x16, x1, 92, x2) - -inst_24: -// rs1_val == -257, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x6, -0x101, 0x6, x1, 96, x2) - -inst_25: -// rs1_val == -129, -// opcode: c.andi; op1:x10; immval:-0x16 -TEST_CI_OP( c.andi, x10, 0xffffff6a, -0x81, -0x16, x1, 100, x2) - -inst_26: -// rs1_val == -65, imm_val == -2 -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0xffffffbe, -0x41, -0x2, x1, 104, x2) - -inst_27: -// rs1_val == -33, -// opcode: c.andi; op1:x10; immval:-0x10 -TEST_CI_OP( c.andi, x10, 0xffffffd0, -0x21, -0x10, x1, 108, x2) - -inst_28: -// rs1_val == -17, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, -0x11, 0x4, x1, 112, x2) - -inst_29: -// rs1_val == -9, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, -0x9, 0x0, x1, 116, x2) - -inst_30: -// rs1_val == -5, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x2, -0x5, 0x2, x1, 120, x2) - -inst_31: -// rs1_val == -3, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x0, -0x3, 0x2, x1, 124, x2) - -inst_32: -// rs1_val == -2, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x6, -0x2, 0x6, x1, 128, x2) - -inst_33: -// imm_val == 31, imm_val == (2**(6-1)-1) -// opcode: c.andi; op1:x10; immval:0x1f -TEST_CI_OP( c.andi, x10, 0x1f, -0x8000001, 0x1f, x1, 132, x2) - -inst_34: -// imm_val == -17, rs1_val == 2048 -// opcode: c.andi; op1:x10; immval:-0x11 -TEST_CI_OP( c.andi, x10, 0x800, 0x800, -0x11, x1, 136, x2) - -inst_35: -// imm_val == -9, -// opcode: c.andi; op1:x10; immval:-0x9 -TEST_CI_OP( c.andi, x10, 0x5, 0x5, -0x9, x1, 140, x2) - -inst_36: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x0, -0x80000000, 0x3, x1, 144, x2) - -inst_37: -// rs1_val == 1073741824, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x40000000, 0x0, x1, 148, x2) - -inst_38: -// rs1_val == 536870912, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x0, 0x20000000, 0x5, x1, 152, x2) - -inst_39: -// rs1_val == 268435456, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x10000000, 0x10000000, -0x2, x1, 156, x2) - -inst_40: -// rs1_val == 134217728, imm_val == 1 -// opcode: c.andi; op1:x10; immval:0x1 -TEST_CI_OP( c.andi, x10, 0x0, 0x8000000, 0x1, x1, 160, x2) - -inst_41: -// rs1_val == 67108864, imm_val == 16 -// opcode: c.andi; op1:x10; immval:0x10 -TEST_CI_OP( c.andi, x10, 0x0, 0x4000000, 0x10, x1, 164, x2) - -inst_42: -// rs1_val == 33554432, -// opcode: c.andi; op1:x10; immval:-0x20 -TEST_CI_OP( c.andi, x10, 0x2000000, 0x2000000, -0x20, x1, 168, x2) - -inst_43: -// rs1_val == 16777216, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x1000000, 0x1000000, -0x4, x1, 172, x2) - -inst_44: -// rs1_val == 8388608, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x0, 0x800000, 0x2, x1, 176, x2) - -inst_45: -// rs1_val == 4194304, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x400000, 0x0, x1, 180, x2) - -inst_46: -// rs1_val == 2097152, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x0, 0x200000, 0x4, x1, 184, x2) - -inst_47: -// rs1_val == 1048576, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x0, 0x100000, 0x4, x1, 188, x2) - -inst_48: -// rs1_val == 524288, -// opcode: c.andi; op1:x10; immval:0xf -TEST_CI_OP( c.andi, x10, 0x0, 0x80000, 0xf, x1, 192, x2) - -inst_49: -// rs1_val == 262144, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x0, 0x40000, 0x2, x1, 196, x2) - -inst_50: -// rs1_val == 131072, -// opcode: c.andi; op1:x10; immval:0x1f -TEST_CI_OP( c.andi, x10, 0x0, 0x20000, 0x1f, x1, 200, x2) - -inst_51: -// rs1_val == 65536, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x10000, 0x0, x1, 204, x2) - -inst_52: -// rs1_val == 32768, -// opcode: c.andi; op1:x10; immval:-0xa -TEST_CI_OP( c.andi, x10, 0x8000, 0x8000, -0xa, x1, 208, x2) - -inst_53: -// rs1_val == 16384, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x0, 0x4000, 0xb, x1, 212, x2) - -inst_54: -// rs1_val == 8192, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x0, 0x2000, 0x6, x1, 216, x2) - -inst_55: -// rs1_val == 4096, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x0, 0x1000, 0x6, x1, 220, x2) - -inst_56: -// rs1_val == 1024, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x0, 0x400, 0x6, x1, 224, x2) - -inst_57: -// rs1_val == 256, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x0, 0x100, 0x6, x1, 228, x2) - -inst_58: -// rs1_val == 128, -// opcode: c.andi; op1:x10; immval:-0x8 -TEST_CI_OP( c.andi, x10, 0x80, 0x80, -0x8, x1, 232, x2) - -inst_59: -// rs1_val == 64, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x0, 0x40, 0xa, x1, 236, x2) - -inst_60: -// rs1_val == 32, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x0, 0x20, 0x4, x1, 240, x2) - -inst_61: -// rs1_val == 16, imm_val == 8 -// opcode: c.andi; op1:x10; immval:0x8 -TEST_CI_OP( c.andi, x10, 0x0, 0x10, 0x8, x1, 244, x2) - -inst_62: -// rs1_val == 8, -// opcode: c.andi; op1:x10; immval:-0x11 -TEST_CI_OP( c.andi, x10, 0x8, 0x8, -0x11, x1, 248, x2) - -inst_63: -// rs1_val == 4, rs1_val==4 and imm_val==0 -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x4, 0x0, x1, 252, x2) - -inst_64: -// rs1_val == 2, -// opcode: c.andi; op1:x10; immval:0x8 -TEST_CI_OP( c.andi, x10, 0x0, 0x2, 0x8, x1, 256, x2) - -inst_65: -// rs1_val == 1, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x1, 0x0, x1, 260, x2) - -inst_66: -// rs1_val==46341 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x4, 0xb505, 0x6, x1, 264, x2) - -inst_67: -// rs1_val==46341 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0xb504, 0xb505, -0x4, x1, 268, x2) - -inst_68: -// rs1_val==46341 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0xb505, 0xb505, -0x1, x1, 272, x2) - -inst_69: -// rs1_val==46341 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x5, 0xb505, 0x7, x1, 276, x2) - -inst_70: -// rs1_val==46341 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0xb505, 0x4, x1, 280, x2) - -inst_71: -// rs1_val==46341 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x1, 0xb505, 0xb, x1, 284, x2) - -inst_72: -// rs1_val==46341 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0xb505, 0x0, x1, 288, x2) - -inst_73: -// rs1_val==46341 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x5, 0xb505, 0x5, x1, 292, x2) - -inst_74: -// rs1_val==46341 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x0, 0xb505, 0x2, x1, 296, x2) - -inst_75: -// rs1_val==46341 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x1, 0xb505, 0x9, x1, 300, x2) - -inst_76: -// rs1_val==46341 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0xb501, 0xb505, -0x5, x1, 304, x2) - -inst_77: -// rs1_val==46341 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0xb504, 0xb505, -0x2, x1, 308, x2) - -inst_78: -// rs1_val==46341 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x1, 0xb505, 0x3, x1, 312, x2) - -inst_79: -// rs1_val==46341 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x0, 0xb505, 0xa, x1, 316, x2) - -inst_80: -// rs1_val==-46339 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x4, -0xb503, 0x6, x1, 320, x2) - -inst_81: -// rs1_val==-46339 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0xffff4afc, -0xb503, -0x4, x1, 324, x2) - -inst_82: -// rs1_val==-46339 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0xffff4afd, -0xb503, -0x1, x1, 328, x2) - -inst_83: -// rs1_val==-46339 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x5, -0xb503, 0x7, x1, 332, x2) - -inst_84: -// rs1_val==-46339 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, -0xb503, 0x4, x1, 336, x2) - -inst_85: -// rs1_val==-46339 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x9, -0xb503, 0xb, x1, 340, x2) - -inst_86: -// rs1_val==-46339 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, -0xb503, 0x0, x1, 344, x2) - -inst_87: -// rs1_val==-46339 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x5, -0xb503, 0x5, x1, 348, x2) - -inst_88: -// rs1_val==-46339 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x0, -0xb503, 0x2, x1, 352, x2) - -inst_89: -// rs1_val==-46339 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x9, -0xb503, 0x9, x1, 356, x2) - -inst_90: -// rs1_val==-46339 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0xffff4af9, -0xb503, -0x5, x1, 360, x2) - -inst_91: -// rs1_val==-46339 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0xffff4afc, -0xb503, -0x2, x1, 364, x2) - -inst_92: -// rs1_val==-46339 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x1, -0xb503, 0x3, x1, 368, x2) - -inst_93: -// rs1_val==-46339 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x8, -0xb503, 0xa, x1, 372, x2) - -inst_94: -// rs1_val==1717986919 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x6, 0x66666667, 0x6, x1, 376, x2) - -inst_95: -// rs1_val==1717986919 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x66666664, 0x66666667, -0x4, x1, 380, x2) - -inst_96: -// rs1_val==1717986919 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x66666667, 0x66666667, -0x1, x1, 384, x2) - -inst_97: -// rs1_val==1717986919 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x7, 0x66666667, 0x7, x1, 388, x2) - -inst_98: -// rs1_val==1717986919 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0x66666667, 0x4, x1, 392, x2) - -inst_99: -// rs1_val==1717986919 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x3, 0x66666667, 0xb, x1, 396, x2) - -inst_100: -// rs1_val==1717986919 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x66666667, 0x0, x1, 400, x2) - -inst_101: -// rs1_val==1717986919 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x5, 0x66666667, 0x5, x1, 404, x2) - -inst_102: -// rs1_val==1717986919 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x2, 0x66666667, 0x2, x1, 408, x2) - -inst_103: -// rs1_val==1717986919 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x1, 0x66666667, 0x9, x1, 412, x2) - -inst_104: -// rs1_val==1717986919 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x66666663, 0x66666667, -0x5, x1, 416, x2) - -inst_105: -// rs1_val==1717986919 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x66666666, 0x66666667, -0x2, x1, 420, x2) - -inst_106: -// rs1_val==1717986919 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x3, 0x66666667, 0x3, x1, 424, x2) - -inst_107: -// rs1_val==1717986919 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x2, 0x66666667, 0xa, x1, 428, x2) - -inst_108: -// rs1_val==858993460 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x4, 0x33333334, 0x6, x1, 432, x2) - -inst_109: -// rs1_val==858993460 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x33333334, 0x33333334, -0x4, x1, 436, x2) - -inst_110: -// rs1_val==858993460 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x33333334, 0x33333334, -0x1, x1, 440, x2) - -inst_111: -// rs1_val==858993460 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x4, 0x33333334, 0x7, x1, 444, x2) - -inst_112: -// rs1_val==858993460 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0x33333334, 0x4, x1, 448, x2) - -inst_113: -// rs1_val==858993460 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x0, 0x33333334, 0xb, x1, 452, x2) - -inst_114: -// rs1_val==858993460 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x33333334, 0x0, x1, 456, x2) - -inst_115: -// rs1_val==858993460 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x4, 0x33333334, 0x5, x1, 460, x2) - -inst_116: -// rs1_val==858993460 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x0, 0x33333334, 0x2, x1, 464, x2) - -inst_117: -// rs1_val==858993460 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x0, 0x33333334, 0x9, x1, 468, x2) - -inst_118: -// rs1_val==858993460 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x33333330, 0x33333334, -0x5, x1, 472, x2) - -inst_119: -// rs1_val==858993460 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x33333334, 0x33333334, -0x2, x1, 476, x2) - -inst_120: -// rs1_val==858993460 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x0, 0x33333334, 0x3, x1, 480, x2) - -inst_121: -// rs1_val==858993460 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x0, 0x33333334, 0xa, x1, 484, x2) - -inst_122: -// rs1_val==6 and imm_val==6, rs1_val == imm_val -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x6, 0x6, 0x6, x1, 488, x2) - -inst_123: -// rs1_val==6 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0x6, -0x4, x1, 492, x2) - -inst_124: -// rs1_val==6 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x6, 0x6, -0x1, x1, 496, x2) - -inst_125: -// rs1_val==6 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x6, 0x6, 0x7, x1, 500, x2) - -inst_126: -// rs1_val==6 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0x6, 0x4, x1, 504, x2) - -inst_127: -// rs1_val==6 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x2, 0x6, 0xb, x1, 508, x2) - -inst_128: -// rs1_val==6 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x6, 0x0, x1, 512, x2) - -inst_129: -// rs1_val==6 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x4, 0x6, 0x5, x1, 516, x2) - -inst_130: -// rs1_val==6 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x2, 0x6, 0x2, x1, 520, x2) - -inst_131: -// rs1_val==6 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x0, 0x6, 0x9, x1, 524, x2) - -inst_132: -// rs1_val==6 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x2, 0x6, -0x5, x1, 528, x2) - -inst_133: -// rs1_val==6 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x6, 0x6, -0x2, x1, 532, x2) - -inst_134: -// rs1_val==6 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x2, 0x6, 0x3, x1, 536, x2) - -inst_135: -// rs1_val==6 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x2, 0x6, 0xa, x1, 540, x2) - -inst_136: -// rs1_val==-1431655765 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x2, -0x55555555, 0x6, x1, 544, x2) - -inst_137: -// rs1_val==-1431655765 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0xaaaaaaa8, -0x55555555, -0x4, x1, 548, x2) - -inst_138: -// rs1_val==-1431655765 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0xaaaaaaab, -0x55555555, -0x1, x1, 552, x2) - -inst_139: -// rs1_val==-1431655765 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x3, -0x55555555, 0x7, x1, 556, x2) - -inst_140: -// rs1_val==-1431655765 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x0, -0x55555555, 0x4, x1, 560, x2) - -inst_141: -// rs1_val==-1431655765 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0xb, -0x55555555, 0xb, x1, 564, x2) - -inst_142: -// rs1_val==-1431655765 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, -0x55555555, 0x0, x1, 568, x2) - -inst_143: -// rs1_val==-1431655765 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x1, -0x55555555, 0x5, x1, 572, x2) - -inst_144: -// rs1_val==-1431655765 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x2, -0x55555555, 0x2, x1, 576, x2) - -inst_145: -// rs1_val==-1431655765 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x9, -0x55555555, 0x9, x1, 580, x2) - -inst_146: -// rs1_val==-1431655765 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0xaaaaaaab, -0x55555555, -0x5, x1, 584, x2) - -inst_147: -// rs1_val==-1431655765 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0xaaaaaaaa, -0x55555555, -0x2, x1, 588, x2) - -inst_148: -// rs1_val==-1431655765 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x3, -0x55555555, 0x3, x1, 592, x2) - -inst_149: -// rs1_val==-1431655765 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0xa, -0x55555555, 0xa, x1, 596, x2) - -inst_150: -// rs1_val==1431655766 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x6, 0x55555556, 0x6, x1, 600, x2) - -inst_151: -// rs1_val==1431655766 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x55555554, 0x55555556, -0x4, x1, 604, x2) - -inst_152: -// rs1_val==1431655766 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x55555556, 0x55555556, -0x1, x1, 608, x2) - -inst_153: -// rs1_val==1431655766 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x6, 0x55555556, 0x7, x1, 612, x2) - -inst_154: -// rs1_val==1431655766 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0x55555556, 0x4, x1, 616, x2) - -inst_155: -// rs1_val==1431655766 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x2, 0x55555556, 0xb, x1, 620, x2) - -inst_156: -// rs1_val==1431655766 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x55555556, 0x0, x1, 624, x2) - -inst_157: -// rs1_val==1431655766 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x4, 0x55555556, 0x5, x1, 628, x2) - -inst_158: -// rs1_val==1431655766 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x2, 0x55555556, 0x2, x1, 632, x2) - -inst_159: -// rs1_val==1431655766 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x0, 0x55555556, 0x9, x1, 636, x2) - -inst_160: -// rs1_val==1431655766 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x55555552, 0x55555556, -0x5, x1, 640, x2) - -inst_161: -// rs1_val==1431655766 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x55555556, 0x55555556, -0x2, x1, 644, x2) - -inst_162: -// rs1_val==1431655766 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x2, 0x55555556, 0x3, x1, 648, x2) - -inst_163: -// rs1_val==1431655766 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x2, 0x55555556, 0xa, x1, 652, x2) - -inst_164: -// rs1_val==4 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x4, 0x4, 0x6, x1, 656, x2) - -inst_165: -// rs1_val==4 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0x4, -0x4, x1, 660, x2) - -inst_166: -// rs1_val==4 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x4, 0x4, -0x1, x1, 664, x2) - -inst_167: -// rs1_val==4 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x4, 0x4, 0x7, x1, 668, x2) - -inst_168: -// rs1_val==4 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0x4, 0x4, x1, 672, x2) - -inst_169: -// rs1_val==4 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x0, 0x4, 0xb, x1, 676, x2) - -inst_170: -// rs1_val==4 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x4, 0x4, 0x5, x1, 680, x2) - -inst_171: -// rs1_val==4 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x0, 0x4, 0x2, x1, 684, x2) - -inst_172: -// rs1_val==4 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x0, 0x4, 0x9, x1, 688, x2) - -inst_173: -// rs1_val==4 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x0, 0x4, -0x5, x1, 692, x2) - -inst_174: -// rs1_val==4 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x4, 0x4, -0x2, x1, 696, x2) - -inst_175: -// rs1_val==4 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x0, 0x4, 0x3, x1, 700, x2) - -inst_176: -// rs1_val==4 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x0, 0x4, 0xa, x1, 704, x2) - -inst_177: -// rs1_val==46339 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x2, 0xb503, 0x6, x1, 708, x2) - -inst_178: -// rs1_val==46339 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0xb500, 0xb503, -0x4, x1, 712, x2) - -inst_179: -// rs1_val==46339 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0xb503, 0xb503, -0x1, x1, 716, x2) - -inst_180: -// rs1_val==46339 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x3, 0xb503, 0x7, x1, 720, x2) - -inst_181: -// rs1_val==46339 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x0, 0xb503, 0x4, x1, 724, x2) - -inst_182: -// rs1_val==46339 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x3, 0xb503, 0xb, x1, 728, x2) - -inst_183: -// rs1_val==46339 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0xb503, 0x0, x1, 732, x2) - -inst_184: -// rs1_val==46339 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x1, 0xb503, 0x5, x1, 736, x2) - -inst_185: -// rs1_val==46339 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x2, 0xb503, 0x2, x1, 740, x2) - -inst_186: -// rs1_val==46339 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x1, 0xb503, 0x9, x1, 744, x2) - -inst_187: -// rs1_val==46339 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0xb503, 0xb503, -0x5, x1, 748, x2) - -inst_188: -// rs1_val==46339 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0xb502, 0xb503, -0x2, x1, 752, x2) - -inst_189: -// rs1_val==46339 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x3, 0xb503, 0x3, x1, 756, x2) - -inst_190: -// rs1_val==46339 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x2, 0xb503, 0xa, x1, 760, x2) - -inst_191: -// rs1_val==0 and imm_val==6, rs1_val == 0 -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x0, 0x0, 0x6, x1, 764, x2) - -inst_192: -// rs1_val==0 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x0, 0x0, -0x4, x1, 768, x2) - -inst_193: -// rs1_val==0 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x0, 0x0, -0x1, x1, 772, x2) - -inst_194: -// rs1_val==0 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x0, 0x0, 0x7, x1, 776, x2) - -inst_195: -// rs1_val==0 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x0, 0x0, 0x4, x1, 780, x2) - -inst_196: -// rs1_val==0 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x0, 0x0, 0xb, x1, 784, x2) - -inst_197: -// rs1_val==0 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x0, 0x0, x1, 788, x2) - -inst_198: -// rs1_val==0 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x0, 0x0, 0x5, x1, 792, x2) - -inst_199: -// rs1_val==0 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x0, 0x0, 0x2, x1, 796, x2) - -inst_200: -// rs1_val==0 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x0, 0x0, 0x9, x1, 800, x2) - -inst_201: -// rs1_val==0 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x0, 0x0, -0x5, x1, 804, x2) - -inst_202: -// rs1_val==0 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x0, 0x0, -0x2, x1, 808, x2) - -inst_203: -// rs1_val==0 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x0, 0x0, 0x3, x1, 812, x2) - -inst_204: -// rs1_val==0 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x0, 0x0, 0xa, x1, 816, x2) - -inst_205: -// rs1_val==1717986917 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x4, 0x66666665, 0x6, x1, 820, x2) - -inst_206: -// rs1_val==1717986917 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x66666664, 0x66666665, -0x4, x1, 824, x2) - -inst_207: -// rs1_val==1717986917 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x66666665, 0x66666665, -0x1, x1, 828, x2) - -inst_208: -// rs1_val==1717986917 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x5, 0x66666665, 0x7, x1, 832, x2) - -inst_209: -// rs1_val==1717986917 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0x66666665, 0x4, x1, 836, x2) - -inst_210: -// rs1_val==1717986917 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x1, 0x66666665, 0xb, x1, 840, x2) - -inst_211: -// rs1_val==1717986917 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x66666665, 0x0, x1, 844, x2) - -inst_212: -// rs1_val==1717986917 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x5, 0x66666665, 0x5, x1, 848, x2) - -inst_213: -// rs1_val==1717986917 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x0, 0x66666665, 0x2, x1, 852, x2) - -inst_214: -// rs1_val==1717986917 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x1, 0x66666665, 0x9, x1, 856, x2) - -inst_215: -// rs1_val==1717986917 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x66666661, 0x66666665, -0x5, x1, 860, x2) - -inst_216: -// rs1_val==1717986917 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x66666664, 0x66666665, -0x2, x1, 864, x2) - -inst_217: -// rs1_val==1717986917 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x1, 0x66666665, 0x3, x1, 868, x2) - -inst_218: -// rs1_val==1717986917 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x0, 0x66666665, 0xa, x1, 872, x2) - -inst_219: -// rs1_val==858993458 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x2, 0x33333332, 0x6, x1, 876, x2) - -inst_220: -// rs1_val==858993458 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x33333330, 0x33333332, -0x4, x1, 880, x2) - -inst_221: -// rs1_val==858993458 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x33333332, 0x33333332, -0x1, x1, 884, x2) - -inst_222: -// rs1_val==858993458 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x2, 0x33333332, 0x7, x1, 888, x2) - -inst_223: -// rs1_val==858993458 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x0, 0x33333332, 0x4, x1, 892, x2) - -inst_224: -// rs1_val==858993458 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x2, 0x33333332, 0xb, x1, 896, x2) - -inst_225: -// rs1_val==858993458 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x33333332, 0x0, x1, 900, x2) - -inst_226: -// rs1_val==858993458 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x0, 0x33333332, 0x5, x1, 904, x2) - -inst_227: -// rs1_val==858993458 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x2, 0x33333332, 0x2, x1, 908, x2) - -inst_228: -// rs1_val==858993458 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x0, 0x33333332, 0x9, x1, 912, x2) - -inst_229: -// rs1_val==858993458 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x33333332, 0x33333332, -0x5, x1, 916, x2) - -inst_230: -// rs1_val==858993458 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x33333332, 0x33333332, -0x2, x1, 920, x2) - -inst_231: -// rs1_val==858993458 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x2, 0x33333332, 0x3, x1, 924, x2) - -inst_232: -// rs1_val==858993458 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x2, 0x33333332, 0xa, x1, 928, x2) - -inst_233: -// rs1_val==1431655764 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x4, 0x55555554, 0x6, x1, 932, x2) - -inst_234: -// rs1_val==1431655764 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x55555554, 0x55555554, -0x4, x1, 936, x2) - -inst_235: -// rs1_val==1431655764 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x55555554, 0x55555554, -0x1, x1, 940, x2) - -inst_236: -// rs1_val==1431655764 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x4, 0x55555554, 0x7, x1, 944, x2) - -inst_237: -// rs1_val==1431655764 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0x55555554, 0x4, x1, 948, x2) - -inst_238: -// rs1_val==1431655764 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x0, 0x55555554, 0xb, x1, 952, x2) - -inst_239: -// rs1_val==1431655764 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x55555554, 0x0, x1, 956, x2) - -inst_240: -// rs1_val==1431655764 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x4, 0x55555554, 0x5, x1, 960, x2) - -inst_241: -// rs1_val==1431655764 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x0, 0x55555554, 0x2, x1, 964, x2) - -inst_242: -// rs1_val==1431655764 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x0, 0x55555554, 0x9, x1, 968, x2) - -inst_243: -// rs1_val==1431655764 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x55555550, 0x55555554, -0x5, x1, 972, x2) - -inst_244: -// rs1_val==1431655764 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x55555554, 0x55555554, -0x2, x1, 976, x2) - -inst_245: -// rs1_val==1431655764 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x0, 0x55555554, 0x3, x1, 980, x2) - -inst_246: -// rs1_val==1431655764 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x0, 0x55555554, 0xa, x1, 984, x2) - -inst_247: -// rs1_val==2 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x2, 0x2, 0x6, x1, 988, x2) - -inst_248: -// rs1_val==2 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x0, 0x2, -0x4, x1, 992, x2) - -inst_249: -// rs1_val==2 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x2, 0x2, -0x1, x1, 996, x2) - -inst_250: -// rs1_val==2 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x2, 0x2, 0x7, x1, 1000, x2) - -inst_251: -// rs1_val==2 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x0, 0x2, 0x4, x1, 1004, x2) - -inst_252: -// rs1_val==2 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x2, 0x2, 0xb, x1, 1008, x2) - -inst_253: -// rs1_val==2 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x2, 0x0, x1, 1012, x2) - -inst_254: -// rs1_val==2 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x0, 0x2, 0x5, x1, 1016, x2) - -inst_255: -// rs1_val==2 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x2, 0x2, 0x2, x1, 1020, x2) - -inst_256: -// rs1_val==2 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x0, 0x2, 0x9, x1, 1024, x2) - -inst_257: -// rs1_val==2 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x2, 0x2, -0x5, x1, 1028, x2) - -inst_258: -// rs1_val==2 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x2, 0x2, -0x2, x1, 1032, x2) - -inst_259: -// rs1_val==2 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x2, 0x2, 0x3, x1, 1036, x2) - -inst_260: -// rs1_val==2 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x2, 0x2, 0xa, x1, 1040, x2) - -inst_261: -// rs1_val==46340 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x4, 0xb504, 0x6, x1, 1044, x2) - -inst_262: -// rs1_val==46340 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0xb504, 0xb504, -0x4, x1, 1048, x2) - -inst_263: -// rs1_val==46340 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0xb504, 0xb504, -0x1, x1, 1052, x2) - -inst_264: -// rs1_val==46340 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x4, 0xb504, 0x7, x1, 1056, x2) - -inst_265: -// rs1_val==46340 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0xb504, 0x4, x1, 1060, x2) - -inst_266: -// rs1_val==46340 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x0, 0xb504, 0xb, x1, 1064, x2) - -inst_267: -// rs1_val==46340 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0xb504, 0x0, x1, 1068, x2) - -inst_268: -// rs1_val==46340 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x4, 0xb504, 0x5, x1, 1072, x2) - -inst_269: -// rs1_val==46340 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x0, 0xb504, 0x2, x1, 1076, x2) - -inst_270: -// rs1_val==46340 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x0, 0xb504, 0x9, x1, 1080, x2) - -inst_271: -// rs1_val==46340 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0xb500, 0xb504, -0x5, x1, 1084, x2) - -inst_272: -// rs1_val==46340 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0xb504, 0xb504, -0x2, x1, 1088, x2) - -inst_273: -// rs1_val==46340 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x0, 0xb504, 0x3, x1, 1092, x2) - -inst_274: -// rs1_val==46340 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x0, 0xb504, 0xa, x1, 1096, x2) - -inst_275: -// rs1_val==-46340 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x4, -0xb504, 0x6, x1, 1100, x2) - -inst_276: -// rs1_val==-46340 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0xffff4afc, -0xb504, -0x4, x1, 1104, x2) - -inst_277: -// rs1_val==-46340 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0xffff4afc, -0xb504, -0x1, x1, 1108, x2) - -inst_278: -// rs1_val==-46340 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x4, -0xb504, 0x7, x1, 1112, x2) - -inst_279: -// rs1_val==-46340 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, -0xb504, 0x4, x1, 1116, x2) - -inst_280: -// rs1_val==-46340 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x8, -0xb504, 0xb, x1, 1120, x2) - -inst_281: -// rs1_val==-46340 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, -0xb504, 0x0, x1, 1124, x2) - -inst_282: -// rs1_val==-46340 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x4, -0xb504, 0x5, x1, 1128, x2) - -inst_283: -// rs1_val==-46340 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x0, -0xb504, 0x2, x1, 1132, x2) - -inst_284: -// rs1_val==-46340 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x8, -0xb504, 0x9, x1, 1136, x2) - -inst_285: -// rs1_val==-46340 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0xffff4af8, -0xb504, -0x5, x1, 1140, x2) - -inst_286: -// rs1_val==-46340 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0xffff4afc, -0xb504, -0x2, x1, 1144, x2) - -inst_287: -// rs1_val==-46340 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x0, -0xb504, 0x3, x1, 1148, x2) - -inst_288: -// rs1_val==-46340 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x8, -0xb504, 0xa, x1, 1152, x2) - -inst_289: -// rs1_val==1717986918 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x6, 0x66666666, 0x6, x1, 1156, x2) - -inst_290: -// rs1_val==1717986918 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x66666664, 0x66666666, -0x4, x1, 1160, x2) - -inst_291: -// rs1_val==1717986918 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x66666666, 0x66666666, -0x1, x1, 1164, x2) - -inst_292: -// rs1_val==1717986918 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x6, 0x66666666, 0x7, x1, 1168, x2) - -inst_293: -// rs1_val==1717986918 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0x66666666, 0x4, x1, 1172, x2) - -inst_294: -// rs1_val==1717986918 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x2, 0x66666666, 0xb, x1, 1176, x2) - -inst_295: -// rs1_val==1717986918 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x66666666, 0x0, x1, 1180, x2) - -inst_296: -// rs1_val==1717986918 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x4, 0x66666666, 0x5, x1, 1184, x2) - -inst_297: -// rs1_val==1717986918 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x2, 0x66666666, 0x2, x1, 1188, x2) - -inst_298: -// rs1_val==1717986918 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x0, 0x66666666, 0x9, x1, 1192, x2) - -inst_299: -// rs1_val==1717986918 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x66666662, 0x66666666, -0x5, x1, 1196, x2) - -inst_300: -// rs1_val==1717986918 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x66666666, 0x66666666, -0x2, x1, 1200, x2) - -inst_301: -// rs1_val==1717986918 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x2, 0x66666666, 0x3, x1, 1204, x2) - -inst_302: -// rs1_val==1717986918 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x2, 0x66666666, 0xa, x1, 1208, x2) - -inst_303: -// rs1_val==858993459 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x2, 0x33333333, 0x6, x1, 1212, x2) - -inst_304: -// rs1_val==858993459 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x33333330, 0x33333333, -0x4, x1, 1216, x2) - -inst_305: -// rs1_val==858993459 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x33333333, 0x33333333, -0x1, x1, 1220, x2) - -inst_306: -// rs1_val==858993459 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x3, 0x33333333, 0x7, x1, 1224, x2) - -inst_307: -// rs1_val==858993459 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x0, 0x33333333, 0x4, x1, 1228, x2) - -inst_308: -// rs1_val==858993459 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x3, 0x33333333, 0xb, x1, 1232, x2) - -inst_309: -// rs1_val==858993459 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x33333333, 0x0, x1, 1236, x2) - -inst_310: -// rs1_val==858993459 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x1, 0x33333333, 0x5, x1, 1240, x2) - -inst_311: -// rs1_val==858993459 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x2, 0x33333333, 0x2, x1, 1244, x2) - -inst_312: -// rs1_val==858993459 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x1, 0x33333333, 0x9, x1, 1248, x2) - -inst_313: -// rs1_val==3 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x2, 0x3, 0xa, x1, 1252, x2) - -inst_314: -// rs1_val == -1431655766, rs1_val==-1431655766 and imm_val==5 -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x0, -0x55555556, 0x5, x1, 1256, x2) - -inst_315: -// rs1_val == 1431655765, rs1_val==1431655765 and imm_val==4 -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0x55555555, 0x4, x1, 1260, x2) - -inst_316: -// imm_val == 21, -// opcode: c.andi; op1:x10; immval:0x15 -TEST_CI_OP( c.andi, x10, 0x0, -0x55555556, 0x15, x1, 1264, x2) - -inst_317: -// rs1_val==858993459 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x33333333, 0x33333333, -0x5, x1, 1268, x2) - -inst_318: -// rs1_val==858993459 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x33333332, 0x33333333, -0x2, x1, 1272, x2) - -inst_319: -// rs1_val==858993459 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x3, 0x33333333, 0x3, x1, 1276, x2) - -inst_320: -// rs1_val==858993459 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x2, 0x33333333, 0xa, x1, 1280, x2) - -inst_321: -// rs1_val==5 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x4, 0x5, 0x6, x1, 1284, x2) - -inst_322: -// rs1_val==5 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0x5, -0x4, x1, 1288, x2) - -inst_323: -// rs1_val==5 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x5, 0x5, -0x1, x1, 1292, x2) - -inst_324: -// rs1_val==5 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x5, 0x5, 0x7, x1, 1296, x2) - -inst_325: -// rs1_val==5 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x4, 0x5, 0x4, x1, 1300, x2) - -inst_326: -// rs1_val==5 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x1, 0x5, 0xb, x1, 1304, x2) - -inst_327: -// rs1_val==5 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x5, 0x0, x1, 1308, x2) - -inst_328: -// rs1_val==5 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x5, 0x5, 0x5, x1, 1312, x2) - -inst_329: -// rs1_val==5 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x0, 0x5, 0x2, x1, 1316, x2) - -inst_330: -// rs1_val==5 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x1, 0x5, 0x9, x1, 1320, x2) - -inst_331: -// rs1_val==5 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x1, 0x5, -0x5, x1, 1324, x2) - -inst_332: -// rs1_val==5 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x4, 0x5, -0x2, x1, 1328, x2) - -inst_333: -// rs1_val==5 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x1, 0x5, 0x3, x1, 1332, x2) - -inst_334: -// rs1_val==5 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x0, 0x5, 0xa, x1, 1336, x2) - -inst_335: -// rs1_val==-1431655766 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x2, -0x55555556, 0x6, x1, 1340, x2) - -inst_336: -// rs1_val==-1431655766 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0xaaaaaaa8, -0x55555556, -0x4, x1, 1344, x2) - -inst_337: -// rs1_val==-1431655766 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0xaaaaaaaa, -0x55555556, -0x1, x1, 1348, x2) - -inst_338: -// rs1_val==-1431655766 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x2, -0x55555556, 0x7, x1, 1352, x2) - -inst_339: -// rs1_val==-1431655766 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x0, -0x55555556, 0x4, x1, 1356, x2) - -inst_340: -// rs1_val==-1431655766 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0xa, -0x55555556, 0xb, x1, 1360, x2) - -inst_341: -// rs1_val==-1431655766 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, -0x55555556, 0x0, x1, 1364, x2) - -inst_342: -// rs1_val==-1431655766 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x2, -0x55555556, 0x2, x1, 1368, x2) - -inst_343: -// rs1_val==-1431655766 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x8, -0x55555556, 0x9, x1, 1372, x2) - -inst_344: -// rs1_val==-1431655766 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0xaaaaaaaa, -0x55555556, -0x5, x1, 1376, x2) - -inst_345: -// rs1_val==-1431655766 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0xaaaaaaaa, -0x55555556, -0x2, x1, 1380, x2) - -inst_346: -// rs1_val==-1431655766 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x2, -0x55555556, 0x3, x1, 1384, x2) - -inst_347: -// rs1_val==-1431655766 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0xa, -0x55555556, 0xa, x1, 1388, x2) - -inst_348: -// rs1_val==1431655765 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x4, 0x55555555, 0x6, x1, 1392, x2) - -inst_349: -// rs1_val==1431655765 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x55555554, 0x55555555, -0x4, x1, 1396, x2) - -inst_350: -// rs1_val==1431655765 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x55555555, 0x55555555, -0x1, x1, 1400, x2) - -inst_351: -// rs1_val==1431655765 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x5, 0x55555555, 0x7, x1, 1404, x2) - -inst_352: -// rs1_val==1431655765 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x1, 0x55555555, 0xb, x1, 1408, x2) - -inst_353: -// rs1_val==1431655765 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x55555555, 0x0, x1, 1412, x2) - -inst_354: -// rs1_val==1431655765 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x5, 0x55555555, 0x5, x1, 1416, x2) - -inst_355: -// rs1_val==1431655765 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x0, 0x55555555, 0x2, x1, 1420, x2) - -inst_356: -// rs1_val==1431655765 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x1, 0x55555555, 0x9, x1, 1424, x2) - -inst_357: -// rs1_val==1431655765 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x55555551, 0x55555555, -0x5, x1, 1428, x2) - -inst_358: -// rs1_val==1431655765 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x55555554, 0x55555555, -0x2, x1, 1432, x2) - -inst_359: -// rs1_val==1431655765 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x1, 0x55555555, 0x3, x1, 1436, x2) - -inst_360: -// rs1_val==1431655765 and imm_val==10, -// opcode: c.andi; op1:x10; immval:0xa -TEST_CI_OP( c.andi, x10, 0x0, 0x55555555, 0xa, x1, 1440, x2) - -inst_361: -// rs1_val==3 and imm_val==6, -// opcode: c.andi; op1:x10; immval:0x6 -TEST_CI_OP( c.andi, x10, 0x2, 0x3, 0x6, x1, 1444, x2) - -inst_362: -// rs1_val==3 and imm_val==-4, -// opcode: c.andi; op1:x10; immval:-0x4 -TEST_CI_OP( c.andi, x10, 0x0, 0x3, -0x4, x1, 1448, x2) - -inst_363: -// rs1_val==3 and imm_val==-1, -// opcode: c.andi; op1:x10; immval:-0x1 -TEST_CI_OP( c.andi, x10, 0x3, 0x3, -0x1, x1, 1452, x2) - -inst_364: -// rs1_val==3 and imm_val==7, -// opcode: c.andi; op1:x10; immval:0x7 -TEST_CI_OP( c.andi, x10, 0x3, 0x3, 0x7, x1, 1456, x2) - -inst_365: -// rs1_val==3 and imm_val==4, -// opcode: c.andi; op1:x10; immval:0x4 -TEST_CI_OP( c.andi, x10, 0x0, 0x3, 0x4, x1, 1460, x2) - -inst_366: -// rs1_val==3 and imm_val==11, -// opcode: c.andi; op1:x10; immval:0xb -TEST_CI_OP( c.andi, x10, 0x3, 0x3, 0xb, x1, 1464, x2) - -inst_367: -// rs1_val==3 and imm_val==0, -// opcode: c.andi; op1:x10; immval:0x0 -TEST_CI_OP( c.andi, x10, 0x0, 0x3, 0x0, x1, 1468, x2) - -inst_368: -// rs1_val==3 and imm_val==5, -// opcode: c.andi; op1:x10; immval:0x5 -TEST_CI_OP( c.andi, x10, 0x1, 0x3, 0x5, x1, 1472, x2) - -inst_369: -// rs1_val==3 and imm_val==2, -// opcode: c.andi; op1:x10; immval:0x2 -TEST_CI_OP( c.andi, x10, 0x2, 0x3, 0x2, x1, 1476, x2) - -inst_370: -// rs1_val==3 and imm_val==9, -// opcode: c.andi; op1:x10; immval:0x9 -TEST_CI_OP( c.andi, x10, 0x1, 0x3, 0x9, x1, 1480, x2) - -inst_371: -// rs1_val==3 and imm_val==-5, -// opcode: c.andi; op1:x10; immval:-0x5 -TEST_CI_OP( c.andi, x10, 0x3, 0x3, -0x5, x1, 1484, x2) - -inst_372: -// rs1_val==3 and imm_val==-2, -// opcode: c.andi; op1:x10; immval:-0x2 -TEST_CI_OP( c.andi, x10, 0x2, 0x3, -0x2, x1, 1488, x2) - -inst_373: -// rs1_val==3 and imm_val==3, -// opcode: c.andi; op1:x10; immval:0x3 -TEST_CI_OP( c.andi, x10, 0x3, 0x3, 0x3, x1, 1492, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 374*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cbeqz-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cbeqz-01.S deleted file mode 100644 index a0a21cd3c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cbeqz-01.S +++ /dev/null @@ -1,490 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.beqz instruction of the RISC-V C extension for the cbeqz covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cbeqz) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1==x10, rs1_val < 0 and imm_val < 0, rs1_val == -4097 -// opcode:c.beqz; op1:x10; op1val:-0x1001; immval:0xac -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x1001, 0xac, 1b, x1, 0) - -inst_1: -// rs1==x14, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1), rs1_val > 0 and imm_val < 0 -// opcode:c.beqz; op1:x14; op1val:0x7fffffff; immval:0x14 -TEST_CBRANCH_OP(c.beqz, x2, x14, 0x7fffffff, 0x14, 1b, x1, 4) - -inst_2: -// rs1==x8, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0 -// opcode:c.beqz; op1:x8; op1val:-0x40000001; immval:0x20 -TEST_CBRANCH_OP(c.beqz, x2, x8, -0x40000001, 0x20, 3f, x1, 8) - -inst_3: -// rs1==x15, rs1_val == -536870913, -// opcode:c.beqz; op1:x15; op1val:-0x20000001; immval:0xac -TEST_CBRANCH_OP(c.beqz, x2, x15, -0x20000001, 0xac, 1b, x1, 12) - -inst_4: -// rs1==x12, rs1_val == -268435457, -// opcode:c.beqz; op1:x12; op1val:-0x10000001; immval:0x12 -TEST_CBRANCH_OP(c.beqz, x2, x12, -0x10000001, 0x12, 3f, x1, 16) - -inst_5: -// rs1==x13, rs1_val == -134217729, -// opcode:c.beqz; op1:x13; op1val:-0x8000001; immval:0x7e -TEST_CBRANCH_OP(c.beqz, x2, x13, -0x8000001, 0x7e, 3f, x1, 20) - -inst_6: -// rs1==x11, rs1_val == -67108865, -// opcode:c.beqz; op1:x11; op1val:-0x4000001; immval:0xe -TEST_CBRANCH_OP(c.beqz, x2, x11, -0x4000001, 0xe, 1b, x1, 24) - -inst_7: -// rs1==x9, rs1_val == -33554433, -// opcode:c.beqz; op1:x9; op1val:-0x2000001; immval:0xc -TEST_CBRANCH_OP(c.beqz, x2, x9, -0x2000001, 0xc, 1b, x1, 28) - -inst_8: -// rs1_val == -16777217, -// opcode:c.beqz; op1:x10; op1val:-0x1000001; immval:0x20 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x1000001, 0x20, 3f, x1, 32) - -inst_9: -// rs1_val == -8388609, -// opcode:c.beqz; op1:x10; op1val:-0x800001; immval:0x80 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x800001, 0x80, 1b, x1, 36) - -inst_10: -// rs1_val == -4194305, -// opcode:c.beqz; op1:x10; op1val:-0x400001; immval:0xe -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x400001, 0xe, 1b, x1, 40) - -inst_11: -// rs1_val == -2097153, -// opcode:c.beqz; op1:x10; op1val:-0x200001; immval:0x40 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x200001, 0x40, 3f, x1, 44) - -inst_12: -// rs1_val == -1048577, -// opcode:c.beqz; op1:x10; op1val:-0x100001; immval:0x22 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x100001, 0x22, 1b, x1, 48) - -inst_13: -// rs1_val == -524289, -// opcode:c.beqz; op1:x10; op1val:-0x80001; immval:0x82 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x80001, 0x82, 1b, x1, 52) - -inst_14: -// rs1_val == -262145, -// opcode:c.beqz; op1:x10; op1val:-0x40001; immval:0xc -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x40001, 0xc, 3f, x1, 56) - -inst_15: -// rs1_val == -131073, -// opcode:c.beqz; op1:x10; op1val:-0x20001; immval:0x6 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x20001, 0x6, 3f, x1, 60) - -inst_16: -// rs1_val == -65537, -// opcode:c.beqz; op1:x10; op1val:-0x10001; immval:0x12 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x10001, 0x12, 3f, x1, 64) - -inst_17: -// rs1_val == -32769, -// opcode:c.beqz; op1:x10; op1val:-0x8001; immval:0x42 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x8001, 0x42, 1b, x1, 68) - -inst_18: -// rs1_val == -16385, -// opcode:c.beqz; op1:x10; op1val:-0x4001; immval:0x80 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x4001, 0x80, 3f, x1, 72) - -inst_19: -// rs1_val == -8193, -// opcode:c.beqz; op1:x10; op1val:-0x2001; immval:0x7e -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x2001, 0x7e, 3f, x1, 76) - -inst_20: -// rs1_val == -2049, -// opcode:c.beqz; op1:x10; op1val:-0x801; immval:0xe -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x801, 0xe, 1b, x1, 80) - -inst_21: -// rs1_val == -1025, -// opcode:c.beqz; op1:x10; op1val:-0x401; immval:0x80 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x401, 0x80, 1b, x1, 84) - -inst_22: -// rs1_val == -513, -// opcode:c.beqz; op1:x10; op1val:-0x201; immval:0xc -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x201, 0xc, 3f, x1, 88) - -inst_23: -// rs1_val == -257, -// opcode:c.beqz; op1:x10; op1val:-0x101; immval:0xa -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x101, 0xa, 1b, x1, 92) - -inst_24: -// rs1_val == -129, -// opcode:c.beqz; op1:x10; op1val:-0x81; immval:0x14 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x81, 0x14, 1b, x1, 96) - -inst_25: -// rs1_val == -65, -// opcode:c.beqz; op1:x10; op1val:-0x41; immval:0xa -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x41, 0xa, 1b, x1, 100) - -inst_26: -// rs1_val == -33, -// opcode:c.beqz; op1:x10; op1val:-0x21; immval:0xac -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x21, 0xac, 1b, x1, 104) - -inst_27: -// rs1_val == -17, -// opcode:c.beqz; op1:x10; op1val:-0x11; immval:0x12 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x11, 0x12, 1b, x1, 108) - -inst_28: -// rs1_val == -9, -// opcode:c.beqz; op1:x10; op1val:-0x9; immval:0x20 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x9, 0x20, 3f, x1, 112) - -inst_29: -// rs1_val == -5, -// opcode:c.beqz; op1:x10; op1val:-0x5; immval:0x42 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x5, 0x42, 1b, x1, 116) - -inst_30: -// rs1_val == -3, -// opcode:c.beqz; op1:x10; op1val:-0x3; immval:0x42 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x3, 0x42, 1b, x1, 120) - -inst_31: -// rs1_val == -2, -// opcode:c.beqz; op1:x10; op1val:-0x2; immval:0xa -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x2, 0xa, 3f, x1, 124) - -inst_32: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode:c.beqz; op1:x10; op1val:-0x80000000; immval:0x6 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x80000000, 0x6, 3f, x1, 128) - -inst_33: -// rs1_val == 1073741824, rs1_val > 0 and imm_val > 0 -// opcode:c.beqz; op1:x10; op1val:0x40000000; immval:0x7e -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x40000000, 0x7e, 3f, x1, 132) - -inst_34: -// rs1_val == 536870912, -// opcode:c.beqz; op1:x10; op1val:0x20000000; immval:0x22 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x20000000, 0x22, 1b, x1, 136) - -inst_35: -// rs1_val == 268435456, -// opcode:c.beqz; op1:x10; op1val:0x10000000; immval:0x40 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x10000000, 0x40, 3f, x1, 140) - -inst_36: -// rs1_val == 134217728, -// opcode:c.beqz; op1:x10; op1val:0x8000000; immval:0x80 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x8000000, 0x80, 3f, x1, 144) - -inst_37: -// rs1_val == 67108864, -// opcode:c.beqz; op1:x10; op1val:0x4000000; immval:0x80 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x4000000, 0x80, 3f, x1, 148) - -inst_38: -// rs1_val == 33554432, -// opcode:c.beqz; op1:x10; op1val:0x2000000; immval:0x22 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x2000000, 0x22, 1b, x1, 152) - -inst_39: -// rs1_val == 16777216, -// opcode:c.beqz; op1:x10; op1val:0x1000000; immval:0x40 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x1000000, 0x40, 3f, x1, 156) - -inst_40: -// rs1_val == 8388608, -// opcode:c.beqz; op1:x10; op1val:0x800000; immval:0xe -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x800000, 0xe, 3f, x1, 160) - -inst_41: -// rs1_val == 4194304, -// opcode:c.beqz; op1:x10; op1val:0x400000; immval:0x80 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x400000, 0x80, 3f, x1, 164) - -inst_42: -// rs1_val == 2097152, -// opcode:c.beqz; op1:x10; op1val:0x200000; immval:0xe -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x200000, 0xe, 3f, x1, 168) - -inst_43: -// rs1_val == 1048576, -// opcode:c.beqz; op1:x10; op1val:0x100000; immval:0x6 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x100000, 0x6, 1b, x1, 172) - -inst_44: -// rs1_val == 524288, -// opcode:c.beqz; op1:x10; op1val:0x80000; immval:0x82 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x80000, 0x82, 1b, x1, 176) - -inst_45: -// rs1_val == 262144, -// opcode:c.beqz; op1:x10; op1val:0x40000; immval:0x42 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x40000, 0x42, 1b, x1, 180) - -inst_46: -// rs1_val == 131072, -// opcode:c.beqz; op1:x10; op1val:0x20000; immval:0xc -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x20000, 0xc, 1b, x1, 184) - -inst_47: -// rs1_val == 65536, -// opcode:c.beqz; op1:x10; op1val:0x10000; immval:0x82 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x10000, 0x82, 1b, x1, 188) - -inst_48: -// rs1_val == 32768, -// opcode:c.beqz; op1:x10; op1val:0x8000; immval:0x4 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x8000, 0x4, 1b, x1, 192) - -inst_49: -// rs1_val == 16384, -// opcode:c.beqz; op1:x10; op1val:0x4000; immval:0xa -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x4000, 0xa, 1b, x1, 196) - -inst_50: -// rs1_val == 8192, -// opcode:c.beqz; op1:x10; op1val:0x2000; immval:0x80 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x2000, 0x80, 1b, x1, 200) - -inst_51: -// rs1_val == 4096, -// opcode:c.beqz; op1:x10; op1val:0x1000; immval:0x40 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x1000, 0x40, 3f, x1, 204) - -inst_52: -// rs1_val == 2048, -// opcode:c.beqz; op1:x10; op1val:0x800; immval:0x20 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x800, 0x20, 3f, x1, 208) - -inst_53: -// rs1_val == 1024, -// opcode:c.beqz; op1:x10; op1val:0x400; immval:0xc -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x400, 0xc, 3f, x1, 212) - -inst_54: -// rs1_val == 512, -// opcode:c.beqz; op1:x10; op1val:0x200; immval:0x10 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x200, 0x10, 1b, x1, 216) - -inst_55: -// rs1_val == 256, -// opcode:c.beqz; op1:x10; op1val:0x100; immval:0x7e -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x100, 0x7e, 3f, x1, 220) - -inst_56: -// rs1_val == 128, -// opcode:c.beqz; op1:x10; op1val:0x80; immval:0x6 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x80, 0x6, 3f, x1, 224) - -inst_57: -// rs1_val == 64, -// opcode:c.beqz; op1:x10; op1val:0x40; immval:0xa -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x40, 0xa, 3f, x1, 228) - -inst_58: -// rs1_val == 32, -// opcode:c.beqz; op1:x10; op1val:0x20; immval:0x12 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x20, 0x12, 1b, x1, 232) - -inst_59: -// rs1_val == 16, -// opcode:c.beqz; op1:x10; op1val:0x10; immval:0x4 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x10, 0x4, 1b, x1, 236) - -inst_60: -// rs1_val == 1, -// opcode:c.beqz; op1:x10; op1val:0x1; immval:0xe -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x1, 0xe, 3f, x1, 240) - -inst_61: -// rs1_val==46341, -// opcode:c.beqz; op1:x10; op1val:0xb505; immval:0x10 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0xb505, 0x10, 1b, x1, 244) - -inst_62: -// rs1_val==-46339, -// opcode:c.beqz; op1:x10; op1val:-0xb503; immval:0x82 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0xb503, 0x82, 1b, x1, 248) - -inst_63: -// rs1_val==1717986919, -// opcode:c.beqz; op1:x10; op1val:0x66666667; immval:0x8 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x66666667, 0x8, 3f, x1, 252) - -inst_64: -// rs1_val==858993460, -// opcode:c.beqz; op1:x10; op1val:0x33333334; immval:0x8 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x33333334, 0x8, 3f, x1, 256) - -inst_65: -// rs1_val==6, -// opcode:c.beqz; op1:x10; op1val:0x6; immval:0x6 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x6, 0x6, 1b, x1, 260) - -inst_66: -// rs1_val==-1431655765, -// opcode:c.beqz; op1:x10; op1val:-0x55555555; immval:0x80 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x55555555, 0x80, 3f, x1, 264) - -inst_67: -// rs1_val==1431655766, -// opcode:c.beqz; op1:x10; op1val:0x55555556; immval:0x14 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x55555556, 0x14, 1b, x1, 268) - -inst_68: -// rs1_val==4, rs1_val == 4 -// opcode:c.beqz; op1:x10; op1val:0x4; immval:0x82 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x4, 0x82, 1b, x1, 272) - -inst_69: -// rs1_val==46339, -// opcode:c.beqz; op1:x10; op1val:0xb503; immval:0x14 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0xb503, 0x14, 1b, x1, 276) - -inst_70: -// rs1_val==0, rs1_val == 0, rs1_val == 0 and imm_val > 0 -// opcode:c.beqz; op1:x10; op1val:0x0; immval:0xe -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x0, 0xe, 3f, x1, 280) - -inst_71: -// rs1_val==1717986917, -// opcode:c.beqz; op1:x10; op1val:0x66666665; immval:0x80 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x66666665, 0x80, 3f, x1, 284) - -inst_72: -// rs1_val==858993458, -// opcode:c.beqz; op1:x10; op1val:0x33333332; immval:0xa -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x33333332, 0xa, 1b, x1, 288) - -inst_73: -// rs1_val==1431655764, -// opcode:c.beqz; op1:x10; op1val:0x55555554; immval:0xc -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x55555554, 0xc, 1b, x1, 292) - -inst_74: -// rs1_val==2, rs1_val == 2 -// opcode:c.beqz; op1:x10; op1val:0x2; immval:0xa -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x2, 0xa, 1b, x1, 296) - -inst_75: -// rs1_val==46340, -// opcode:c.beqz; op1:x10; op1val:0xb504; immval:0x8 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0xb504, 0x8, 1b, x1, 300) - -inst_76: -// rs1_val==-46340, -// opcode:c.beqz; op1:x10; op1val:-0xb504; immval:0x7e -TEST_CBRANCH_OP(c.beqz, x2, x10, -0xb504, 0x7e, 3f, x1, 304) - -inst_77: -// rs1_val==1717986918, -// opcode:c.beqz; op1:x10; op1val:0x66666666; immval:0xe -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x66666666, 0xe, 3f, x1, 308) - -inst_78: -// rs1_val==858993459, -// opcode:c.beqz; op1:x10; op1val:0x33333333; immval:0x12 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x33333333, 0x12, 3f, x1, 312) - -inst_79: -// rs1_val==5, -// opcode:c.beqz; op1:x10; op1val:0x5; immval:0x10 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x5, 0x10, 1b, x1, 316) - -inst_80: -// rs1_val==-1431655766, rs1_val == -1431655766 -// opcode:c.beqz; op1:x10; op1val:-0x55555556; immval:0x40 -TEST_CBRANCH_OP(c.beqz, x2, x10, -0x55555556, 0x40, 3f, x1, 320) - -inst_81: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode:c.beqz; op1:x10; op1val:0x55555555; immval:0x12 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x55555555, 0x12, 1b, x1, 324) - -inst_82: -// rs1_val == 8, -// opcode:c.beqz; op1:x10; op1val:0x8; immval:0xe -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x8, 0xe, 1b, x1, 328) - -inst_83: -// rs1_val==3, -// opcode:c.beqz; op1:x10; op1val:0x3; immval:0x4 -TEST_CBRANCH_OP(c.beqz, x2, x10, 0x3, 0x4, 1b, x1, 332) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 84*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cbnez-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cbnez-01.S deleted file mode 100644 index b59b696f5..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cbnez-01.S +++ /dev/null @@ -1,490 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.bnez instruction of the RISC-V C extension for the cbnez covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cbnez) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1==x11, rs1_val < 0 and imm_val < 0, rs1_val == -524289 -// opcode: c.bnez; op1:x11; op1val:-0x80001; immval:0x4 -TEST_CBRANCH_OP(c.bnez, x2, x11, -0x80001, 0x4, 1b, x1, 0) - -inst_1: -// rs1==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: c.bnez; op1:x10; op1val:0x7fffffff; immval:0x7e -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x7fffffff, 0x7e, 3f, x1, 4) - -inst_2: -// rs1==x8, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0 -// opcode: c.bnez; op1:x8; op1val:-0x40000001; immval:0xaa -TEST_CBRANCH_OP(c.bnez, x2, x8, -0x40000001, 0xaa, 3f, x1, 8) - -inst_3: -// rs1==x12, rs1_val == -536870913, -// opcode: c.bnez; op1:x12; op1val:-0x20000001; immval:0xe -TEST_CBRANCH_OP(c.bnez, x2, x12, -0x20000001, 0xe, 1b, x1, 12) - -inst_4: -// rs1==x15, rs1_val == -268435457, -// opcode: c.bnez; op1:x15; op1val:-0x10000001; immval:0x8 -TEST_CBRANCH_OP(c.bnez, x2, x15, -0x10000001, 0x8, 3f, x1, 16) - -inst_5: -// rs1==x13, rs1_val == -134217729, -// opcode: c.bnez; op1:x13; op1val:-0x8000001; immval:0xa -TEST_CBRANCH_OP(c.bnez, x2, x13, -0x8000001, 0xa, 1b, x1, 20) - -inst_6: -// rs1==x9, rs1_val == -67108865, -// opcode: c.bnez; op1:x9; op1val:-0x4000001; immval:0xe -TEST_CBRANCH_OP(c.bnez, x2, x9, -0x4000001, 0xe, 1b, x1, 24) - -inst_7: -// rs1==x14, rs1_val == -33554433, -// opcode: c.bnez; op1:x14; op1val:-0x2000001; immval:0x4 -TEST_CBRANCH_OP(c.bnez, x2, x14, -0x2000001, 0x4, 3f, x1, 28) - -inst_8: -// rs1_val == -16777217, -// opcode: c.bnez; op1:x10; op1val:-0x1000001; immval:0xaa -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x1000001, 0xaa, 3f, x1, 32) - -inst_9: -// rs1_val == -8388609, -// opcode: c.bnez; op1:x10; op1val:-0x800001; immval:0xc -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x800001, 0xc, 3f, x1, 36) - -inst_10: -// rs1_val == -4194305, -// opcode: c.bnez; op1:x10; op1val:-0x400001; immval:0x6 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x400001, 0x6, 1b, x1, 40) - -inst_11: -// rs1_val == -2097153, -// opcode: c.bnez; op1:x10; op1val:-0x200001; immval:0x40 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x200001, 0x40, 3f, x1, 44) - -inst_12: -// rs1_val == -1048577, -// opcode: c.bnez; op1:x10; op1val:-0x100001; immval:0xaa -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x100001, 0xaa, 3f, x1, 48) - -inst_13: -// rs1_val == -262145, -// opcode: c.bnez; op1:x10; op1val:-0x40001; immval:0xe -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x40001, 0xe, 3f, x1, 52) - -inst_14: -// rs1_val == -131073, -// opcode: c.bnez; op1:x10; op1val:-0x20001; immval:0x20 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x20001, 0x20, 3f, x1, 56) - -inst_15: -// rs1_val == -65537, -// opcode: c.bnez; op1:x10; op1val:-0x10001; immval:0x40 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x10001, 0x40, 3f, x1, 60) - -inst_16: -// rs1_val == -32769, -// opcode: c.bnez; op1:x10; op1val:-0x8001; immval:0xa -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x8001, 0xa, 1b, x1, 64) - -inst_17: -// rs1_val == -16385, -// opcode: c.bnez; op1:x10; op1val:-0x4001; immval:0x12 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x4001, 0x12, 3f, x1, 68) - -inst_18: -// rs1_val == -8193, -// opcode: c.bnez; op1:x10; op1val:-0x2001; immval:0xc -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x2001, 0xc, 1b, x1, 72) - -inst_19: -// rs1_val == -4097, -// opcode: c.bnez; op1:x10; op1val:-0x1001; immval:0x42 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x1001, 0x42, 1b, x1, 76) - -inst_20: -// rs1_val == -2049, -// opcode: c.bnez; op1:x10; op1val:-0x801; immval:0x80 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x801, 0x80, 3f, x1, 80) - -inst_21: -// rs1_val == -1025, -// opcode: c.bnez; op1:x10; op1val:-0x401; immval:0x12 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x401, 0x12, 3f, x1, 84) - -inst_22: -// rs1_val == -513, -// opcode: c.bnez; op1:x10; op1val:-0x201; immval:0x82 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x201, 0x82, 1b, x1, 88) - -inst_23: -// rs1_val == -257, -// opcode: c.bnez; op1:x10; op1val:-0x101; immval:0x80 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x101, 0x80, 1b, x1, 92) - -inst_24: -// rs1_val == -129, -// opcode: c.bnez; op1:x10; op1val:-0x81; immval:0xe -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x81, 0xe, 3f, x1, 96) - -inst_25: -// rs1_val == -65, -// opcode: c.bnez; op1:x10; op1val:-0x41; immval:0x10 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x41, 0x10, 3f, x1, 100) - -inst_26: -// rs1_val == -33, -// opcode: c.bnez; op1:x10; op1val:-0x21; immval:0x7e -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x21, 0x7e, 3f, x1, 104) - -inst_27: -// rs1_val == -17, -// opcode: c.bnez; op1:x10; op1val:-0x11; immval:0x20 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x11, 0x20, 3f, x1, 108) - -inst_28: -// rs1_val == -9, -// opcode: c.bnez; op1:x10; op1val:-0x9; immval:0x4 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x9, 0x4, 1b, x1, 112) - -inst_29: -// rs1_val == -5, -// opcode: c.bnez; op1:x10; op1val:-0x5; immval:0x80 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x5, 0x80, 1b, x1, 116) - -inst_30: -// rs1_val == -3, -// opcode: c.bnez; op1:x10; op1val:-0x3; immval:0xaa -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x3, 0xaa, 3f, x1, 120) - -inst_31: -// rs1_val == -2, -// opcode: c.bnez; op1:x10; op1val:-0x2; immval:0x10 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x2, 0x10, 1b, x1, 124) - -inst_32: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: c.bnez; op1:x10; op1val:-0x80000000; immval:0x80 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x80000000, 0x80, 1b, x1, 128) - -inst_33: -// rs1_val == 1073741824, -// opcode: c.bnez; op1:x10; op1val:0x40000000; immval:0x7e -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x40000000, 0x7e, 3f, x1, 132) - -inst_34: -// rs1_val == 536870912, rs1_val > 0 and imm_val < 0 -// opcode: c.bnez; op1:x10; op1val:0x20000000; immval:0xc -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x20000000, 0xc, 1b, x1, 136) - -inst_35: -// rs1_val == 268435456, -// opcode: c.bnez; op1:x10; op1val:0x10000000; immval:0x7e -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x10000000, 0x7e, 3f, x1, 140) - -inst_36: -// rs1_val == 134217728, -// opcode: c.bnez; op1:x10; op1val:0x8000000; immval:0x82 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x8000000, 0x82, 1b, x1, 144) - -inst_37: -// rs1_val == 67108864, -// opcode: c.bnez; op1:x10; op1val:0x4000000; immval:0xaa -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x4000000, 0xaa, 3f, x1, 148) - -inst_38: -// rs1_val == 33554432, -// opcode: c.bnez; op1:x10; op1val:0x2000000; immval:0xe -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x2000000, 0xe, 1b, x1, 152) - -inst_39: -// rs1_val == 16777216, -// opcode: c.bnez; op1:x10; op1val:0x1000000; immval:0xc -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x1000000, 0xc, 3f, x1, 156) - -inst_40: -// rs1_val == 8388608, -// opcode: c.bnez; op1:x10; op1val:0x800000; immval:0x20 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x800000, 0x20, 3f, x1, 160) - -inst_41: -// rs1_val == 4194304, -// opcode: c.bnez; op1:x10; op1val:0x400000; immval:0x8 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x400000, 0x8, 3f, x1, 164) - -inst_42: -// rs1_val == 2097152, -// opcode: c.bnez; op1:x10; op1val:0x200000; immval:0x22 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x200000, 0x22, 1b, x1, 168) - -inst_43: -// rs1_val == 1048576, -// opcode: c.bnez; op1:x10; op1val:0x100000; immval:0x4 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x100000, 0x4, 1b, x1, 172) - -inst_44: -// rs1_val == 524288, -// opcode: c.bnez; op1:x10; op1val:0x80000; immval:0x8 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x80000, 0x8, 1b, x1, 176) - -inst_45: -// rs1_val == 262144, -// opcode: c.bnez; op1:x10; op1val:0x40000; immval:0x6 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x40000, 0x6, 1b, x1, 180) - -inst_46: -// rs1_val == 131072, -// opcode: c.bnez; op1:x10; op1val:0x20000; immval:0x6 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x20000, 0x6, 1b, x1, 184) - -inst_47: -// rs1_val == 65536, -// opcode: c.bnez; op1:x10; op1val:0x10000; immval:0x7e -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x10000, 0x7e, 3f, x1, 188) - -inst_48: -// rs1_val == 32768, -// opcode: c.bnez; op1:x10; op1val:0x8000; immval:0x7e -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x8000, 0x7e, 3f, x1, 192) - -inst_49: -// rs1_val == 16384, -// opcode: c.bnez; op1:x10; op1val:0x4000; immval:0xac -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x4000, 0xac, 1b, x1, 196) - -inst_50: -// rs1_val == 8192, -// opcode: c.bnez; op1:x10; op1val:0x2000; immval:0x10 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x2000, 0x10, 3f, x1, 200) - -inst_51: -// rs1_val == 4096, -// opcode: c.bnez; op1:x10; op1val:0x1000; immval:0xc -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x1000, 0xc, 1b, x1, 204) - -inst_52: -// rs1_val == 2048, -// opcode: c.bnez; op1:x10; op1val:0x800; immval:0x7e -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x800, 0x7e, 3f, x1, 208) - -inst_53: -// rs1_val == 1024, -// opcode: c.bnez; op1:x10; op1val:0x400; immval:0xa -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x400, 0xa, 1b, x1, 212) - -inst_54: -// rs1_val == 512, -// opcode: c.bnez; op1:x10; op1val:0x200; immval:0x12 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x200, 0x12, 3f, x1, 216) - -inst_55: -// rs1_val == 256, -// opcode: c.bnez; op1:x10; op1val:0x100; immval:0xe -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x100, 0xe, 3f, x1, 220) - -inst_56: -// rs1_val == 128, -// opcode: c.bnez; op1:x10; op1val:0x80; immval:0xe -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x80, 0xe, 1b, x1, 224) - -inst_57: -// rs1_val == 64, -// opcode: c.bnez; op1:x10; op1val:0x40; immval:0x22 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x40, 0x22, 1b, x1, 228) - -inst_58: -// rs1_val == 32, -// opcode: c.bnez; op1:x10; op1val:0x20; immval:0x4 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x20, 0x4, 1b, x1, 232) - -inst_59: -// rs1_val == 16, -// opcode: c.bnez; op1:x10; op1val:0x10; immval:0x8 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x10, 0x8, 3f, x1, 236) - -inst_60: -// rs1_val == 1, -// opcode: c.bnez; op1:x10; op1val:0x1; immval:0x4 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x1, 0x4, 3f, x1, 240) - -inst_61: -// rs1_val==46341, -// opcode: c.bnez; op1:x10; op1val:0xb505; immval:0x12 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0xb505, 0x12, 1b, x1, 244) - -inst_62: -// rs1_val==-46339, -// opcode: c.bnez; op1:x10; op1val:-0xb503; immval:0x22 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0xb503, 0x22, 1b, x1, 248) - -inst_63: -// rs1_val==1717986919, -// opcode: c.bnez; op1:x10; op1val:0x66666667; immval:0x8 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x66666667, 0x8, 3f, x1, 252) - -inst_64: -// rs1_val==858993460, -// opcode: c.bnez; op1:x10; op1val:0x33333334; immval:0x4 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x33333334, 0x4, 1b, x1, 256) - -inst_65: -// rs1_val==6, -// opcode: c.bnez; op1:x10; op1val:0x6; immval:0x6 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x6, 0x6, 1b, x1, 260) - -inst_66: -// rs1_val==-1431655765, -// opcode: c.bnez; op1:x10; op1val:-0x55555555; immval:0x20 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x55555555, 0x20, 3f, x1, 264) - -inst_67: -// rs1_val==1431655766, -// opcode: c.bnez; op1:x10; op1val:0x55555556; immval:0x12 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x55555556, 0x12, 3f, x1, 268) - -inst_68: -// rs1_val==4, rs1_val == 4 -// opcode: c.bnez; op1:x10; op1val:0x4; immval:0xac -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x4, 0xac, 1b, x1, 272) - -inst_69: -// rs1_val==46339, -// opcode: c.bnez; op1:x10; op1val:0xb503; immval:0x7e -TEST_CBRANCH_OP(c.bnez, x2, x10, 0xb503, 0x7e, 3f, x1, 276) - -inst_70: -// rs1_val==0, rs1_val == 0, rs1_val == 0 and imm_val < 0 -// opcode: c.bnez; op1:x10; op1val:0x0; immval:0x12 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x0, 0x12, 1b, x1, 280) - -inst_71: -// rs1_val==1717986917, -// opcode: c.bnez; op1:x10; op1val:0x66666665; immval:0x8 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x66666665, 0x8, 3f, x1, 284) - -inst_72: -// rs1_val==858993458, -// opcode: c.bnez; op1:x10; op1val:0x33333332; immval:0x80 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x33333332, 0x80, 1b, x1, 288) - -inst_73: -// rs1_val==1431655764, -// opcode: c.bnez; op1:x10; op1val:0x55555554; immval:0x12 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x55555554, 0x12, 3f, x1, 292) - -inst_74: -// rs1_val==2, rs1_val == 2 -// opcode: c.bnez; op1:x10; op1val:0x2; immval:0x12 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x2, 0x12, 1b, x1, 296) - -inst_75: -// rs1_val==46340, -// opcode: c.bnez; op1:x10; op1val:0xb504; immval:0xaa -TEST_CBRANCH_OP(c.bnez, x2, x10, 0xb504, 0xaa, 3f, x1, 300) - -inst_76: -// rs1_val==-46340, -// opcode: c.bnez; op1:x10; op1val:-0xb504; immval:0x4 -TEST_CBRANCH_OP(c.bnez, x2, x10, -0xb504, 0x4, 1b, x1, 304) - -inst_77: -// rs1_val==1717986918, -// opcode: c.bnez; op1:x10; op1val:0x66666666; immval:0x8 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x66666666, 0x8, 3f, x1, 308) - -inst_78: -// rs1_val==858993459, -// opcode: c.bnez; op1:x10; op1val:0x33333333; immval:0x22 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x33333333, 0x22, 1b, x1, 312) - -inst_79: -// rs1_val==5, -// opcode: c.bnez; op1:x10; op1val:0x5; immval:0x12 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x5, 0x12, 1b, x1, 316) - -inst_80: -// rs1_val==-1431655766, rs1_val == -1431655766 -// opcode: c.bnez; op1:x10; op1val:-0x55555556; immval:0xac -TEST_CBRANCH_OP(c.bnez, x2, x10, -0x55555556, 0xac, 1b, x1, 320) - -inst_81: -// rs1_val==1431655765, rs1_val == 1431655765 -// opcode: c.bnez; op1:x10; op1val:0x55555555; immval:0x4 -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x55555555, 0x4, 1b, x1, 324) - -inst_82: -// rs1_val == 8, -// opcode: c.bnez; op1:x10; op1val:0x8; immval:0xaa -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x8, 0xaa, 3f, x1, 328) - -inst_83: -// rs1_val==3, -// opcode: c.bnez; op1:x10; op1val:0x3; immval:0xaa -TEST_CBRANCH_OP(c.bnez, x2, x10, 0x3, 0xaa, 3f, x1, 332) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 84*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cj-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cj-01.S deleted file mode 100644 index 4797626b6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cj-01.S +++ /dev/null @@ -1,155 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.j instruction of the RISC-V C extension for the cj covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cj) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// imm_val < 0, imm_val == -66 -// opcode:c.j; immval:0x42 -TEST_CJ_OP(c.j, x2, 0x42, 1b, x1, 0) - -inst_1: -// imm_val == -1026, -// opcode:c.j; immval:0x402 -TEST_CJ_OP(c.j, x2, 0x402, 1b, x1, 4) - -inst_2: -// imm_val == -514, -// opcode:c.j; immval:0x202 -TEST_CJ_OP(c.j, x2, 0x202, 1b, x1, 8) - -inst_3: -// imm_val == -258, -// opcode:c.j; immval:0x102 -TEST_CJ_OP(c.j, x2, 0x102, 1b, x1, 12) - -inst_4: -// imm_val == -130, -// opcode:c.j; immval:0x82 -TEST_CJ_OP(c.j, x2, 0x82, 1b, x1, 16) - -inst_5: -// imm_val == -34, -// opcode:c.j; immval:0x22 -TEST_CJ_OP(c.j, x2, 0x22, 1b, x1, 20) - -inst_6: -// imm_val == -18, -// opcode:c.j; immval:0x12 -TEST_CJ_OP(c.j, x2, 0x12, 1b, x1, 24) - -inst_7: -// imm_val == -10, -// opcode:c.j; immval:0xa -TEST_CJ_OP(c.j, x2, 0xa, 1b, x1, 28) - -inst_8: -// imm_val == 1024, imm_val > 0 -// opcode:c.j; immval:0x400 -TEST_CJ_OP(c.j, x2, 0x400, 3f, x1, 32) - -inst_9: -// imm_val == 512, -// opcode:c.j; immval:0x200 -TEST_CJ_OP(c.j, x2, 0x200, 3f, x1, 36) - -inst_10: -// imm_val == 1364, -// opcode:c.j; immval:0x554 -TEST_CJ_OP(c.j, x2, 0x554, 3f, x1, 40) - -inst_11: -// imm_val == -1366, -// opcode:c.j; immval:0x556 -TEST_CJ_OP(c.j, x2, 0x556, 1b, x1, 44) - -inst_12: -// imm_val == 256, -// opcode:c.j; immval:0x100 -TEST_CJ_OP(c.j, x2, 0x100, 3f, x1, 48) - -inst_13: -// imm_val == 128, -// opcode:c.j; immval:0x80 -TEST_CJ_OP(c.j, x2, 0x80, 3f, x1, 52) - -inst_14: -// imm_val == 64, -// opcode:c.j; immval:0x40 -TEST_CJ_OP(c.j, x2, 0x40, 3f, x1, 56) - -inst_15: -// imm_val == 32, -// opcode:c.j; immval:0x20 -TEST_CJ_OP(c.j, x2, 0x20, 3f, x1, 60) - -inst_16: -// imm_val == 16, -// opcode:c.j; immval:0x10 -TEST_CJ_OP(c.j, x2, 0x10, 3f, x1, 64) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 17*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cjal-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cjal-01.S deleted file mode 100644 index a55b5d7c0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cjal-01.S +++ /dev/null @@ -1,160 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.jal instruction of the RISC-V C extension for the cjal covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*RV32.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cjal) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// imm_val < 0, -// opcode:c.jal; immval:0x10 -TEST_CJAL_OP(c.jal, x3, 0x10, 1b, x2, 0) - -inst_1: -// imm_val == -1026, -// opcode:c.jal; immval:0x402 -TEST_CJAL_OP(c.jal, x3, 0x402, 1b, x2, 4) - -inst_2: -// imm_val == -514, -// opcode:c.jal; immval:0x202 -TEST_CJAL_OP(c.jal, x3, 0x202, 1b, x2, 8) - -inst_3: -// imm_val == -258, -// opcode:c.jal; immval:0x102 -TEST_CJAL_OP(c.jal, x3, 0x102, 1b, x2, 12) - -inst_4: -// imm_val == -130, -// opcode:c.jal; immval:0x82 -TEST_CJAL_OP(c.jal, x3, 0x82, 1b, x2, 16) - -inst_5: -// imm_val == -66, -// opcode:c.jal; immval:0x42 -TEST_CJAL_OP(c.jal, x3, 0x42, 1b, x2, 20) - -inst_6: -// imm_val == -34, -// opcode:c.jal; immval:0x22 -TEST_CJAL_OP(c.jal, x3, 0x22, 1b, x2, 24) - -inst_7: -// imm_val == -18, -// opcode:c.jal; immval:0x12 -TEST_CJAL_OP(c.jal, x3, 0x12, 1b, x2, 28) - -inst_8: -// imm_val == -10, -// opcode:c.jal; immval:0xa -TEST_CJAL_OP(c.jal, x3, 0xa, 1b, x2, 32) - -inst_9: -// imm_val == 1024, imm_val > 0 -// opcode:c.jal; immval:0x400 -TEST_CJAL_OP(c.jal, x3, 0x400, 3f, x2, 36) - -inst_10: -// imm_val == 512, -// opcode:c.jal; immval:0x200 -TEST_CJAL_OP(c.jal, x3, 0x200, 3f, x2, 40) - -inst_11: -// imm_val == 1364, -// opcode:c.jal; immval:0x554 -TEST_CJAL_OP(c.jal, x3, 0x554, 3f, x2, 44) - -inst_12: -// imm_val == -1366, -// opcode:c.jal; immval:0x556 -TEST_CJAL_OP(c.jal, x3, 0x556, 1b, x2, 48) - -inst_13: -// imm_val == 256, -// opcode:c.jal; immval:0x100 -TEST_CJAL_OP(c.jal, x3, 0x100, 3f, x2, 52) - -inst_14: -// imm_val == 128, -// opcode:c.jal; immval:0x80 -TEST_CJAL_OP(c.jal, x3, 0x80, 3f, x2, 56) - -inst_15: -// imm_val == 64, -// opcode:c.jal; immval:0x40 -TEST_CJAL_OP(c.jal, x3, 0x40, 3f, x2, 60) - -inst_16: -// imm_val == 32, -// opcode:c.jal; immval:0x20 -TEST_CJAL_OP(c.jal, x3, 0x20, 3f, x2, 64) - -inst_17: -// imm_val == 16, -// opcode:c.jal; immval:0x10 -TEST_CJAL_OP(c.jal, x3, 0x10, 3f, x2, 68) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 18*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cjalr-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cjalr-01.S deleted file mode 100644 index 9940ec9eb..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cjalr-01.S +++ /dev/null @@ -1,150 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.jalr instruction of the RISC-V C extension for the cjalr covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cjalr) - -RVTEST_SIGBASE( x10,signature_x10_1) - -inst_0: -// rs1==x6, -// opcode:c.jalr; op1:x6 -TEST_CJALR_OP(x11, x6, x10, 0) - -inst_1: -// rs1==x4, -// opcode:c.jalr; op1:x4 -TEST_CJALR_OP(x11, x4, x10, 4) - -inst_2: -// rs1==x3, -// opcode:c.jalr; op1:x3 -TEST_CJALR_OP(x11, x3, x10, 8) - -inst_3: -// rs1==x1, -// opcode:c.jalr; op1:x1 -TEST_CJALR_OP(x11, x1, x10, 12) - -inst_4: -// rs1==x12, -// opcode:c.jalr; op1:x12 -TEST_CJALR_OP(x11, x12, x10, 16) - -inst_5: -// rs1==x2, -// opcode:c.jalr; op1:x2 -TEST_CJALR_OP(x11, x2, x10, 20) - -inst_6: -// rs1==x8, -// opcode:c.jalr; op1:x8 -TEST_CJALR_OP(x11, x8, x10, 24) - -inst_7: -// rs1==x7, -// opcode:c.jalr; op1:x7 -TEST_CJALR_OP(x11, x7, x10, 28) - -inst_8: -// rs1==x15, -// opcode:c.jalr; op1:x15 -TEST_CJALR_OP(x11, x15, x10, 32) - -inst_9: -// rs1==x5, -// opcode:c.jalr; op1:x5 -TEST_CJALR_OP(x11, x5, x10, 36) - -inst_10: -// rs1==x9, -// opcode:c.jalr; op1:x9 -TEST_CJALR_OP(x11, x9, x10, 40) - -inst_11: -// rs1==x14, -// opcode:c.jalr; op1:x14 -TEST_CJALR_OP(x3, x14, x10, 44) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_12: -// rs1==x11, -// opcode:c.jalr; op1:x11 -TEST_CJALR_OP(x3, x11, x2, 0) - -inst_13: -// rs1==x13, -// opcode:c.jalr; op1:x13 -TEST_CJALR_OP(x3, x13, x2, 4) - -inst_14: -// rs1==x10, -// opcode:c.jalr; op1:x10 -TEST_CJALR_OP(x3, x10, x2, 8) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x10_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x10_1: - .fill 12*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 3*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cjr-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cjr-01.S deleted file mode 100644 index 9a6186778..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cjr-01.S +++ /dev/null @@ -1,150 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.jr instruction of the RISC-V C extension for the cjr covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cjr) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1==x6, -// opcode: c.jr; op1:x6 -TEST_CJR_OP(x7, x6, x1, 0) - -inst_1: -// rs1==x15, -// opcode: c.jr; op1:x15 -TEST_CJR_OP(x7, x15, x1, 4) - -inst_2: -// rs1==x14, -// opcode: c.jr; op1:x14 -TEST_CJR_OP(x7, x14, x1, 8) - -inst_3: -// rs1==x13, -// opcode: c.jr; op1:x13 -TEST_CJR_OP(x7, x13, x1, 12) - -inst_4: -// rs1==x3, -// opcode: c.jr; op1:x3 -TEST_CJR_OP(x7, x3, x1, 16) - -inst_5: -// rs1==x8, -// opcode: c.jr; op1:x8 -TEST_CJR_OP(x7, x8, x1, 20) - -inst_6: -// rs1==x2, -// opcode: c.jr; op1:x2 -TEST_CJR_OP(x7, x2, x1, 24) - -inst_7: -// rs1==x4, -// opcode: c.jr; op1:x4 -TEST_CJR_OP(x7, x4, x1, 28) - -inst_8: -// rs1==x12, -// opcode: c.jr; op1:x12 -TEST_CJR_OP(x7, x12, x1, 32) - -inst_9: -// rs1==x5, -// opcode: c.jr; op1:x5 -TEST_CJR_OP(x7, x5, x1, 36) - -inst_10: -// rs1==x7, -// opcode: c.jr; op1:x7 -TEST_CJR_OP(x3, x7, x1, 40) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_11: -// rs1==x9, -// opcode: c.jr; op1:x9 -TEST_CJR_OP(x3, x9, x2, 0) - -inst_12: -// rs1==x1, -// opcode: c.jr; op1:x1 -TEST_CJR_OP(x3, x1, x2, 4) - -inst_13: -// rs1==x11, -// opcode: c.jr; op1:x11 -TEST_CJR_OP(x3, x11, x2, 8) - -inst_14: -// rs1==x10, -// opcode: c.jr; op1:x10 -TEST_CJR_OP(x3, x10, x2, 12) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 11*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 4*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cli-01.S deleted file mode 100644 index 15653ea5e..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cli-01.S +++ /dev/null @@ -1,160 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.li instruction of the RISC-V C extension for the cli covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cli) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rd==x15, imm_val == (-2**(6-1)), imm_val == -32 -// opcode:c.li; dest:x15; immval:-0x20 -TEST_CASE(x7, x15, -0x20, x4, 0, c.li x15, -0x20;) - -inst_1: -// rd==x8, imm_val == 31, imm_val == (2**(6-1)-1) -// opcode:c.li; dest:x8; immval:0x1f -TEST_CASE(x7, x8, 0x1f, x4, 4, c.li x8, 0x1f;) - -inst_2: -// rd==x13, imm_val == -17, -// opcode:c.li; dest:x13; immval:-0x11 -TEST_CASE(x7, x13, -0x11, x4, 8, c.li x13, -0x11;) - -inst_3: -// rd==x6, imm_val == -9, -// opcode:c.li; dest:x6; immval:-0x9 -TEST_CASE(x7, x6, -0x9, x4, 12, c.li x6, -0x9;) - -inst_4: -// rd==x2, imm_val == -5, -// opcode:c.li; dest:x2; immval:-0x5 -TEST_CASE(x7, x2, -0x5, x4, 16, c.li x2, -0x5;) - -inst_5: -// rd==x12, imm_val == -3, -// opcode:c.li; dest:x12; immval:-0x3 -TEST_CASE(x7, x12, -0x3, x4, 20, c.li x12, -0x3;) - -inst_6: -// rd==x1, imm_val == -2, -// opcode:c.li; dest:x1; immval:-0x2 -TEST_CASE(x7, x1, -0x2, x4, 24, c.li x1, -0x2;) - -inst_7: -// rd==x14, imm_val == 16, -// opcode:c.li; dest:x14; immval:0x10 -TEST_CASE(x7, x14, 0x10, x4, 28, c.li x14, 0x10;) - -inst_8: -// rd==x3, imm_val == 0, -// opcode:c.li; dest:x3; immval:0x0 -TEST_CASE(x7, x3, 0x0, x4, 32, c.li x3, 0x0;) - -inst_9: -// rd==x5, imm_val == 8, -// opcode:c.li; dest:x5; immval:0x8 -TEST_CASE(x7, x5, 0x8, x4, 36, c.li x5, 0x8;) - -inst_10: -// rd==x10, imm_val == 4, -// opcode:c.li; dest:x10; immval:0x4 -TEST_CASE(x7, x10, 0x4, x4, 40, c.li x10, 0x4;) - -inst_11: -// rd==x0, imm_val == 2, -// opcode:c.li; dest:x0; immval:0x2 -TEST_CASE(x2, x0, 0, x4, 44, c.li x0, 0x2;) - -inst_12: -// rd==x11, imm_val == 1, -// opcode:c.li; dest:x11; immval:0x1 -TEST_CASE(x2, x11, 0x1, x4, 48, c.li x11, 0x1;) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_13: -// rd==x7, imm_val == -22, -// opcode:c.li; dest:x7; immval:-0x16 -TEST_CASE(x2, x7, -0x16, x1, 0, c.li x7, -0x16;) - -inst_14: -// rd==x4, imm_val == 21, -// opcode:c.li; dest:x4; immval:0x15 -TEST_CASE(x2, x4, 0x15, x1, 4, c.li x4, 0x15;) - -inst_15: -// rd==x9, -// opcode:c.li; dest:x9; immval:0x0 -TEST_CASE(x2, x9, 0x0, x1, 8, c.li x9, 0x0;) - -inst_16: -// imm_val == 2, -// opcode:c.li; dest:x10; immval:0x2 -TEST_CASE(x2, x10, 0x2, x1, 12, c.li x10, 0x2;) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 13*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 4*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/clui-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/clui-01.S deleted file mode 100644 index 79746a15b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/clui-01.S +++ /dev/null @@ -1,150 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.lui instruction of the RISC-V C extension for the clui covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",clui) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rd==x8, rs1_val < 0 and imm_val < 32 and imm_val !=0 , imm_val == 4 -// opcode:c.lui; op1:x8; dest:x8 op1val:-0x1000001; immval:0x4 -TEST_CI_OP( c.lui, x8, 0x4000, -0x1000001, 0x4, x2, 0, x5) - -inst_1: -// rd==x10, imm_val == 31, -// opcode:c.lui; op1:x10; dest:x10 op1val:-0x4; immval:0x1f -TEST_CI_OP( c.lui, x10, 0x1f000, -0x4, 0x1f, x2, 4, x5) - -inst_2: -// rd==x6, imm_val == 47, rs1_val > 0 and imm_val > 32 -// opcode:c.lui; op1:x6; dest:x6 op1val:0x4000; immval:0xfffef -TEST_CI_OP( c.lui, x6, -0x11000, 0x4000, 0xfffef, x2, 8, x5) - -inst_3: -// rd==x9, imm_val == 55, rs1_val < 0 and imm_val > 32 -// opcode:c.lui; op1:x9; dest:x9 op1val:-0x3; immval:0xffff7 -TEST_CI_OP( c.lui, x9, -0x9000, -0x3, 0xffff7, x2, 12, x5) - -inst_4: -// rd==x15, imm_val == 59, -// opcode:c.lui; op1:x15; dest:x15 op1val:0x4; immval:0xffffb -TEST_CI_OP( c.lui, x15, -0x5000, 0x4, 0xffffb, x2, 16, x5) - -inst_5: -// rd==x1, imm_val == 61, -// opcode:c.lui; op1:x1; dest:x1 op1val:-0x20000001; immval:0xffffd -TEST_CI_OP( c.lui, x1, -0x3000, -0x20000001, 0xffffd, x2, 20, x5) - -inst_6: -// rd==x0, imm_val == 62, -// opcode:c.lui; op1:x0; dest:x0 op1val:-0x20000001; immval:0xffffe -TEST_CI_OP( c.lui, x0, 0, -0x20000001, 0xffffe, x2, 24, x5) - -inst_7: -// rd==x7, imm_val == 32, -// opcode:c.lui; op1:x7; dest:x7 op1val:0x4000; immval:0xfffe0 -TEST_CI_OP( c.lui, x7, -0x20000, 0x4000, 0xfffe0, x2, 28, x5) - -inst_8: -// rd==x3, rs1_val > 0 and imm_val < 32 and imm_val !=0 , -// opcode:c.lui; op1:x3; dest:x3 op1val:0x10; immval:0xc -TEST_CI_OP( c.lui, x3, 0xc000, 0x10, 0xc, x2, 32, x5) - -inst_9: -// rd==x4, imm_val == 16, -// opcode:c.lui; op1:x4; dest:x4 op1val:0x7fffffff; immval:0x10 -TEST_CI_OP( c.lui, x4, 0x10000, 0x7fffffff, 0x10, x2, 36, x5) - -inst_10: -// rd==x11, imm_val == 8, -// opcode:c.lui; op1:x11; dest:x11 op1val:-0x20001; immval:0x8 -TEST_CI_OP( c.lui, x11, 0x8000, -0x20001, 0x8, x2, 40, x5) - -inst_11: -// rd==x12, imm_val == 2, -// opcode:c.lui; op1:x12; dest:x12 op1val:0x200000; immval:0x2 -TEST_CI_OP( c.lui, x12, 0x2000, 0x200000, 0x2, x2, 44, x5) - -inst_12: -// rd==x13, imm_val == 1, -// opcode:c.lui; op1:x13; dest:x13 op1val:-0x2001; immval:0x1 -TEST_CI_OP( c.lui, x13, 0x1000, -0x2001, 0x1, x2, 48, x3) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_13: -// rd==x14, imm_val == 42, -// opcode:c.lui; op1:x14; dest:x14 op1val:0x400000; immval:0xfffea -TEST_CI_OP( c.lui, x14, -0x16000, 0x400000, 0xfffea, x1, 0, x3) - -inst_14: -// rd==x5, imm_val == 21, -// opcode:c.lui; op1:x5; dest:x5 op1val:-0x10001; immval:0x15 -TEST_CI_OP( c.lui, x5, 0x15000, -0x10001, 0x15, x1, 4, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 13*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 2*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/clw-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/clw-01.S deleted file mode 100644 index 246cbb8ac..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/clw-01.S +++ /dev/null @@ -1,135 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.lw instruction of the RISC-V C extension for the clw covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",clw) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 == rd, rd==x8, rs1==x8, imm_val == 0, -// opcode: c.lw; op1:x8; dest:x8; immval:0x0 -TEST_LOAD(x1,x2,0,x8,x8,0x0,0,c.lw,0) - -inst_1: -// rs1 != rd, rd==x14, rs1==x12, imm_val == 60, imm_val > 0 -// opcode: c.lw; op1:x12; dest:x14; immval:0x3c -TEST_LOAD(x1,x2,0,x12,x14,0x3c,4,c.lw,0) - -inst_2: -// rd==x15, rs1==x11, imm_val == 92, -// opcode: c.lw; op1:x11; dest:x15; immval:0x5c -TEST_LOAD(x1,x2,0,x11,x15,0x5c,8,c.lw,0) - -inst_3: -// rd==x10, rs1==x15, imm_val == 108, -// opcode: c.lw; op1:x15; dest:x10; immval:0x6c -TEST_LOAD(x1,x2,0,x15,x10,0x6c,12,c.lw,0) - -inst_4: -// rd==x13, rs1==x14, imm_val == 116, -// opcode: c.lw; op1:x14; dest:x13; immval:0x74 -TEST_LOAD(x1,x2,0,x14,x13,0x74,16,c.lw,0) - -inst_5: -// rd==x11, rs1==x13, imm_val == 120, -// opcode: c.lw; op1:x13; dest:x11; immval:0x78 -TEST_LOAD(x1,x2,0,x13,x11,0x78,20,c.lw,0) - -inst_6: -// rd==x12, rs1==x10, imm_val == 64, -// opcode: c.lw; op1:x10; dest:x12; immval:0x40 -TEST_LOAD(x1,x2,0,x10,x12,0x40,24,c.lw,0) - -inst_7: -// rd==x9, imm_val == 32, -// opcode: c.lw; op1:x10; dest:x9; immval:0x20 -TEST_LOAD(x1,x2,0,x10,x9,0x20,28,c.lw,0) - -inst_8: -// rs1==x9, imm_val == 16, -// opcode: c.lw; op1:x9; dest:x8; immval:0x10 -TEST_LOAD(x1,x2,0,x9,x8,0x10,32,c.lw,0) - -inst_9: -// imm_val == 8, -// opcode: c.lw; op1:x11; dest:x10; immval:0x8 -TEST_LOAD(x1,x2,0,x11,x10,0x8,36,c.lw,0) - -inst_10: -// imm_val == 4, -// opcode: c.lw; op1:x11; dest:x10; immval:0x4 -TEST_LOAD(x1,x2,0,x11,x10,0x4,40,c.lw,0) - -inst_11: -// imm_val == 40, -// opcode: c.lw; op1:x11; dest:x10; immval:0x28 -TEST_LOAD(x1,x2,0,x11,x10,0x28,44,c.lw,0) - -inst_12: -// imm_val == 84, -// opcode: c.lw; op1:x11; dest:x10; immval:0x54 -TEST_LOAD(x1,x2,0,x11,x10,0x54,48,c.lw,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 13*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/clwsp-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/clwsp-01.S deleted file mode 100644 index 49f3f6409..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/clwsp-01.S +++ /dev/null @@ -1,150 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.lwsp instruction of the RISC-V C extension for the clwsp covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",clwsp) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rd==x2, imm_val == 0, -// opcode: c.lwsp; op1:x2; dest:x2; immval:0x0 -TEST_LOAD(x5,x7,0,x2,x2,0x0,0,c.lwsp,0) - -inst_1: -// rd==x11, imm_val == 124, imm_val > 0 -// opcode: c.lwsp; op1:x2; dest:x11; immval:0x7c -TEST_LOAD(x5,x7,0,x2,x11,0x7c,4,c.lwsp,0) - -inst_2: -// rd==x3, imm_val == 188, -// opcode: c.lwsp; op1:x2; dest:x3; immval:0xbc -TEST_LOAD(x5,x7,0,x2,x3,0xbc,8,c.lwsp,0) - -inst_3: -// rd==x6, imm_val == 220, -// opcode: c.lwsp; op1:x2; dest:x6; immval:0xdc -TEST_LOAD(x5,x7,0,x2,x6,0xdc,12,c.lwsp,0) - -inst_4: -// rd==x9, imm_val == 236, -// opcode: c.lwsp; op1:x2; dest:x9; immval:0xec -TEST_LOAD(x5,x7,0,x2,x9,0xec,16,c.lwsp,0) - -inst_5: -// rd==x1, imm_val == 244, -// opcode: c.lwsp; op1:x2; dest:x1; immval:0xf4 -TEST_LOAD(x5,x7,0,x2,x1,0xf4,20,c.lwsp,0) - -inst_6: -// rd==x4, imm_val == 248, -// opcode: c.lwsp; op1:x2; dest:x4; immval:0xf8 -TEST_LOAD(x5,x7,0,x2,x4,0xf8,24,c.lwsp,0) - -inst_7: -// rd==x8, imm_val == 128, -// opcode: c.lwsp; op1:x2; dest:x8; immval:0x80 -TEST_LOAD(x5,x7,0,x2,x8,0x80,28,c.lwsp,0) - -inst_8: -// rd==x15, imm_val == 64, -// opcode: c.lwsp; op1:x2; dest:x15; immval:0x40 -TEST_LOAD(x5,x7,0,x2,x15,0x40,32,c.lwsp,0) - -inst_9: -// rd==x12, imm_val == 32, -// opcode: c.lwsp; op1:x2; dest:x12; immval:0x20 -TEST_LOAD(x5,x7,0,x2,x12,0x20,36,c.lwsp,0) - -inst_10: -// rd==x10, imm_val == 16, -// opcode: c.lwsp; op1:x2; dest:x10; immval:0x10 -TEST_LOAD(x5,x7,0,x2,x10,0x10,40,c.lwsp,0) - -inst_11: -// rd==x14, imm_val == 8, -// opcode: c.lwsp; op1:x2; dest:x14; immval:0x8 -TEST_LOAD(x5,x3,0,x2,x14,0x8,44,c.lwsp,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_12: -// rd==x13, imm_val == 4, -// opcode: c.lwsp; op1:x2; dest:x13; immval:0x4 -TEST_LOAD(x1,x3,0,x2,x13,0x4,0,c.lwsp,0) - -inst_13: -// rd==x5, imm_val == 168, -// opcode: c.lwsp; op1:x2; dest:x5; immval:0xa8 -TEST_LOAD(x1,x3,0,x2,x5,0xa8,4,c.lwsp,0) - -inst_14: -// rd==x7, imm_val == 84, -// opcode: c.lwsp; op1:x2; dest:x7; immval:0x54 -TEST_LOAD(x1,x3,0,x2,x7,0x54,8,c.lwsp,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 12*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 3*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cmv-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cmv-01.S deleted file mode 100644 index ae1c87f68..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cmv-01.S +++ /dev/null @@ -1,500 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.mv instruction of the RISC-V C extension for the cmv covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cmv) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs2 == rd and rs2 != 0, rd==x13, rs2==x13, rs2_val == (-2**(xlen-1)), rs2_val == -2147483648 -// opcode: c.mv; op2:x13; dest:x13; op2val:-0x80000000 -TEST_CMV_OP( c.mv, x13, x13, -0x80000000, -0x80000000, x4, 0, x10) - -inst_1: -// rs2 != rd and rs2 != 0, rd==x3, rs2==x14, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) -// opcode: c.mv; op2:x14; dest:x3; op2val:0x7fffffff -TEST_CMV_OP( c.mv, x3, x14, 0x7fffffff, 0x7fffffff, x4, 4, x10) - -inst_2: -// rd==x15, rs2==x5, rs2_val == -1073741825, -// opcode: c.mv; op2:x5; dest:x15; op2val:-0x40000001 -TEST_CMV_OP( c.mv, x15, x5, -0x40000001, -0x40000001, x4, 8, x10) - -inst_3: -// rd==x6, rs2==x2, rs2_val == -536870913, -// opcode: c.mv; op2:x2; dest:x6; op2val:-0x20000001 -TEST_CMV_OP( c.mv, x6, x2, -0x20000001, -0x20000001, x4, 12, x10) - -inst_4: -// rd==x9, rs2==x7, rs2_val == -268435457, -// opcode: c.mv; op2:x7; dest:x9; op2val:-0x10000001 -TEST_CMV_OP( c.mv, x9, x7, -0x10000001, -0x10000001, x4, 16, x10) - -inst_5: -// rd==x2, rs2==x11, rs2_val == -134217729, -// opcode: c.mv; op2:x11; dest:x2; op2val:-0x8000001 -TEST_CMV_OP( c.mv, x2, x11, -0x8000001, -0x8000001, x4, 20, x10) - -inst_6: -// rd==x5, rs2==x15, rs2_val == -67108865, -// opcode: c.mv; op2:x15; dest:x5; op2val:-0x4000001 -TEST_CMV_OP( c.mv, x5, x15, -0x4000001, -0x4000001, x4, 24, x10) - -inst_7: -// rd==x11, rs2==x9, rs2_val == -33554433, -// opcode: c.mv; op2:x9; dest:x11; op2val:-0x2000001 -TEST_CMV_OP( c.mv, x11, x9, -0x2000001, -0x2000001, x4, 28, x10) - -inst_8: -// rd==x1, rs2==x8, rs2_val == -16777217, -// opcode: c.mv; op2:x8; dest:x1; op2val:-0x1000001 -TEST_CMV_OP( c.mv, x1, x8, -0x1000001, -0x1000001, x4, 32, x10) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_9: -// rd==x7, rs2==x6, rs2_val == -8388609, -// opcode: c.mv; op2:x6; dest:x7; op2val:-0x800001 -TEST_CMV_OP( c.mv, x7, x6, -0x800001, -0x800001, x2, 0, x5) - -inst_10: -// rd==x12, rs2==x1, rs2_val == -4194305, -// opcode: c.mv; op2:x1; dest:x12; op2val:-0x400001 -TEST_CMV_OP( c.mv, x12, x1, -0x400001, -0x400001, x2, 4, x5) - -inst_11: -// rd==x14, rs2==x4, rs2_val == -2097153, -// opcode: c.mv; op2:x4; dest:x14; op2val:-0x200001 -TEST_CMV_OP( c.mv, x14, x4, -0x200001, -0x200001, x2, 8, x5) - -inst_12: -// rd==x10, rs2==x12, rs2_val == -1048577, -// opcode: c.mv; op2:x12; dest:x10; op2val:-0x100001 -TEST_CMV_OP( c.mv, x10, x12, -0x100001, -0x100001, x2, 12, x5) - -inst_13: -// rd==x8, rs2==x3, rs2_val == -524289, -// opcode: c.mv; op2:x3; dest:x8; op2val:-0x80001 -TEST_CMV_OP( c.mv, x8, x3, -0x80001, -0x80001, x2, 16, x5) - -inst_14: -// rd==x0, rs2==x10, rs2_val == -262145, -// opcode: c.mv; op2:x10; dest:x0; op2val:-0x40001 -TEST_CMV_OP( c.mv, x0, x10, 0, -0x40001, x2, 20, x5) - -inst_15: -// rd==x4, rs2_val == -131073, -// opcode: c.mv; op2:x12; dest:x4; op2val:-0x20001 -TEST_CMV_OP( c.mv, x4, x12, -0x20001, -0x20001, x2, 24, x5) - -inst_16: -// rs2_val == -65537, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x10001 -TEST_CMV_OP( c.mv, x10, x11, -0x10001, -0x10001, x2, 28, x5) - -inst_17: -// rs2_val == -32769, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x8001 -TEST_CMV_OP( c.mv, x10, x11, -0x8001, -0x8001, x2, 32, x5) - -inst_18: -// rs2_val == -16385, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x4001 -TEST_CMV_OP( c.mv, x10, x11, -0x4001, -0x4001, x2, 36, x5) - -inst_19: -// rs2_val == -8193, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x2001 -TEST_CMV_OP( c.mv, x10, x11, -0x2001, -0x2001, x2, 40, x5) - -inst_20: -// rs2_val == -4097, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x1001 -TEST_CMV_OP( c.mv, x10, x11, -0x1001, -0x1001, x2, 44, x5) - -inst_21: -// rs2_val == -2049, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x801 -TEST_CMV_OP( c.mv, x10, x11, -0x801, -0x801, x2, 48, x5) - -inst_22: -// rs2_val == -1025, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x401 -TEST_CMV_OP( c.mv, x10, x11, -0x401, -0x401, x2, 52, x5) - -inst_23: -// rs2_val == -513, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x201 -TEST_CMV_OP( c.mv, x10, x11, -0x201, -0x201, x2, 56, x5) - -inst_24: -// rs2_val == -257, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x101 -TEST_CMV_OP( c.mv, x10, x11, -0x101, -0x101, x2, 60, x5) - -inst_25: -// rs2_val == -129, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x81 -TEST_CMV_OP( c.mv, x10, x11, -0x81, -0x81, x2, 64, x5) - -inst_26: -// rs2_val == -65, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x41 -TEST_CMV_OP( c.mv, x10, x11, -0x41, -0x41, x2, 68, x5) - -inst_27: -// rs2_val == -33, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x21 -TEST_CMV_OP( c.mv, x10, x11, -0x21, -0x21, x2, 72, x5) - -inst_28: -// rs2_val == -17, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x11 -TEST_CMV_OP( c.mv, x10, x11, -0x11, -0x11, x2, 76, x5) - -inst_29: -// rs2_val == -9, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x9 -TEST_CMV_OP( c.mv, x10, x11, -0x9, -0x9, x2, 80, x5) - -inst_30: -// rs2_val == -5, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x5 -TEST_CMV_OP( c.mv, x10, x11, -0x5, -0x5, x2, 84, x5) - -inst_31: -// rs2_val == -3, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x3 -TEST_CMV_OP( c.mv, x10, x11, -0x3, -0x3, x2, 88, x5) - -inst_32: -// rs2_val == -2, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x2 -TEST_CMV_OP( c.mv, x10, x11, -0x2, -0x2, x2, 92, x5) - -inst_33: -// rs2_val == 1073741824, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x40000000 -TEST_CMV_OP( c.mv, x10, x11, 0x40000000, 0x40000000, x2, 96, x5) - -inst_34: -// rs2_val == 536870912, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x20000000 -TEST_CMV_OP( c.mv, x10, x11, 0x20000000, 0x20000000, x2, 100, x5) - -inst_35: -// rs2_val == 268435456, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x10000000 -TEST_CMV_OP( c.mv, x10, x11, 0x10000000, 0x10000000, x2, 104, x5) - -inst_36: -// rs2_val == 134217728, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x8000000 -TEST_CMV_OP( c.mv, x10, x11, 0x8000000, 0x8000000, x2, 108, x5) - -inst_37: -// rs2_val == 67108864, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x4000000 -TEST_CMV_OP( c.mv, x10, x11, 0x4000000, 0x4000000, x2, 112, x5) - -inst_38: -// rs2_val == 33554432, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x2000000 -TEST_CMV_OP( c.mv, x10, x11, 0x2000000, 0x2000000, x2, 116, x5) - -inst_39: -// rs2_val == 16777216, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x1000000 -TEST_CMV_OP( c.mv, x10, x11, 0x1000000, 0x1000000, x2, 120, x5) - -inst_40: -// rs2_val == 8388608, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x800000 -TEST_CMV_OP( c.mv, x10, x11, 0x800000, 0x800000, x2, 124, x5) - -inst_41: -// rs2_val == 4194304, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x400000 -TEST_CMV_OP( c.mv, x10, x11, 0x400000, 0x400000, x2, 128, x5) - -inst_42: -// rs2_val == 2097152, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x200000 -TEST_CMV_OP( c.mv, x10, x11, 0x200000, 0x200000, x2, 132, x5) - -inst_43: -// rs2_val == 1048576, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x100000 -TEST_CMV_OP( c.mv, x10, x11, 0x100000, 0x100000, x2, 136, x5) - -inst_44: -// rs2_val == 524288, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x80000 -TEST_CMV_OP( c.mv, x10, x11, 0x80000, 0x80000, x2, 140, x5) - -inst_45: -// rs2_val == 262144, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x40000 -TEST_CMV_OP( c.mv, x10, x11, 0x40000, 0x40000, x2, 144, x5) - -inst_46: -// rs2_val == 131072, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x20000 -TEST_CMV_OP( c.mv, x10, x11, 0x20000, 0x20000, x2, 148, x5) - -inst_47: -// rs2_val == 65536, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x10000 -TEST_CMV_OP( c.mv, x10, x11, 0x10000, 0x10000, x2, 152, x5) - -inst_48: -// rs2_val == 32768, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x8000 -TEST_CMV_OP( c.mv, x10, x11, 0x8000, 0x8000, x2, 156, x5) - -inst_49: -// rs2_val == 16384, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x4000 -TEST_CMV_OP( c.mv, x10, x11, 0x4000, 0x4000, x2, 160, x5) - -inst_50: -// rs2_val == 8192, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x2000 -TEST_CMV_OP( c.mv, x10, x11, 0x2000, 0x2000, x2, 164, x5) - -inst_51: -// rs2_val == 4096, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x1000 -TEST_CMV_OP( c.mv, x10, x11, 0x1000, 0x1000, x2, 168, x5) - -inst_52: -// rs2_val == 2048, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x800 -TEST_CMV_OP( c.mv, x10, x11, 0x800, 0x800, x2, 172, x5) - -inst_53: -// rs2_val == 1024, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x400 -TEST_CMV_OP( c.mv, x10, x11, 0x400, 0x400, x2, 176, x5) - -inst_54: -// rs2_val == 512, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x200 -TEST_CMV_OP( c.mv, x10, x11, 0x200, 0x200, x2, 180, x5) - -inst_55: -// rs2_val == 256, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x100 -TEST_CMV_OP( c.mv, x10, x11, 0x100, 0x100, x2, 184, x5) - -inst_56: -// rs2_val == 128, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x80 -TEST_CMV_OP( c.mv, x10, x11, 0x80, 0x80, x2, 188, x5) - -inst_57: -// rs2_val == 64, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x40 -TEST_CMV_OP( c.mv, x10, x11, 0x40, 0x40, x2, 192, x5) - -inst_58: -// rs2_val == 1, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x1 -TEST_CMV_OP( c.mv, x10, x11, 0x1, 0x1, x2, 196, x5) - -inst_59: -// rs2_val==46341, -// opcode: c.mv; op2:x11; dest:x10; op2val:0xb505 -TEST_CMV_OP( c.mv, x10, x11, 0xb505, 0xb505, x2, 200, x5) - -inst_60: -// rs2_val==-46339, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0xb503 -TEST_CMV_OP( c.mv, x10, x11, -0xb503, -0xb503, x2, 204, x5) - -inst_61: -// rs2_val==1717986919, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x66666667 -TEST_CMV_OP( c.mv, x10, x11, 0x66666667, 0x66666667, x2, 208, x5) - -inst_62: -// rs2_val==858993460, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x33333334 -TEST_CMV_OP( c.mv, x10, x11, 0x33333334, 0x33333334, x2, 212, x5) - -inst_63: -// rs2_val==6, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x6 -TEST_CMV_OP( c.mv, x10, x11, 0x6, 0x6, x2, 216, x5) - -inst_64: -// rs2_val==-1431655765, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x55555555 -TEST_CMV_OP( c.mv, x10, x11, -0x55555555, -0x55555555, x2, 220, x5) - -inst_65: -// rs2_val==1431655766, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x55555556 -TEST_CMV_OP( c.mv, x10, x11, 0x55555556, 0x55555556, x2, 224, x5) - -inst_66: -// rs2_val==4, rs2_val == 4 -// opcode: c.mv; op2:x11; dest:x10; op2val:0x4 -TEST_CMV_OP( c.mv, x10, x11, 0x4, 0x4, x2, 228, x5) - -inst_67: -// rs2_val==46339, -// opcode: c.mv; op2:x11; dest:x10; op2val:0xb503 -TEST_CMV_OP( c.mv, x10, x11, 0xb503, 0xb503, x2, 232, x5) - -inst_68: -// rs2_val==0, rs2_val == 0 -// opcode: c.mv; op2:x11; dest:x10; op2val:0x0 -TEST_CMV_OP( c.mv, x10, x11, 0x0, 0x0, x2, 236, x5) - -inst_69: -// rs2_val==1717986917, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x66666665 -TEST_CMV_OP( c.mv, x10, x11, 0x66666665, 0x66666665, x2, 240, x5) - -inst_70: -// rs2_val==858993458, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x33333332 -TEST_CMV_OP( c.mv, x10, x11, 0x33333332, 0x33333332, x2, 244, x5) - -inst_71: -// rs2_val==1431655764, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x55555554 -TEST_CMV_OP( c.mv, x10, x11, 0x55555554, 0x55555554, x2, 248, x5) - -inst_72: -// rs2_val==2, rs2_val == 2 -// opcode: c.mv; op2:x11; dest:x10; op2val:0x2 -TEST_CMV_OP( c.mv, x10, x11, 0x2, 0x2, x2, 252, x5) - -inst_73: -// rs2_val==46340, -// opcode: c.mv; op2:x11; dest:x10; op2val:0xb504 -TEST_CMV_OP( c.mv, x10, x11, 0xb504, 0xb504, x2, 256, x5) - -inst_74: -// rs2_val==-46340, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0xb504 -TEST_CMV_OP( c.mv, x10, x11, -0xb504, -0xb504, x2, 260, x5) - -inst_75: -// rs2_val==1717986918, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x66666666 -TEST_CMV_OP( c.mv, x10, x11, 0x66666666, 0x66666666, x2, 264, x5) - -inst_76: -// rs2_val==858993459, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x33333333 -TEST_CMV_OP( c.mv, x10, x11, 0x33333333, 0x33333333, x2, 268, x5) - -inst_77: -// rs2_val==5, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x5 -TEST_CMV_OP( c.mv, x10, x11, 0x5, 0x5, x2, 272, x5) - -inst_78: -// rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x55555556 -TEST_CMV_OP( c.mv, x10, x11, -0x55555556, -0x55555556, x2, 276, x5) - -inst_79: -// rs2_val==1431655765, rs2_val == 1431655765 -// opcode: c.mv; op2:x11; dest:x10; op2val:0x55555555 -TEST_CMV_OP( c.mv, x10, x11, 0x55555555, 0x55555555, x2, 280, x5) - -inst_80: -// rs2_val == 32, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x20 -TEST_CMV_OP( c.mv, x10, x11, 0x20, 0x20, x2, 284, x5) - -inst_81: -// rs2_val == 16, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x10 -TEST_CMV_OP( c.mv, x10, x11, 0x10, 0x10, x2, 288, x5) - -inst_82: -// rs2_val == 8, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x8 -TEST_CMV_OP( c.mv, x10, x11, 0x8, 0x8, x2, 292, x5) - -inst_83: -// rs2_val==3, -// opcode: c.mv; op2:x11; dest:x10; op2val:0x3 -TEST_CMV_OP( c.mv, x10, x11, 0x3, 0x3, x2, 296, x5) - -inst_84: -// rs2_val == -262145, -// opcode: c.mv; op2:x11; dest:x10; op2val:-0x40001 -TEST_CMV_OP( c.mv, x10, x11, -0x40001, -0x40001, x2, 300, x5) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 76*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cnop-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cnop-01.S deleted file mode 100644 index 387aff04f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cnop-01.S +++ /dev/null @@ -1,140 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.nop instruction of the RISC-V C extension for the cnop covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cnop) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// imm_val == 21, -// opcode:c.nop; immval:0x15 -TEST_CNOP_OP(c.nop, x2, 0x15, x1, 0) - -inst_1: -// imm_val == 31, -// opcode:c.nop; immval:0x1f -TEST_CNOP_OP(c.nop, x2, 0x1f, x1, 4) - -inst_2: -// imm_val == -17, -// opcode:c.nop; immval:-0x11 -TEST_CNOP_OP(c.nop, x2, -0x11, x1, 8) - -inst_3: -// imm_val == -9, -// opcode:c.nop; immval:-0x9 -TEST_CNOP_OP(c.nop, x2, -0x9, x1, 12) - -inst_4: -// imm_val == -5, -// opcode:c.nop; immval:-0x5 -TEST_CNOP_OP(c.nop, x2, -0x5, x1, 16) - -inst_5: -// imm_val == -3, -// opcode:c.nop; immval:-0x3 -TEST_CNOP_OP(c.nop, x2, -0x3, x1, 20) - -inst_6: -// imm_val == -2, -// opcode:c.nop; immval:-0x2 -TEST_CNOP_OP(c.nop, x2, -0x2, x1, 24) - -inst_7: -// imm_val == -32, -// opcode:c.nop; immval:-0x20 -TEST_CNOP_OP(c.nop, x2, -0x20, x1, 28) - -inst_8: -// imm_val == 16, -// opcode:c.nop; immval:0x10 -TEST_CNOP_OP(c.nop, x2, 0x10, x1, 32) - -inst_9: -// imm_val == 8, -// opcode:c.nop; immval:0x8 -TEST_CNOP_OP(c.nop, x2, 0x8, x1, 36) - -inst_10: -// imm_val == 4, -// opcode:c.nop; immval:0x4 -TEST_CNOP_OP(c.nop, x2, 0x4, x1, 40) - -inst_11: -// imm_val == 2, -// opcode:c.nop; immval:0x2 -TEST_CNOP_OP(c.nop, x2, 0x2, x1, 44) - -inst_12: -// imm_val == 1, -// opcode:c.nop; immval:0x1 -TEST_CNOP_OP(c.nop, x2, 0x1, x1, 48) - -inst_13: -// imm_val == -22, -// opcode:c.nop; immval:-0x16 -TEST_CNOP_OP(c.nop, x2, -0x16, x1, 52) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 14*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cor-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cor-01.S deleted file mode 100644 index 770219fd0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cor-01.S +++ /dev/null @@ -1,2985 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.or instruction of the RISC-V C extension for the cor covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cor) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2, rs1==x12, rs2==x10, rs1_val == (-2**(xlen-1)), rs1_val == -2147483648, rs2_val == 0 -// opcode: c.or; op1:x12; op2:x10; op1val:-0x80000000; op2val:0x0 -TEST_CR_OP( c.or, x12, x10, 0x80000000, -0x80000000, 0x0, x1, 0, x2) - -inst_1: -// rs1 == rs2, rs1==x9, rs2==x9, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1), rs2_val > 0 -// opcode: c.or; op1:x9; op2:x9; op1val:0x33333334; op2val:0x33333334 -TEST_CR_OP( c.or, x9, x9, 0x33333334, 0x33333334, 0x33333334, x1, 4, x2) - -inst_2: -// rs1==x14, rs2==x12, rs2_val == -1073741825, rs2_val < 0, rs1_val == 8 -// opcode: c.or; op1:x14; op2:x12; op1val:0x8; op2val:-0x40000001 -TEST_CR_OP( c.or, x14, x12, 0xbfffffff, 0x8, -0x40000001, x1, 8, x2) - -inst_3: -// rs1==x15, rs2==x13, rs2_val == -536870913, rs1_val == 32768 -// opcode: c.or; op1:x15; op2:x13; op1val:0x8000; op2val:-0x20000001 -TEST_CR_OP( c.or, x15, x13, 0xdfffffff, 0x8000, -0x20000001, x1, 12, x2) - -inst_4: -// rs1==x10, rs2==x15, rs2_val == -268435457, -// opcode: c.or; op1:x10; op2:x15; op1val:-0x6; op2val:-0x10000001 -TEST_CR_OP( c.or, x10, x15, 0xffffffff, -0x6, -0x10000001, x1, 16, x2) - -inst_5: -// rs1==x11, rs2==x8, rs2_val == -134217729, -// opcode: c.or; op1:x11; op2:x8; op1val:0xb505; op2val:-0x8000001 -TEST_CR_OP( c.or, x11, x8, 0xf7ffffff, 0xb505, -0x8000001, x1, 20, x2) - -inst_6: -// rs1==x13, rs2==x11, rs2_val == -67108865, -// opcode: c.or; op1:x13; op2:x11; op1val:0x55555554; op2val:-0x4000001 -TEST_CR_OP( c.or, x13, x11, 0xffffffff, 0x55555554, -0x4000001, x1, 24, x2) - -inst_7: -// rs1==x8, rs2==x14, rs2_val == -33554433, rs1_val == 2 -// opcode: c.or; op1:x8; op2:x14; op1val:0x2; op2val:-0x2000001 -TEST_CR_OP( c.or, x8, x14, 0xfdffffff, 0x2, -0x2000001, x1, 28, x2) - -inst_8: -// rs2_val == -16777217, rs1_val == -33 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x21; op2val:-0x1000001 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x21, -0x1000001, x1, 32, x2) - -inst_9: -// rs2_val == -8388609, rs1_val == -1431655766 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x800001 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x55555556, -0x800001, x1, 36, x2) - -inst_10: -// rs2_val == -4194305, rs1_val == -4194305 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x400001; op2val:-0x400001 -TEST_CR_OP( c.or, x10, x11, 0xffbfffff, -0x400001, -0x400001, x1, 40, x2) - -inst_11: -// rs2_val == -2097153, rs1_val == -1073741825 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x40000001; op2val:-0x200001 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x40000001, -0x200001, x1, 44, x2) - -inst_12: -// rs2_val == -1048577, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:-0x100001 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, 0x55555556, -0x100001, x1, 48, x2) - -inst_13: -// rs2_val == -524289, rs1_val == 8192 -// opcode: c.or; op1:x10; op2:x11; op1val:0x2000; op2val:-0x80001 -TEST_CR_OP( c.or, x10, x11, 0xfff7ffff, 0x2000, -0x80001, x1, 52, x2) - -inst_14: -// rs2_val == -262145, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:-0x40001 -TEST_CR_OP( c.or, x10, x11, 0xfffbffff, 0x6, -0x40001, x1, 56, x2) - -inst_15: -// rs2_val == -131073, rs1_val == 32 -// opcode: c.or; op1:x10; op2:x11; op1val:0x20; op2val:-0x20001 -TEST_CR_OP( c.or, x10, x11, 0xfffdffff, 0x20, -0x20001, x1, 60, x2) - -inst_16: -// rs2_val == -65537, rs1_val == -524289 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x80001; op2val:-0x10001 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x80001, -0x10001, x1, 64, x2) - -inst_17: -// rs2_val == -32769, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:-0x8001 -TEST_CR_OP( c.or, x10, x11, 0xffff7fff, 0x2, -0x8001, x1, 68, x2) - -inst_18: -// rs2_val == -16385, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:-0x4001 -TEST_CR_OP( c.or, x10, x11, 0xffffbfff, 0x3, -0x4001, x1, 72, x2) - -inst_19: -// rs2_val == -8193, rs1_val == 16 -// opcode: c.or; op1:x10; op2:x11; op1val:0x10; op2val:-0x2001 -TEST_CR_OP( c.or, x10, x11, 0xffffdfff, 0x10, -0x2001, x1, 76, x2) - -inst_20: -// rs2_val == -4097, rs1_val == -8388609 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x800001; op2val:-0x1001 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x800001, -0x1001, x1, 80, x2) - -inst_21: -// rs2_val == -2049, rs1_val == -262145 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x40001; op2val:-0x801 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x40001, -0x801, x1, 84, x2) - -inst_22: -// rs2_val == -1025, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x7; op2val:-0x401 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x7, -0x401, x1, 88, x2) - -inst_23: -// rs2_val == -513, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x40001; op2val:-0x201 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x40001, -0x201, x1, 92, x2) - -inst_24: -// rs2_val == -257, rs1_val == 64 -// opcode: c.or; op1:x10; op2:x11; op1val:0x40; op2val:-0x101 -TEST_CR_OP( c.or, x10, x11, 0xfffffeff, 0x40, -0x101, x1, 96, x2) - -inst_25: -// rs2_val == -129, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:-0x81 -TEST_CR_OP( c.or, x10, x11, 0xffffff7f, 0x66666665, -0x81, x1, 100, x2) - -inst_26: -// rs2_val == -65, rs1_val == 8388608 -// opcode: c.or; op1:x10; op2:x11; op1val:0x800000; op2val:-0x41 -TEST_CR_OP( c.or, x10, x11, 0xffffffbf, 0x800000, -0x41, x1, 104, x2) - -inst_27: -// rs2_val == -33, rs1_val == -3 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x3; op2val:-0x21 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x3, -0x21, x1, 108, x2) - -inst_28: -// rs2_val == -17, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x3; op2val:-0x11 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x3, -0x11, x1, 112, x2) - -inst_29: -// rs2_val == -9, rs1_val == 4 -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:-0x9 -TEST_CR_OP( c.or, x10, x11, 0xfffffff7, 0x4, -0x9, x1, 116, x2) - -inst_30: -// rs2_val == -5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x8; op2val:-0x5 -TEST_CR_OP( c.or, x10, x11, 0xfffffffb, 0x8, -0x5, x1, 120, x2) - -inst_31: -// rs2_val == -3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x8000; op2val:-0x3 -TEST_CR_OP( c.or, x10, x11, 0xfffffffd, 0x8000, -0x3, x1, 124, x2) - -inst_32: -// rs2_val == -2, rs1_val == 134217728 -// opcode: c.or; op1:x10; op2:x11; op1val:0x8000000; op2val:-0x2 -TEST_CR_OP( c.or, x10, x11, 0xfffffffe, 0x8000000, -0x2, x1, 128, x2) - -inst_33: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: c.or; op1:x10; op2:x11; op1val:0x7fffffff; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x7fffffff, 0x7fffffff, 0x3, x1, 132, x2) - -inst_34: -// rs1_val == -536870913, rs2_val == 256 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x20000001; op2val:0x100 -TEST_CR_OP( c.or, x10, x11, 0xdfffffff, -0x20000001, 0x100, x1, 136, x2) - -inst_35: -// rs1_val == -268435457, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x4001 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x10000001, -0x4001, x1, 140, x2) - -inst_36: -// rs1_val == -134217729, rs2_val == 67108864 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x8000001; op2val:0x4000000 -TEST_CR_OP( c.or, x10, x11, 0xf7ffffff, -0x8000001, 0x4000000, x1, 144, x2) - -inst_37: -// rs1_val == -67108865, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x4000001; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0xfbffffff, -0x4000001, 0x33333334, x1, 148, x2) - -inst_38: -// rs1_val == -33554433, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x2000001; op2val:0x100 -TEST_CR_OP( c.or, x10, x11, 0xfdffffff, -0x2000001, 0x100, x1, 152, x2) - -inst_39: -// rs1_val == -16777217, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x40000000 -TEST_CR_OP( c.or, x10, x11, 0xfeffffff, -0x1000001, -0x40000000, x1, 156, x2) - -inst_40: -// rs1_val == -2097153, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x200001; op2val:-0x11 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x200001, -0x11, x1, 160, x2) - -inst_41: -// rs1_val == -1048577, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x100001; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0xffefffff, -0x100001, 0x3, x1, 164, x2) - -inst_42: -// rs1_val == -131073, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x20001; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0xfffdffff, -0x20001, 0x6, x1, 168, x2) - -inst_43: -// rs1_val == -65537, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x10001; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0xfffeffff, -0x10001, 0x0, x1, 172, x2) - -inst_44: -// rs1_val == -32769, rs2_val == 2 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x8001; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0xffff7fff, -0x8001, 0x2, x1, 176, x2) - -inst_45: -// rs1_val == -16385, rs2_val == 4 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x4001; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0xffffbfff, -0x4001, 0x4, x1, 180, x2) - -inst_46: -// rs1_val == -8193, rs2_val == 2048 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x2001; op2val:0x800 -TEST_CR_OP( c.or, x10, x11, 0xffffdfff, -0x2001, 0x800, x1, 184, x2) - -inst_47: -// rs1_val == -4097, rs2_val == 32 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x1001; op2val:0x20 -TEST_CR_OP( c.or, x10, x11, 0xffffefff, -0x1001, 0x20, x1, 188, x2) - -inst_48: -// rs1_val == -2049, rs2_val == 16384 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x801; op2val:0x4000 -TEST_CR_OP( c.or, x10, x11, 0xfffff7ff, -0x801, 0x4000, x1, 192, x2) - -inst_49: -// rs1_val == -1025, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x401; op2val:-0x2 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x401, -0x2, x1, 196, x2) - -inst_50: -// rs1_val == -513, rs2_val == 8 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x201; op2val:0x8 -TEST_CR_OP( c.or, x10, x11, 0xfffffdff, -0x201, 0x8, x1, 200, x2) - -inst_51: -// rs1_val == -257, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x101; op2val:-0x3 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x101, -0x3, x1, 204, x2) - -inst_52: -// rs1_val == -129, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x81; op2val:-0x20001 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x81, -0x20001, x1, 208, x2) - -inst_53: -// rs1_val == -65, rs2_val == 128 -// opcode: c.or; op1:x10; op2:x11; op1val:-0x41; op2val:0x80 -TEST_CR_OP( c.or, x10, x11, 0xffffffbf, -0x41, 0x80, x1, 212, x2) - -inst_54: -// rs1_val == -17, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x11; op2val:-0x201 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x11, -0x201, x1, 216, x2) - -inst_55: -// rs1_val == -9, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x9; op2val:0x7fffffff -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x9, 0x7fffffff, x1, 220, x2) - -inst_56: -// rs1_val == -5, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x5; op2val:-0x3 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x5, -0x3, x1, 224, x2) - -inst_57: -// rs1_val == -2, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x2; op2val:-0x10001 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x2, -0x10001, x1, 228, x2) - -inst_58: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:-0x80000000 -TEST_CR_OP( c.or, x10, x11, 0x8000b503, 0xb503, -0x80000000, x1, 232, x2) - -inst_59: -// rs2_val == 1073741824, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x40000000 -TEST_CR_OP( c.or, x10, x11, 0x40000003, 0x3, 0x40000000, x1, 236, x2) - -inst_60: -// rs2_val == 536870912, rs1_val == 0 -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x20000000 -TEST_CR_OP( c.or, x10, x11, 0x20000000, 0x0, 0x20000000, x1, 240, x2) - -inst_61: -// rs2_val == 268435456, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000000 -TEST_CR_OP( c.or, x10, x11, 0x55555554, 0x55555554, 0x10000000, x1, 244, x2) - -inst_62: -// rs2_val == 134217728, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x1000001; op2val:0x8000000 -TEST_CR_OP( c.or, x10, x11, 0xfeffffff, -0x1000001, 0x8000000, x1, 248, x2) - -inst_63: -// rs2_val == 33554432, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x801; op2val:0x2000000 -TEST_CR_OP( c.or, x10, x11, 0xfffff7ff, -0x801, 0x2000000, x1, 252, x2) - -inst_64: -// rs2_val == 16777216, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x40000000; op2val:0x1000000 -TEST_CR_OP( c.or, x10, x11, 0xc1000000, -0x40000000, 0x1000000, x1, 256, x2) - -inst_65: -// rs2_val == 8388608, rs1_val == 268435456 -// opcode: c.or; op1:x10; op2:x11; op1val:0x10000000; op2val:0x800000 -TEST_CR_OP( c.or, x10, x11, 0x10800000, 0x10000000, 0x800000, x1, 260, x2) - -inst_66: -// rs2_val == 4194304, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x2000001; op2val:0x400000 -TEST_CR_OP( c.or, x10, x11, 0xfdffffff, -0x2000001, 0x400000, x1, 264, x2) - -inst_67: -// rs2_val == 2097152, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x200000 -TEST_CR_OP( c.or, x10, x11, 0x55755554, 0x55555554, 0x200000, x1, 268, x2) - -inst_68: -// rs2_val == 1048576, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x40000001; op2val:0x100000 -TEST_CR_OP( c.or, x10, x11, 0xbfffffff, -0x40000001, 0x100000, x1, 272, x2) - -inst_69: -// rs2_val == 524288, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x7; op2val:0x80000 -TEST_CR_OP( c.or, x10, x11, 0xfffffff9, -0x7, 0x80000, x1, 276, x2) - -inst_70: -// rs2_val == 262144, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2000; op2val:0x40000 -TEST_CR_OP( c.or, x10, x11, 0x42000, 0x2000, 0x40000, x1, 280, x2) - -inst_71: -// rs2_val == 131072, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x20000 -TEST_CR_OP( c.or, x10, x11, 0x33333332, 0x33333332, 0x20000, x1, 284, x2) - -inst_72: -// rs2_val == 65536, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2000; op2val:0x10000 -TEST_CR_OP( c.or, x10, x11, 0x12000, 0x2000, 0x10000, x1, 288, x2) - -inst_73: -// rs2_val == 32768, rs1_val == 16384 -// opcode: c.or; op1:x10; op2:x11; op1val:0x4000; op2val:0x8000 -TEST_CR_OP( c.or, x10, x11, 0xc000, 0x4000, 0x8000, x1, 292, x2) - -inst_74: -// rs2_val == 8192, rs1_val == 512 -// opcode: c.or; op1:x10; op2:x11; op1val:0x200; op2val:0x2000 -TEST_CR_OP( c.or, x10, x11, 0x2200, 0x200, 0x2000, x1, 296, x2) - -inst_75: -// rs2_val == 4096, rs1_val == 67108864 -// opcode: c.or; op1:x10; op2:x11; op1val:0x4000000; op2val:0x1000 -TEST_CR_OP( c.or, x10, x11, 0x4001000, 0x4000000, 0x1000, x1, 300, x2) - -inst_76: -// rs2_val == 1024, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x5; op2val:0x400 -TEST_CR_OP( c.or, x10, x11, 0xfffffffb, -0x5, 0x400, x1, 304, x2) - -inst_77: -// rs2_val == 512, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x200 -TEST_CR_OP( c.or, x10, x11, 0x200, 0x0, 0x200, x1, 308, x2) - -inst_78: -// rs2_val == 64, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x4000001; op2val:0x40 -TEST_CR_OP( c.or, x10, x11, 0xfbffffff, -0x4000001, 0x40, x1, 312, x2) - -inst_79: -// rs2_val == 16, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4000000; op2val:0x10 -TEST_CR_OP( c.or, x10, x11, 0x4000010, 0x4000000, 0x10, x1, 316, x2) - -inst_80: -// rs2_val == 1, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x41; op2val:0x1 -TEST_CR_OP( c.or, x10, x11, 0xffffffbf, -0x41, 0x1, x1, 320, x2) - -inst_81: -// rs1_val == 1073741824, -// opcode: c.or; op1:x10; op2:x11; op1val:0x40000000; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x40000000, 0x40000000, 0x0, x1, 324, x2) - -inst_82: -// rs1_val == 536870912, -// opcode: c.or; op1:x10; op2:x11; op1val:0x20000000; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff4afc, 0x20000000, -0xb504, x1, 328, x2) - -inst_83: -// rs1_val == 33554432, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2000000; op2val:0x10 -TEST_CR_OP( c.or, x10, x11, 0x2000010, 0x2000000, 0x10, x1, 332, x2) - -inst_84: -// rs1_val == 16777216, -// opcode: c.or; op1:x10; op2:x11; op1val:0x1000000; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x1000004, 0x1000000, 0x4, x1, 336, x2) - -inst_85: -// rs1_val == 4194304, -// opcode: c.or; op1:x10; op2:x11; op1val:0x400000; op2val:-0x1 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, 0x400000, -0x1, x1, 340, x2) - -inst_86: -// rs1_val == 2097152, -// opcode: c.or; op1:x10; op2:x11; op1val:0x200000; op2val:-0x2001 -TEST_CR_OP( c.or, x10, x11, 0xffffdfff, 0x200000, -0x2001, x1, 344, x2) - -inst_87: -// rs1_val == 1048576, -// opcode: c.or; op1:x10; op2:x11; op1val:0x100000; op2val:0x200000 -TEST_CR_OP( c.or, x10, x11, 0x300000, 0x100000, 0x200000, x1, 348, x2) - -inst_88: -// rs1_val == 524288, -// opcode: c.or; op1:x10; op2:x11; op1val:0x80000; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x80003, 0x80000, 0x3, x1, 352, x2) - -inst_89: -// rs1_val == 262144, -// opcode: c.or; op1:x10; op2:x11; op1val:0x40000; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x40006, 0x40000, 0x6, x1, 356, x2) - -inst_90: -// rs1_val == 131072, -// opcode: c.or; op1:x10; op2:x11; op1val:0x20000; op2val:-0x10001 -TEST_CR_OP( c.or, x10, x11, 0xfffeffff, 0x20000, -0x10001, x1, 360, x2) - -inst_91: -// rs1_val == 65536, -// opcode: c.or; op1:x10; op2:x11; op1val:0x10000; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xaaabaaab, 0x10000, -0x55555555, x1, 364, x2) - -inst_92: -// rs1_val == 4096, -// opcode: c.or; op1:x10; op2:x11; op1val:0x1000; op2val:0x1 -TEST_CR_OP( c.or, x10, x11, 0x1001, 0x1000, 0x1, x1, 368, x2) - -inst_93: -// rs1_val == 2048, -// opcode: c.or; op1:x10; op2:x11; op1val:0x800; op2val:0x100000 -TEST_CR_OP( c.or, x10, x11, 0x100800, 0x800, 0x100000, x1, 372, x2) - -inst_94: -// rs1_val == 1024, -// opcode: c.or; op1:x10; op2:x11; op1val:0x400; op2val:0x20000 -TEST_CR_OP( c.or, x10, x11, 0x20400, 0x400, 0x20000, x1, 376, x2) - -inst_95: -// rs1_val == 256, -// opcode: c.or; op1:x10; op2:x11; op1val:0x100; op2val:-0x4001 -TEST_CR_OP( c.or, x10, x11, 0xffffbfff, 0x100, -0x4001, x1, 380, x2) - -inst_96: -// rs1_val == 128, -// opcode: c.or; op1:x10; op2:x11; op1val:0x80; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x85, 0x80, 0x5, x1, 384, x2) - -inst_97: -// rs1_val == 1, -// opcode: c.or; op1:x10; op2:x11; op1val:0x1; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0xb505, 0x1, 0xb505, x1, 388, x2) - -inst_98: -// rs1_val==46341 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0xb505, 0xb505, 0xb505, x1, 392, x2) - -inst_99: -// rs1_val==46341 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xfffffffd, 0xb505, -0xb503, x1, 396, x2) - -inst_100: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x6666f767, 0xb505, 0x66666667, x1, 400, x2) - -inst_101: -// rs1_val==46341 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x3333b735, 0xb505, 0x33333334, x1, 404, x2) - -inst_102: -// rs1_val==46341 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0xb505, 0x6, x1, 408, x2) - -inst_103: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xaaaabfaf, 0xb505, -0x55555555, x1, 412, x2) - -inst_104: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x5555f557, 0xb505, 0x55555556, x1, 416, x2) - -inst_105: -// rs1_val==46341 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0xb505, 0xb505, 0x4, x1, 420, x2) - -inst_106: -// rs1_val==46341 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0xb505, 0xb503, x1, 424, x2) - -inst_107: -// rs1_val==46341 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0xb505, 0xb505, 0x0, x1, 428, x2) - -inst_108: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x6666f765, 0xb505, 0x66666665, x1, 432, x2) - -inst_109: -// rs1_val==46341 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x3333b737, 0xb505, 0x33333332, x1, 436, x2) - -inst_110: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x5555f555, 0xb505, 0x55555554, x1, 440, x2) - -inst_111: -// rs1_val==46341 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0xb505, 0x2, x1, 444, x2) - -inst_112: -// rs1_val==46341 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0xb505, 0xb505, 0xb504, x1, 448, x2) - -inst_113: -// rs1_val==46341 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xfffffffd, 0xb505, -0xb504, x1, 452, x2) - -inst_114: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x6666f767, 0xb505, 0x66666666, x1, 456, x2) - -inst_115: -// rs1_val==46341 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x3333b737, 0xb505, 0x33333333, x1, 460, x2) - -inst_116: -// rs1_val==46341 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0xb505, 0xb505, 0x5, x1, 464, x2) - -inst_117: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xaaaabfaf, 0xb505, -0x55555556, x1, 468, x2) - -inst_118: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x5555f555, 0xb505, 0x55555555, x1, 472, x2) - -inst_119: -// rs1_val==46341 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb505; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0xb505, 0x3, x1, 476, x2) - -inst_120: -// rs1_val==-46339 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0xfffffffd, -0xb503, 0xb505, x1, 480, x2) - -inst_121: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 484, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0xffff6eff, -0xb503, 0x66666667, x1, 488, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0xffff7bfd, -0xb503, 0x33333334, x1, 492, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0xffff4aff, -0xb503, 0x6, x1, 496, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xffffeaff, -0xb503, -0x55555555, x1, 500, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xffff5fff, -0xb503, 0x55555556, x1, 504, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0xffff4afd, -0xb503, 0x4, x1, 508, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0xb503, 0xb503, x1, 512, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 516, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0xffff6efd, -0xb503, 0x66666665, x1, 520, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0xffff7bff, -0xb503, 0x33333332, x1, 524, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0xffff5ffd, -0xb503, 0x55555554, x1, 528, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 532, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0xfffffffd, -0xb503, 0xb504, x1, 536, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff4afd, -0xb503, -0xb504, x1, 540, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0xffff6eff, -0xb503, 0x66666666, x1, 544, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0xffff7bff, -0xb503, 0x33333333, x1, 548, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0xffff4afd, -0xb503, 0x5, x1, 552, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xffffeaff, -0xb503, -0x55555556, x1, 556, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xffff5ffd, -0xb503, 0x55555555, x1, 560, x2) - -inst_141: -// rs1_val==-46339 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb503; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0xffff4aff, -0xb503, 0x3, x1, 564, x2) - -inst_142: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0x6666f767, 0x66666667, 0xb505, x1, 568, x2) - -inst_143: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff6eff, 0x66666667, -0xb503, x1, 572, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 576, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x66666667, 0x33333334, x1, 580, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666667, 0x6, x1, 584, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555555, x1, 588, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x66666667, 0x55555556, x1, 592, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666667, 0x4, x1, 596, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0x6666f767, 0x66666667, 0xb503, x1, 600, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 604, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666667, 0x66666665, x1, 608, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x66666667, 0x33333332, x1, 612, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x66666667, 0x55555554, x1, 616, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666667, 0x2, x1, 620, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0x6666f767, 0x66666667, 0xb504, x1, 624, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff6eff, 0x66666667, -0xb504, x1, 628, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666667, 0x66666666, x1, 632, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x66666667, 0x33333333, x1, 636, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666667, 0x5, x1, 640, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555556, x1, 644, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x66666667, 0x55555555, x1, 648, x2) - -inst_163: -// rs1_val==1717986919 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666667; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666667, 0x3, x1, 652, x2) - -inst_164: -// rs1_val==858993460 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0x3333b735, 0x33333334, 0xb505, x1, 656, x2) - -inst_165: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff7bfd, 0x33333334, -0xb503, x1, 660, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x33333334, 0x66666667, x1, 664, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 668, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x33333336, 0x33333334, 0x6, x1, 672, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xbbbbbbbf, 0x33333334, -0x55555555, x1, 676, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x77777776, 0x33333334, 0x55555556, x1, 680, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x33333334, 0x33333334, 0x4, x1, 684, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0x3333b737, 0x33333334, 0xb503, x1, 688, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 692, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x77777775, 0x33333334, 0x66666665, x1, 696, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x33333336, 0x33333334, 0x33333332, x1, 700, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x77777774, 0x33333334, 0x55555554, x1, 704, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 708, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0x3333b734, 0x33333334, 0xb504, x1, 712, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff7bfc, 0x33333334, -0xb504, x1, 716, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x77777776, 0x33333334, 0x66666666, x1, 720, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x33333337, 0x33333334, 0x33333333, x1, 724, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x33333335, 0x33333334, 0x5, x1, 728, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xbbbbbbbe, 0x33333334, -0x55555556, x1, 732, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x77777775, 0x33333334, 0x55555555, x1, 736, x2) - -inst_185: -// rs1_val==858993460 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333334; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 740, x2) - -inst_186: -// rs1_val==6 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0x6, 0xb505, x1, 744, x2) - -inst_187: -// rs1_val==6 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff4aff, 0x6, -0xb503, x1, 748, x2) - -inst_188: -// rs1_val==6 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x6, 0x66666667, x1, 752, x2) - -inst_189: -// rs1_val==6 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x33333336, 0x6, 0x33333334, x1, 756, x2) - -inst_190: -// rs1_val==6 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x6, 0x6, 0x6, x1, 760, x2) - -inst_191: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaaf, 0x6, -0x55555555, x1, 764, x2) - -inst_192: -// rs1_val==6 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x6, 0x55555556, x1, 768, x2) - -inst_193: -// rs1_val==6 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x6, 0x6, 0x4, x1, 772, x2) - -inst_194: -// rs1_val==6 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0x6, 0xb503, x1, 776, x2) - -inst_195: -// rs1_val==6 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x6, 0x6, 0x0, x1, 780, x2) - -inst_196: -// rs1_val==6 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x6, 0x66666665, x1, 784, x2) - -inst_197: -// rs1_val==6 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x33333336, 0x6, 0x33333332, x1, 788, x2) - -inst_198: -// rs1_val==6 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x6, 0x55555554, x1, 792, x2) - -inst_199: -// rs1_val==6 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x6, 0x6, 0x2, x1, 796, x2) - -inst_200: -// rs1_val==6 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0xb506, 0x6, 0xb504, x1, 800, x2) - -inst_201: -// rs1_val==6 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff4afe, 0x6, -0xb504, x1, 804, x2) - -inst_202: -// rs1_val==6 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x66666666, 0x6, 0x66666666, x1, 808, x2) - -inst_203: -// rs1_val==6 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x33333337, 0x6, 0x33333333, x1, 812, x2) - -inst_204: -// rs1_val==6 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x7, 0x6, 0x5, x1, 816, x2) - -inst_205: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaae, 0x6, -0x55555556, x1, 820, x2) - -inst_206: -// rs1_val==6 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x55555557, 0x6, 0x55555555, x1, 824, x2) - -inst_207: -// rs1_val==6 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x6; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x7, 0x6, 0x3, x1, 828, x2) - -inst_208: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0xaaaabfaf, -0x55555555, 0xb505, x1, 832, x2) - -inst_209: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffffeaff, -0x55555555, -0xb503, x1, 836, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666667, x1, 840, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0xbbbbbbbf, -0x55555555, 0x33333334, x1, 844, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaaf, -0x55555555, 0x6, x1, 848, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 852, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x55555555, 0x55555556, x1, 856, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 860, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0xaaaabfab, -0x55555555, 0xb503, x1, 864, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 868, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666665, x1, 872, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333332, x1, 876, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 880, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaab, -0x55555555, 0x2, x1, 884, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0xaaaabfaf, -0x55555555, 0xb504, x1, 888, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffffeaff, -0x55555555, -0xb504, x1, 892, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666666, x1, 896, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333333, x1, 900, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaaf, -0x55555555, 0x5, x1, 904, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555556, x1, 908, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x55555555, 0x55555555, x1, 912, x2) - -inst_229: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaab, -0x55555555, 0x3, x1, 916, x2) - -inst_230: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0x5555f557, 0x55555556, 0xb505, x1, 920, x2) - -inst_231: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff5fff, 0x55555556, -0xb503, x1, 924, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x55555556, 0x66666667, x1, 928, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x77777776, 0x55555556, 0x33333334, x1, 932, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x55555556, 0x6, x1, 936, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, 0x55555556, -0x55555555, x1, 940, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 944, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x55555556, 0x4, x1, 948, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0x5555f557, 0x55555556, 0xb503, x1, 952, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 956, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x55555556, 0x66666665, x1, 960, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x77777776, 0x55555556, 0x33333332, x1, 964, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x55555556, 0x55555554, x1, 968, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x55555556, 0x2, x1, 972, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0x5555f556, 0x55555556, 0xb504, x1, 976, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff5ffe, 0x55555556, -0xb504, x1, 980, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x77777776, 0x55555556, 0x66666666, x1, 984, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x55555556, 0x33333333, x1, 988, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x55555557, 0x55555556, 0x5, x1, 992, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xfffffffe, 0x55555556, -0x55555556, x1, 996, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x55555557, 0x55555556, 0x55555555, x1, 1000, x2) - -inst_251: -// rs1_val==1431655766 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555556; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x55555557, 0x55555556, 0x3, x1, 1004, x2) - -inst_252: -// rs1_val==4 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0xb505, 0x4, 0xb505, x1, 1008, x2) - -inst_253: -// rs1_val==4 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff4afd, 0x4, -0xb503, x1, 1012, x2) - -inst_254: -// rs1_val==4 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x4, 0x66666667, x1, 1016, x2) - -inst_255: -// rs1_val==4 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x33333334, 0x4, 0x33333334, x1, 1020, x2) - -inst_256: -// rs1_val==4 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x6, 0x4, 0x6, x1, 1024, x2) - -inst_257: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 1028, x2) - -inst_258: -// rs1_val==4 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x4, 0x55555556, x1, 1032, x2) - -inst_259: -// rs1_val==4 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x4, 0x4, 0x4, x1, 1036, x2) - -inst_260: -// rs1_val==4 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0x4, 0xb503, x1, 1040, x2) - -inst_261: -// rs1_val==4 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x4, 0x4, 0x0, x1, 1044, x2) - -inst_262: -// rs1_val==4 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x66666665, 0x4, 0x66666665, x1, 1048, x2) - -inst_263: -// rs1_val==4 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 1052, x2) - -inst_264: -// rs1_val==4 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x55555554, 0x4, 0x55555554, x1, 1056, x2) - -inst_265: -// rs1_val==4 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x6, 0x4, 0x2, x1, 1060, x2) - -inst_266: -// rs1_val==4 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0xb504, 0x4, 0xb504, x1, 1064, x2) - -inst_267: -// rs1_val==4 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff4afc, 0x4, -0xb504, x1, 1068, x2) - -inst_268: -// rs1_val==4 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x66666666, 0x4, 0x66666666, x1, 1072, x2) - -inst_269: -// rs1_val==4 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1076, x2) - -inst_270: -// rs1_val==4 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x5, 0x4, 0x5, x1, 1080, x2) - -inst_271: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1084, x2) - -inst_272: -// rs1_val==4 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x55555555, 0x4, 0x55555555, x1, 1088, x2) - -inst_273: -// rs1_val==4 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x4; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x7, 0x4, 0x3, x1, 1092, x2) - -inst_274: -// rs1_val==46339 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0xb503, 0xb505, x1, 1096, x2) - -inst_275: -// rs1_val==46339 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, 0xb503, -0xb503, x1, 1100, x2) - -inst_276: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x6666f767, 0xb503, 0x66666667, x1, 1104, x2) - -inst_277: -// rs1_val==46339 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x3333b737, 0xb503, 0x33333334, x1, 1108, x2) - -inst_278: -// rs1_val==46339 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0xb503, 0x6, x1, 1112, x2) - -inst_279: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xaaaabfab, 0xb503, -0x55555555, x1, 1116, x2) - -inst_280: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x5555f557, 0xb503, 0x55555556, x1, 1120, x2) - -inst_281: -// rs1_val==46339 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0xb503, 0x4, x1, 1124, x2) - -inst_282: -// rs1_val==46339 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1128, x2) - -inst_283: -// rs1_val==46339 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0xb503, 0xb503, 0x0, x1, 1132, x2) - -inst_284: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x6666f767, 0xb503, 0x66666665, x1, 1136, x2) - -inst_285: -// rs1_val==46339 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x3333b733, 0xb503, 0x33333332, x1, 1140, x2) - -inst_286: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x5555f557, 0xb503, 0x55555554, x1, 1144, x2) - -inst_287: -// rs1_val==46339 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0xb503, 0xb503, 0x2, x1, 1148, x2) - -inst_288: -// rs1_val==46339 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0xb503, 0xb504, x1, 1152, x2) - -inst_289: -// rs1_val==46339 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1156, x2) - -inst_290: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x6666f767, 0xb503, 0x66666666, x1, 1160, x2) - -inst_291: -// rs1_val==46339 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x3333b733, 0xb503, 0x33333333, x1, 1164, x2) - -inst_292: -// rs1_val==46339 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0xb503, 0x5, x1, 1168, x2) - -inst_293: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xaaaabfab, 0xb503, -0x55555556, x1, 1172, x2) - -inst_294: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x5555f557, 0xb503, 0x55555555, x1, 1176, x2) - -inst_295: -// rs1_val==46339 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb503; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0xb503, 0xb503, 0x3, x1, 1180, x2) - -inst_296: -// rs1_val==0 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0xb505, 0x0, 0xb505, x1, 1184, x2) - -inst_297: -// rs1_val==0 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1188, x2) - -inst_298: -// rs1_val==0 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1192, x2) - -inst_299: -// rs1_val==0 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1196, x2) - -inst_300: -// rs1_val==0 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x6, 0x0, 0x6, x1, 1200, x2) - -inst_301: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1204, x2) - -inst_302: -// rs1_val==0 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1208, x2) - -inst_303: -// rs1_val==0 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x4, 0x0, 0x4, x1, 1212, x2) - -inst_304: -// rs1_val==0 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0xb503, 0x0, 0xb503, x1, 1216, x2) - -inst_305: -// rs1_val==0 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x0, 0x0, 0x0, x1, 1220, x2) - -inst_306: -// rs1_val==0 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1224, x2) - -inst_307: -// rs1_val==0 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1228, x2) - -inst_308: -// rs1_val==0 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1232, x2) - -inst_309: -// rs1_val==0 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x2, 0x0, 0x2, x1, 1236, x2) - -inst_310: -// rs1_val==0 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0xb504, 0x0, 0xb504, x1, 1240, x2) - -inst_311: -// rs1_val==0 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1244, x2) - -inst_312: -// rs1_val==0 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1248, x2) - -inst_313: -// rs1_val==0 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1252, x2) - -inst_314: -// rs1_val==0 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x5, 0x0, 0x5, x1, 1256, x2) - -inst_315: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1260, x2) - -inst_316: -// rs1_val==0 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1264, x2) - -inst_317: -// rs1_val==0 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x0; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x3, 0x0, 0x3, x1, 1268, x2) - -inst_318: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0x6666f765, 0x66666665, 0xb505, x1, 1272, x2) - -inst_319: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff6efd, 0x66666665, -0xb503, x1, 1276, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666665, 0x66666667, x1, 1280, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x77777775, 0x66666665, 0x33333334, x1, 1284, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666665, 0x6, x1, 1288, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555555, x1, 1292, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x66666665, 0x55555556, x1, 1296, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x66666665, 0x66666665, 0x4, x1, 1300, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0x6666f767, 0x66666665, 0xb503, x1, 1304, x2) - -inst_327: -// rs1_val==1717986917 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1308, x2) - -inst_328: -// rs1_val==858993459 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1312, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x33333333, 0x66666665, x1, 1316, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x33333333, 0x33333333, 0x33333332, x1, 1320, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x33333333, 0x55555554, x1, 1324, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x33333333, 0x33333333, 0x2, x1, 1328, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0x3333b737, 0x33333333, 0xb504, x1, 1332, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff7bff, 0x33333333, -0xb504, x1, 1336, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x33333333, 0x66666666, x1, 1340, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1344, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x33333337, 0x33333333, 0x5, x1, 1348, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555556, x1, 1352, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x33333333, 0x55555555, x1, 1356, x2) - -inst_340: -// rs1_val==858993459 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x33333333, 0x33333333, 0x3, x1, 1360, x2) - -inst_341: -// rs1_val==5 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0xb505, 0x5, 0xb505, x1, 1364, x2) - -inst_342: -// rs1_val==5 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff4afd, 0x5, -0xb503, x1, 1368, x2) - -inst_343: -// rs1_val==5 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x5, 0x66666667, x1, 1372, x2) - -inst_344: -// rs1_val==5 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x33333335, 0x5, 0x33333334, x1, 1376, x2) - -inst_345: -// rs1_val==5 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x7, 0x5, 0x6, x1, 1380, x2) - -inst_346: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaaf, 0x5, -0x55555555, x1, 1384, x2) - -inst_347: -// rs1_val==5 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x55555557, 0x5, 0x55555556, x1, 1388, x2) - -inst_348: -// rs1_val==5 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x5, 0x5, 0x4, x1, 1392, x2) - -inst_349: -// rs1_val==5 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0x5, 0xb503, x1, 1396, x2) - -inst_350: -// rs1_val==5 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x5, 0x5, 0x0, x1, 1400, x2) - -inst_351: -// rs1_val==5 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x66666665, 0x5, 0x66666665, x1, 1404, x2) - -inst_352: -// rs1_val==5 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1408, x2) - -inst_353: -// rs1_val==5 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x55555555, 0x5, 0x55555554, x1, 1412, x2) - -inst_354: -// rs1_val==5 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x7, 0x5, 0x2, x1, 1416, x2) - -inst_355: -// rs1_val==5 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0xb505, 0x5, 0xb504, x1, 1420, x2) - -inst_356: -// rs1_val==5 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff4afd, 0x5, -0xb504, x1, 1424, x2) - -inst_357: -// rs1_val==5 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x5, 0x66666666, x1, 1428, x2) - -inst_358: -// rs1_val==5 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x33333337, 0x5, 0x33333333, x1, 1432, x2) - -inst_359: -// rs1_val==5 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x5, 0x5, 0x5, x1, 1436, x2) - -inst_360: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1440, x2) - -inst_361: -// rs1_val==5 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x55555555, 0x5, 0x55555555, x1, 1444, x2) - -inst_362: -// rs1_val==5 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x5; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x7, 0x5, 0x3, x1, 1448, x2) - -inst_363: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0xaaaabfaf, -0x55555556, 0xb505, x1, 1452, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffffeaff, -0x55555556, -0xb503, x1, 1456, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666667, x1, 1460, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0xbbbbbbbe, -0x55555556, 0x33333334, x1, 1464, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaae, -0x55555556, 0x6, x1, 1468, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaab, -0x55555556, -0x55555555, x1, 1472, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xfffffffe, -0x55555556, 0x55555556, x1, 1476, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1480, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0xaaaabfab, -0x55555556, 0xb503, x1, 1484, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1488, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666665, x1, 1492, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0xbbbbbbba, -0x55555556, 0x33333332, x1, 1496, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1500, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaaa, -0x55555556, 0x2, x1, 1504, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0xaaaabfae, -0x55555556, 0xb504, x1, 1508, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffffeafe, -0x55555556, -0xb504, x1, 1512, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0xeeeeeeee, -0x55555556, 0x66666666, x1, 1516, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0xbbbbbbbb, -0x55555556, 0x33333333, x1, 1520, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1524, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1528, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1532, x2) - -inst_384: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaab, -0x55555556, 0x3, x1, 1536, x2) - -inst_385: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0x5555f555, 0x55555555, 0xb505, x1, 1540, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff5ffd, 0x55555555, -0xb503, x1, 1544, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x55555555, 0x66666667, x1, 1548, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x77777775, 0x55555555, 0x33333334, x1, 1552, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x55555557, 0x55555555, 0x6, x1, 1556, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, 0x55555555, -0x55555555, x1, 1560, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x55555557, 0x55555555, 0x55555556, x1, 1564, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x55555555, 0x55555555, 0x4, x1, 1568, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0x5555f557, 0x55555555, 0xb503, x1, 1572, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1576, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x77777775, 0x55555555, 0x66666665, x1, 1580, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x55555555, 0x33333332, x1, 1584, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x55555555, 0x55555555, 0x55555554, x1, 1588, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1592, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0x5555f555, 0x55555555, 0xb504, x1, 1596, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff5ffd, 0x55555555, -0xb504, x1, 1600, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x55555555, 0x66666666, x1, 1604, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x55555555, 0x33333333, x1, 1608, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x55555555, 0x55555555, 0x5, x1, 1612, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1616, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1620, x2) - -inst_406: -// rs1_val==1431655765 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555555; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x55555557, 0x55555555, 0x3, x1, 1624, x2) - -inst_407: -// rs1_val==3 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0x3, 0xb505, x1, 1628, x2) - -inst_408: -// rs1_val==3 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff4aff, 0x3, -0xb503, x1, 1632, x2) - -inst_409: -// rs1_val==3 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x3, 0x66666667, x1, 1636, x2) - -inst_410: -// rs1_val==3 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1640, x2) - -inst_411: -// rs1_val==3 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x7, 0x3, 0x6, x1, 1644, x2) - -inst_412: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaab, 0x3, -0x55555555, x1, 1648, x2) - -inst_413: -// rs1_val==3 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x55555557, 0x3, 0x55555556, x1, 1652, x2) - -inst_414: -// rs1_val==3 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x7, 0x3, 0x4, x1, 1656, x2) - -inst_415: -// rs1_val==3 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0xb503, 0x3, 0xb503, x1, 1660, x2) - -inst_416: -// rs1_val==3 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x3, 0x3, 0x0, x1, 1664, x2) - -inst_417: -// rs1_val==3 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x3, 0x66666665, x1, 1668, x2) - -inst_418: -// rs1_val==3 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x33333333, 0x3, 0x33333332, x1, 1672, x2) - -inst_419: -// rs1_val==3 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1676, x2) - -inst_420: -// rs1_val==3 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x3, 0x3, 0x2, x1, 1680, x2) - -inst_421: -// rs1_val==3 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0x3, 0xb504, x1, 1684, x2) - -inst_422: -// rs1_val==3 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1688, x2) - -inst_423: -// rs1_val==3 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x3, 0x66666666, x1, 1692, x2) - -inst_424: -// rs1_val==3 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x33333333, 0x3, 0x33333333, x1, 1696, x2) - -inst_425: -// rs1_val==3 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x7, 0x3, 0x5, x1, 1700, x2) - -inst_426: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaab, 0x3, -0x55555556, x1, 1704, x2) - -inst_427: -// rs1_val==3 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x55555557, 0x3, 0x55555555, x1, 1708, x2) - -inst_428: -// rs1_val==3 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x3; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x3, 0x3, 0x3, x1, 1712, x2) - -inst_429: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1716, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x66666665, 0x33333332, x1, 1720, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x77777775, 0x66666665, 0x55555554, x1, 1724, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1728, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0x6666f765, 0x66666665, 0xb504, x1, 1732, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff6efd, 0x66666665, -0xb504, x1, 1736, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666665, 0x66666666, x1, 1740, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x66666665, 0x33333333, x1, 1744, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x66666665, 0x66666665, 0x5, x1, 1748, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555556, x1, 1752, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x77777775, 0x66666665, 0x55555555, x1, 1756, x2) - -inst_440: -// rs1_val==1717986917 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666665; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666665, 0x3, x1, 1760, x2) - -inst_441: -// rs1_val==858993458 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0x3333b737, 0x33333332, 0xb505, x1, 1764, x2) - -inst_442: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff7bff, 0x33333332, -0xb503, x1, 1768, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x33333332, 0x66666667, x1, 1772, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x33333336, 0x33333332, 0x33333334, x1, 1776, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x33333336, 0x33333332, 0x6, x1, 1780, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xbbbbbbbb, 0x33333332, -0x55555555, x1, 1784, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x77777776, 0x33333332, 0x55555556, x1, 1788, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1792, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0x3333b733, 0x33333332, 0xb503, x1, 1796, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1800, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x33333332, 0x66666665, x1, 1804, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1808, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x77777776, 0x33333332, 0x55555554, x1, 1812, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x33333332, 0x33333332, 0x2, x1, 1816, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0x3333b736, 0x33333332, 0xb504, x1, 1820, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff7bfe, 0x33333332, -0xb504, x1, 1824, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x77777776, 0x33333332, 0x66666666, x1, 1828, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x33333333, 0x33333332, 0x33333333, x1, 1832, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1836, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xbbbbbbba, 0x33333332, -0x55555556, x1, 1840, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x33333332, 0x55555555, x1, 1844, x2) - -inst_462: -// rs1_val==858993458 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333332; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x33333333, 0x33333332, 0x3, x1, 1848, x2) - -inst_463: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0x5555f555, 0x55555554, 0xb505, x1, 1852, x2) - -inst_464: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff5ffd, 0x55555554, -0xb503, x1, 1856, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x55555554, 0x66666667, x1, 1860, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x77777774, 0x55555554, 0x33333334, x1, 1864, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x55555554, 0x6, x1, 1868, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1872, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x55555554, 0x55555556, x1, 1876, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x55555554, 0x55555554, 0x4, x1, 1880, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0x5555f557, 0x55555554, 0xb503, x1, 1884, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1888, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x77777775, 0x55555554, 0x66666665, x1, 1892, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x77777776, 0x55555554, 0x33333332, x1, 1896, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1900, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1904, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0x5555f554, 0x55555554, 0xb504, x1, 1908, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff5ffc, 0x55555554, -0xb504, x1, 1912, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x77777776, 0x55555554, 0x66666666, x1, 1916, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x55555554, 0x33333333, x1, 1920, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x55555555, 0x55555554, 0x5, x1, 1924, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1928, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x55555555, 0x55555554, 0x55555555, x1, 1932, x2) - -inst_484: -// rs1_val==1431655764 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x55555554; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1936, x2) - -inst_485: -// rs1_val==2 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0x2, 0xb505, x1, 1940, x2) - -inst_486: -// rs1_val==2 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1944, x2) - -inst_487: -// rs1_val==2 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x2, 0x66666667, x1, 1948, x2) - -inst_488: -// rs1_val==2 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1952, x2) - -inst_489: -// rs1_val==2 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x6, 0x2, 0x6, x1, 1956, x2) - -inst_490: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaab, 0x2, -0x55555555, x1, 1960, x2) - -inst_491: -// rs1_val==2 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x2, 0x55555556, x1, 1964, x2) - -inst_492: -// rs1_val==2 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x6, 0x2, 0x4, x1, 1968, x2) - -inst_493: -// rs1_val==2 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0xb503, 0x2, 0xb503, x1, 1972, x2) - -inst_494: -// rs1_val==2 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x2, 0x2, 0x0, x1, 1976, x2) - -inst_495: -// rs1_val==2 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1980, x2) - -inst_496: -// rs1_val==2 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x33333332, 0x2, 0x33333332, x1, 1984, x2) - -inst_497: -// rs1_val==2 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1988, x2) - -inst_498: -// rs1_val==2 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x2, 0x2, 0x2, x1, 1992, x2) - -inst_499: -// rs1_val==2 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0xb506, 0x2, 0xb504, x1, 1996, x2) - -inst_500: -// rs1_val==2 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 2000, x2) - -inst_501: -// rs1_val==2 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x66666666, 0x2, 0x66666666, x1, 2004, x2) - -inst_502: -// rs1_val==2 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x33333333, 0x2, 0x33333333, x1, 2008, x2) - -inst_503: -// rs1_val==2 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x7, 0x2, 0x5, x1, 2012, x2) - -inst_504: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xaaaaaaaa, 0x2, -0x55555556, x1, 2016, x2) - -inst_505: -// rs1_val==2 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 2020, x2) - -inst_506: -// rs1_val==2 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x2; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x3, 0x2, 0x3, x1, 2024, x2) - -inst_507: -// rs1_val==46340 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0xb505, 0xb504, 0xb505, x1, 2028, x2) - -inst_508: -// rs1_val==46340 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xfffffffd, 0xb504, -0xb503, x1, 2032, x2) - -inst_509: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x6666f767, 0xb504, 0x66666667, x1, 2036, x2) - -inst_510: -// rs1_val==46340 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x3333b734, 0xb504, 0x33333334, x1, 2040, x2) - -inst_511: -// rs1_val==46340 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0xb506, 0xb504, 0x6, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_512: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xaaaabfaf, 0xb504, -0x55555555, x1, 0, x2) - -inst_513: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x5555f556, 0xb504, 0x55555556, x1, 4, x2) - -inst_514: -// rs1_val==46340 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0xb504, 0xb504, 0x4, x1, 8, x2) - -inst_515: -// rs1_val==46340 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0xb504, 0xb503, x1, 12, x2) - -inst_516: -// rs1_val==46340 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0xb504, 0xb504, 0x0, x1, 16, x2) - -inst_517: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x6666f765, 0xb504, 0x66666665, x1, 20, x2) - -inst_518: -// rs1_val==46340 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x3333b736, 0xb504, 0x33333332, x1, 24, x2) - -inst_519: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x5555f554, 0xb504, 0x55555554, x1, 28, x2) - -inst_520: -// rs1_val==46340 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0xb506, 0xb504, 0x2, x1, 32, x2) - -inst_521: -// rs1_val==46340 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0xb504, 0xb504, 0xb504, x1, 36, x2) - -inst_522: -// rs1_val==46340 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xfffffffc, 0xb504, -0xb504, x1, 40, x2) - -inst_523: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x6666f766, 0xb504, 0x66666666, x1, 44, x2) - -inst_524: -// rs1_val==46340 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x3333b737, 0xb504, 0x33333333, x1, 48, x2) - -inst_525: -// rs1_val==46340 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0xb505, 0xb504, 0x5, x1, 52, x2) - -inst_526: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xaaaabfae, 0xb504, -0x55555556, x1, 56, x2) - -inst_527: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x5555f555, 0xb504, 0x55555555, x1, 60, x2) - -inst_528: -// rs1_val==46340 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0xb504; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0xb507, 0xb504, 0x3, x1, 64, x2) - -inst_529: -// rs1_val==-46340 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0xfffffffd, -0xb504, 0xb505, x1, 68, x2) - -inst_530: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff4afd, -0xb504, -0xb503, x1, 72, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0xffff6eff, -0xb504, 0x66666667, x1, 76, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0xffff7bfc, -0xb504, 0x33333334, x1, 80, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0xffff4afe, -0xb504, 0x6, x1, 84, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xffffeaff, -0xb504, -0x55555555, x1, 88, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xffff5ffe, -0xb504, 0x55555556, x1, 92, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0xffff4afc, -0xb504, 0x4, x1, 96, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 100, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 104, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0xffff6efd, -0xb504, 0x66666665, x1, 108, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0xffff7bfe, -0xb504, 0x33333332, x1, 112, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0xffff5ffc, -0xb504, 0x55555554, x1, 116, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 120, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0xfffffffc, -0xb504, 0xb504, x1, 124, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 128, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0xffff6efe, -0xb504, 0x66666666, x1, 132, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0xffff7bff, -0xb504, 0x33333333, x1, 136, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0xffff4afd, -0xb504, 0x5, x1, 140, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xffffeafe, -0xb504, -0x55555556, x1, 144, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xffff5ffd, -0xb504, 0x55555555, x1, 148, x2) - -inst_550: -// rs1_val==-46340 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:-0xb504; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 152, x2) - -inst_551: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0x6666f767, 0x66666666, 0xb505, x1, 156, x2) - -inst_552: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff6eff, 0x66666666, -0xb503, x1, 160, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666666, 0x66666667, x1, 164, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x77777776, 0x66666666, 0x33333334, x1, 168, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x66666666, 0x66666666, 0x6, x1, 172, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xeeeeeeef, 0x66666666, -0x55555555, x1, 176, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x77777776, 0x66666666, 0x55555556, x1, 180, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x66666666, 0x66666666, 0x4, x1, 184, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0x6666f767, 0x66666666, 0xb503, x1, 188, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==0, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x0 -TEST_CR_OP( c.or, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 192, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666666, 0x66666665, x1, 196, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332 -TEST_CR_OP( c.or, x10, x11, 0x77777776, 0x66666666, 0x33333332, x1, 200, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554 -TEST_CR_OP( c.or, x10, x11, 0x77777776, 0x66666666, 0x55555554, x1, 204, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==2, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x2 -TEST_CR_OP( c.or, x10, x11, 0x66666666, 0x66666666, 0x2, x1, 208, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504 -TEST_CR_OP( c.or, x10, x11, 0x6666f766, 0x66666666, 0xb504, x1, 212, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504 -TEST_CR_OP( c.or, x10, x11, 0xffff6efe, 0x66666666, -0xb504, x1, 216, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666 -TEST_CR_OP( c.or, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 220, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x66666666, 0x33333333, x1, 224, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==5, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x5 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666666, 0x5, x1, 228, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556 -TEST_CR_OP( c.or, x10, x11, 0xeeeeeeee, 0x66666666, -0x55555556, x1, 232, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x66666666, 0x55555555, x1, 236, x2) - -inst_572: -// rs1_val==1717986918 and rs2_val==3, -// opcode: c.or; op1:x10; op2:x11; op1val:0x66666666; op2val:0x3 -TEST_CR_OP( c.or, x10, x11, 0x66666667, 0x66666666, 0x3, x1, 240, x2) - -inst_573: -// rs1_val==858993459 and rs2_val==46341, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505 -TEST_CR_OP( c.or, x10, x11, 0x3333b737, 0x33333333, 0xb505, x1, 244, x2) - -inst_574: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503 -TEST_CR_OP( c.or, x10, x11, 0xffff7bff, 0x33333333, -0xb503, x1, 248, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x33333333, 0x66666667, x1, 252, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334 -TEST_CR_OP( c.or, x10, x11, 0x33333337, 0x33333333, 0x33333334, x1, 256, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==6, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x6 -TEST_CR_OP( c.or, x10, x11, 0x33333337, 0x33333333, 0x6, x1, 260, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555 -TEST_CR_OP( c.or, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555555, x1, 264, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556 -TEST_CR_OP( c.or, x10, x11, 0x77777777, 0x33333333, 0x55555556, x1, 268, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==4, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0x4 -TEST_CR_OP( c.or, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 272, x2) - -inst_581: -// rs1_val==858993459 and rs2_val==46339, -// opcode: c.or; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503 -TEST_CR_OP( c.or, x10, x11, 0x3333b733, 0x33333333, 0xb503, x1, 276, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 70*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cslli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cslli-01.S deleted file mode 100644 index 33b37b62b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cslli-01.S +++ /dev/null @@ -1,500 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.slli instruction of the RISC-V C extension for the cslli covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cslli) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rd==x11, rs1_val < 0 and imm_val < xlen, rs1_val == -65537, imm_val == 29 -// opcode:c.slli; op1:x11; dest:x11 op1val:-0x10001; immval:0x1d -TEST_CI_OP( c.slli, x11, 0xe0000000, -0x10001, 0x1d, x1, 0, x2) - -inst_1: -// rd==x8, rs1_val == 2147483647, rs1_val > 0 and imm_val < xlen, rs1_val == (2**(xlen-1)-1) and imm_val != 0 and imm_val < xlen -// opcode:c.slli; op1:x8; dest:x8 op1val:0x7fffffff; immval:0xb -TEST_CI_OP( c.slli, x8, 0xfffff800, 0x7fffffff, 0xb, x1, 4, x2) - -inst_2: -// rd==x9, rs1_val == -1073741825, -// opcode:c.slli; op1:x9; dest:x9 op1val:-0x40000001; immval:0xc -TEST_CI_OP( c.slli, x9, 0xfffff000, -0x40000001, 0xc, x1, 8, x2) - -inst_3: -// rd==x12, rs1_val == -536870913, -// opcode:c.slli; op1:x12; dest:x12 op1val:-0x20000001; immval:0x7 -TEST_CI_OP( c.slli, x12, 0xffffff80, -0x20000001, 0x7, x1, 12, x2) - -inst_4: -// rd==x14, rs1_val == -268435457, -// opcode:c.slli; op1:x14; dest:x14 op1val:-0x10000001; immval:0x3 -TEST_CI_OP( c.slli, x14, 0x7ffffff8, -0x10000001, 0x3, x1, 16, x2) - -inst_5: -// rd==x10, rs1_val == -134217729, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x8000001; immval:0x1f -TEST_CI_OP( c.slli, x10, 0x80000000, -0x8000001, 0x1f, x1, 20, x2) - -inst_6: -// rd==x13, rs1_val == -67108865, -// opcode:c.slli; op1:x13; dest:x13 op1val:-0x4000001; immval:0x13 -TEST_CI_OP( c.slli, x13, 0xfff80000, -0x4000001, 0x13, x1, 24, x2) - -inst_7: -// rd==x15, rs1_val == -33554433, imm_val == 10 -// opcode:c.slli; op1:x15; dest:x15 op1val:-0x2000001; immval:0xa -TEST_CI_OP( c.slli, x15, 0xfffffc00, -0x2000001, 0xa, x1, 28, x2) - -inst_8: -// rs1_val == -16777217, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x1000001; immval:0x5 -TEST_CI_OP( c.slli, x10, 0xdfffffe0, -0x1000001, 0x5, x1, 32, x2) - -inst_9: -// rs1_val == -8388609, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x800001; immval:0x1f -TEST_CI_OP( c.slli, x10, 0x80000000, -0x800001, 0x1f, x1, 36, x2) - -inst_10: -// rs1_val == -4194305, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x400001; immval:0x13 -TEST_CI_OP( c.slli, x10, 0xfff80000, -0x400001, 0x13, x1, 40, x2) - -inst_11: -// rs1_val == -2097153, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x200001; immval:0x11 -TEST_CI_OP( c.slli, x10, 0xfffe0000, -0x200001, 0x11, x1, 44, x2) - -inst_12: -// rs1_val == -1048577, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x100001; immval:0x9 -TEST_CI_OP( c.slli, x10, 0xdffffe00, -0x100001, 0x9, x1, 48, x2) - -inst_13: -// rs1_val == -524289, imm_val == 21 -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x80001; immval:0x15 -TEST_CI_OP( c.slli, x10, 0xffe00000, -0x80001, 0x15, x1, 52, x2) - -inst_14: -// rs1_val == -262145, imm_val == 27 -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x40001; immval:0x1b -TEST_CI_OP( c.slli, x10, 0xf8000000, -0x40001, 0x1b, x1, 56, x2) - -inst_15: -// rs1_val == -131073, imm_val == 2 -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x20001; immval:0x2 -TEST_CI_OP( c.slli, x10, 0xfff7fffc, -0x20001, 0x2, x1, 60, x2) - -inst_16: -// rs1_val == -32769, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x8001; immval:0x1f -TEST_CI_OP( c.slli, x10, 0x80000000, -0x8001, 0x1f, x1, 64, x2) - -inst_17: -// rs1_val == -16385, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x4001; immval:0xd -TEST_CI_OP( c.slli, x10, 0xf7ffe000, -0x4001, 0xd, x1, 68, x2) - -inst_18: -// rs1_val == -8193, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x2001; immval:0x1d -TEST_CI_OP( c.slli, x10, 0xe0000000, -0x2001, 0x1d, x1, 72, x2) - -inst_19: -// rs1_val == -4097, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x1001; immval:0x1b -TEST_CI_OP( c.slli, x10, 0xf8000000, -0x1001, 0x1b, x1, 76, x2) - -inst_20: -// rs1_val == -2049, imm_val == 15 -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x801; immval:0xf -TEST_CI_OP( c.slli, x10, 0xfbff8000, -0x801, 0xf, x1, 80, x2) - -inst_21: -// rs1_val == -1025, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x401; immval:0x1f -TEST_CI_OP( c.slli, x10, 0x80000000, -0x401, 0x1f, x1, 84, x2) - -inst_22: -// rs1_val == -513, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x201; immval:0x5 -TEST_CI_OP( c.slli, x10, 0xffffbfe0, -0x201, 0x5, x1, 88, x2) - -inst_23: -// rs1_val == -257, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x101; immval:0x1f -TEST_CI_OP( c.slli, x10, 0x80000000, -0x101, 0x1f, x1, 92, x2) - -inst_24: -// rs1_val == -129, imm_val == 30 -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x81; immval:0x1e -TEST_CI_OP( c.slli, x10, 0xc0000000, -0x81, 0x1e, x1, 96, x2) - -inst_25: -// rs1_val == -65, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x41; immval:0x3 -TEST_CI_OP( c.slli, x10, 0xfffffdf8, -0x41, 0x3, x1, 100, x2) - -inst_26: -// rs1_val == -33, imm_val == 23 -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x21; immval:0x17 -TEST_CI_OP( c.slli, x10, 0xef800000, -0x21, 0x17, x1, 104, x2) - -inst_27: -// rs1_val == -17, imm_val == 1 -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x11; immval:0x1 -TEST_CI_OP( c.slli, x10, 0xffffffde, -0x11, 0x1, x1, 108, x2) - -inst_28: -// rs1_val == -9, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x9; immval:0x1d -TEST_CI_OP( c.slli, x10, 0xe0000000, -0x9, 0x1d, x1, 112, x2) - -inst_29: -// rs1_val == -5, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x5; immval:0x17 -TEST_CI_OP( c.slli, x10, 0xfd800000, -0x5, 0x17, x1, 116, x2) - -inst_30: -// rs1_val == -3, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x3; immval:0x1b -TEST_CI_OP( c.slli, x10, 0xe8000000, -0x3, 0x1b, x1, 120, x2) - -inst_31: -// rs1_val == -2, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x2; immval:0x1e -TEST_CI_OP( c.slli, x10, 0x80000000, -0x2, 0x1e, x1, 124, x2) - -inst_32: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val != 0 and imm_val < xlen -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x80000000; immval:0x1d -TEST_CI_OP( c.slli, x10, 0x0, -0x80000000, 0x1d, x1, 128, x2) - -inst_33: -// rs1_val == 1073741824, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x40000000; immval:0x1b -TEST_CI_OP( c.slli, x10, 0x0, 0x40000000, 0x1b, x1, 132, x2) - -inst_34: -// rs1_val == 536870912, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x20000000; immval:0x13 -TEST_CI_OP( c.slli, x10, 0x0, 0x20000000, 0x13, x1, 136, x2) - -inst_35: -// rs1_val == 268435456, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x10000000; immval:0x1e -TEST_CI_OP( c.slli, x10, 0x0, 0x10000000, 0x1e, x1, 140, x2) - -inst_36: -// rs1_val == 134217728, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x8000000; immval:0x9 -TEST_CI_OP( c.slli, x10, 0x0, 0x8000000, 0x9, x1, 144, x2) - -inst_37: -// rs1_val == 67108864, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x4000000; immval:0x2 -TEST_CI_OP( c.slli, x10, 0x10000000, 0x4000000, 0x2, x1, 148, x2) - -inst_38: -// rs1_val == 33554432, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x2000000; immval:0x5 -TEST_CI_OP( c.slli, x10, 0x40000000, 0x2000000, 0x5, x1, 152, x2) - -inst_39: -// rs1_val == 16777216, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x1000000; immval:0x5 -TEST_CI_OP( c.slli, x10, 0x20000000, 0x1000000, 0x5, x1, 156, x2) - -inst_40: -// rs1_val == 8388608, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x800000; immval:0x6 -TEST_CI_OP( c.slli, x10, 0x20000000, 0x800000, 0x6, x1, 160, x2) - -inst_41: -// rs1_val == 4194304, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x400000; immval:0xe -TEST_CI_OP( c.slli, x10, 0x0, 0x400000, 0xe, x1, 164, x2) - -inst_42: -// rs1_val == 2097152, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x200000; immval:0x7 -TEST_CI_OP( c.slli, x10, 0x10000000, 0x200000, 0x7, x1, 168, x2) - -inst_43: -// rs1_val == 1048576, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x100000; immval:0x1b -TEST_CI_OP( c.slli, x10, 0x0, 0x100000, 0x1b, x1, 172, x2) - -inst_44: -// rs1_val == 524288, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x80000; immval:0x13 -TEST_CI_OP( c.slli, x10, 0x0, 0x80000, 0x13, x1, 176, x2) - -inst_45: -// rs1_val == 262144, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x40000; immval:0x9 -TEST_CI_OP( c.slli, x10, 0x8000000, 0x40000, 0x9, x1, 180, x2) - -inst_46: -// rs1_val == 131072, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x20000; immval:0x12 -TEST_CI_OP( c.slli, x10, 0x0, 0x20000, 0x12, x1, 184, x2) - -inst_47: -// rs1_val == 65536, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x10000; immval:0xb -TEST_CI_OP( c.slli, x10, 0x8000000, 0x10000, 0xb, x1, 188, x2) - -inst_48: -// rs1_val == 32768, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x8000; immval:0x1 -TEST_CI_OP( c.slli, x10, 0x10000, 0x8000, 0x1, x1, 192, x2) - -inst_49: -// rs1_val == 16384, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x4000; immval:0x1e -TEST_CI_OP( c.slli, x10, 0x0, 0x4000, 0x1e, x1, 196, x2) - -inst_50: -// rs1_val == 8192, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x2000; immval:0x1 -TEST_CI_OP( c.slli, x10, 0x4000, 0x2000, 0x1, x1, 200, x2) - -inst_51: -// rs1_val == 4096, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x1000; immval:0x9 -TEST_CI_OP( c.slli, x10, 0x200000, 0x1000, 0x9, x1, 204, x2) - -inst_52: -// rs1_val == 2048, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x800; immval:0x11 -TEST_CI_OP( c.slli, x10, 0x10000000, 0x800, 0x11, x1, 208, x2) - -inst_53: -// rs1_val == 1024, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x400; immval:0x1d -TEST_CI_OP( c.slli, x10, 0x0, 0x400, 0x1d, x1, 212, x2) - -inst_54: -// rs1_val == 512, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x200; immval:0xd -TEST_CI_OP( c.slli, x10, 0x400000, 0x200, 0xd, x1, 216, x2) - -inst_55: -// rs1_val == 256, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x100; immval:0x12 -TEST_CI_OP( c.slli, x10, 0x4000000, 0x100, 0x12, x1, 220, x2) - -inst_56: -// rs1_val == 128, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x80; immval:0x6 -TEST_CI_OP( c.slli, x10, 0x2000, 0x80, 0x6, x1, 224, x2) - -inst_57: -// rs1_val == 64, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x40; immval:0x9 -TEST_CI_OP( c.slli, x10, 0x8000, 0x40, 0x9, x1, 228, x2) - -inst_58: -// rs1_val == 32, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x20; immval:0x1b -TEST_CI_OP( c.slli, x10, 0x0, 0x20, 0x1b, x1, 232, x2) - -inst_59: -// rs1_val == 16, rs1_val == imm_val and imm_val != 0 and imm_val < xlen, imm_val == 16 -// opcode:c.slli; op1:x10; dest:x10 op1val:0x10; immval:0x10 -TEST_CI_OP( c.slli, x10, 0x100000, 0x10, 0x10, x1, 236, x2) - -inst_60: -// rs1_val == 8, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x8; immval:0x13 -TEST_CI_OP( c.slli, x10, 0x400000, 0x8, 0x13, x1, 240, x2) - -inst_61: -// rs1_val == 4, rs1_val==4 -// opcode:c.slli; op1:x10; dest:x10 op1val:0x4; immval:0x15 -TEST_CI_OP( c.slli, x10, 0x800000, 0x4, 0x15, x1, 244, x2) - -inst_62: -// rs1_val == 2, rs1_val==2 -// opcode:c.slli; op1:x10; dest:x10 op1val:0x2; immval:0x1b -TEST_CI_OP( c.slli, x10, 0x10000000, 0x2, 0x1b, x1, 248, x2) - -inst_63: -// rs1_val == 1, rs1_val == 1 and imm_val != 0 and imm_val < xlen -// opcode:c.slli; op1:x10; dest:x10 op1val:0x1; immval:0x13 -TEST_CI_OP( c.slli, x10, 0x80000, 0x1, 0x13, x1, 252, x2) - -inst_64: -// imm_val == 8, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x400000; immval:0x8 -TEST_CI_OP( c.slli, x10, 0x40000000, 0x400000, 0x8, x1, 256, x2) - -inst_65: -// imm_val == 4, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x8001; immval:0x4 -TEST_CI_OP( c.slli, x10, 0xfff7fff0, -0x8001, 0x4, x1, 260, x2) - -inst_66: -// rs1_val==46341, -// opcode:c.slli; op1:x10; dest:x10 op1val:0xb505; immval:0xf -TEST_CI_OP( c.slli, x10, 0x5a828000, 0xb505, 0xf, x1, 264, x2) - -inst_67: -// rs1_val==-46339, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0xb503; immval:0xa -TEST_CI_OP( c.slli, x10, 0xfd2bf400, -0xb503, 0xa, x1, 268, x2) - -inst_68: -// rs1_val==1717986919, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x66666667; immval:0x1e -TEST_CI_OP( c.slli, x10, 0xc0000000, 0x66666667, 0x1e, x1, 272, x2) - -inst_69: -// rs1_val==858993460, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x33333334; immval:0x4 -TEST_CI_OP( c.slli, x10, 0x33333340, 0x33333334, 0x4, x1, 276, x2) - -inst_70: -// rs1_val==6, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x6; immval:0x3 -TEST_CI_OP( c.slli, x10, 0x30, 0x6, 0x3, x1, 280, x2) - -inst_71: -// rs1_val==-1431655765, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x55555555; immval:0x17 -TEST_CI_OP( c.slli, x10, 0x55800000, -0x55555555, 0x17, x1, 284, x2) - -inst_72: -// rs1_val==1431655766, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x55555556; immval:0x8 -TEST_CI_OP( c.slli, x10, 0x55555600, 0x55555556, 0x8, x1, 288, x2) - -inst_73: -// rs1_val==46339, -// opcode:c.slli; op1:x10; dest:x10 op1val:0xb503; immval:0x1b -TEST_CI_OP( c.slli, x10, 0x18000000, 0xb503, 0x1b, x1, 292, x2) - -inst_74: -// rs1_val==3, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x3; immval:0x1b -TEST_CI_OP( c.slli, x10, 0x18000000, 0x3, 0x1b, x1, 296, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode:c.slli; op1:x10; dest:x10 op1val:-0x55555556; immval:0x3 -TEST_CI_OP( c.slli, x10, 0x55555550, -0x55555556, 0x3, x1, 300, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode:c.slli; op1:x10; dest:x10 op1val:0x55555555; immval:0x4 -TEST_CI_OP( c.slli, x10, 0x55555550, 0x55555555, 0x4, x1, 304, x2) - -inst_77: -// rs1_val == 0 and imm_val != 0 and imm_val < xlen, rs1_val==0 -// opcode:c.slli; op1:x10; dest:x10 op1val:0x0; immval:0x15 -TEST_CI_OP( c.slli, x10, 0x0, 0x0, 0x15, x1, 308, x2) - -inst_78: -// rs1_val==1717986917, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x66666665; immval:0x5 -TEST_CI_OP( c.slli, x10, 0xcccccca0, 0x66666665, 0x5, x1, 312, x2) - -inst_79: -// rs1_val==858993458, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x33333332; immval:0x10 -TEST_CI_OP( c.slli, x10, 0x33320000, 0x33333332, 0x10, x1, 316, x2) - -inst_80: -// rs1_val==1431655764, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x55555554; immval:0x17 -TEST_CI_OP( c.slli, x10, 0xaa000000, 0x55555554, 0x17, x1, 320, x2) - -inst_81: -// rs1_val==46340, -// opcode:c.slli; op1:x10; dest:x10 op1val:0xb504; immval:0x6 -TEST_CI_OP( c.slli, x10, 0x2d4100, 0xb504, 0x6, x1, 324, x2) - -inst_82: -// rs1_val==-46340, -// opcode:c.slli; op1:x10; dest:x10 op1val:-0xb504; immval:0xa -TEST_CI_OP( c.slli, x10, 0xfd2bf000, -0xb504, 0xa, x1, 328, x2) - -inst_83: -// rs1_val==1717986918, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x66666666; immval:0x12 -TEST_CI_OP( c.slli, x10, 0x99980000, 0x66666666, 0x12, x1, 332, x2) - -inst_84: -// rs1_val==858993459, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x33333333; immval:0x1 -TEST_CI_OP( c.slli, x10, 0x66666666, 0x33333333, 0x1, x1, 336, x2) - -inst_85: -// rs1_val==5, -// opcode:c.slli; op1:x10; dest:x10 op1val:0x5; immval:0x4 -TEST_CI_OP( c.slli, x10, 0x50, 0x5, 0x4, x1, 340, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 86*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/csrai-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/csrai-01.S deleted file mode 100644 index 36fd20f35..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/csrai-01.S +++ /dev/null @@ -1,495 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.srai instruction of the RISC-V C extension for the csrai covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",csrai) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1==x10, rs1_val < 0 and imm_val < xlen, rs1_val == -1431655766, rs1_val==-1431655766 -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x55555556; immval:0xe -TEST_CI_OP( c.srai, x10, 0xfffeaaaa, -0x55555556, 0xe, x1, 0, x2) - -inst_1: -// rs1==x9, rs1_val == 2147483647, rs1_val > 0 and imm_val < xlen, rs1_val == (2**(xlen-1)-1) and imm_val != 0 and imm_val < xlen -// opcode:c.srai; op1:x9; dest:x9 op1val:0x7fffffff; immval:0x9 -TEST_CI_OP( c.srai, x9, 0x3fffff, 0x7fffffff, 0x9, x1, 4, x2) - -inst_2: -// rs1==x11, rs1_val == -1073741825, -// opcode:c.srai; op1:x11; dest:x11 op1val:-0x40000001; immval:0x5 -TEST_CI_OP( c.srai, x11, 0xfdffffff, -0x40000001, 0x5, x1, 8, x2) - -inst_3: -// rs1==x12, rs1_val == -536870913, imm_val == 4 -// opcode:c.srai; op1:x12; dest:x12 op1val:-0x20000001; immval:0x4 -TEST_CI_OP( c.srai, x12, 0xfdffffff, -0x20000001, 0x4, x1, 12, x2) - -inst_4: -// rs1==x14, rs1_val == -268435457, imm_val == 21 -// opcode:c.srai; op1:x14; dest:x14 op1val:-0x10000001; immval:0x15 -TEST_CI_OP( c.srai, x14, 0xffffff7f, -0x10000001, 0x15, x1, 16, x2) - -inst_5: -// rs1==x8, rs1_val == -134217729, -// opcode:c.srai; op1:x8; dest:x8 op1val:-0x8000001; immval:0x13 -TEST_CI_OP( c.srai, x8, 0xfffffeff, -0x8000001, 0x13, x1, 20, x2) - -inst_6: -// rs1==x13, rs1_val == -67108865, -// opcode:c.srai; op1:x13; dest:x13 op1val:-0x4000001; immval:0xc -TEST_CI_OP( c.srai, x13, 0xffffbfff, -0x4000001, 0xc, x1, 24, x2) - -inst_7: -// rs1==x15, rs1_val == -33554433, imm_val == 29 -// opcode:c.srai; op1:x15; dest:x15 op1val:-0x2000001; immval:0x1d -TEST_CI_OP( c.srai, x15, 0xffffffff, -0x2000001, 0x1d, x1, 28, x2) - -inst_8: -// rs1_val == -16777217, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x1000001; immval:0xd -TEST_CI_OP( c.srai, x10, 0xfffff7ff, -0x1000001, 0xd, x1, 32, x2) - -inst_9: -// rs1_val == -8388609, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x800001; immval:0x3 -TEST_CI_OP( c.srai, x10, 0xffefffff, -0x800001, 0x3, x1, 36, x2) - -inst_10: -// rs1_val == -4194305, imm_val == 10 -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x400001; immval:0xa -TEST_CI_OP( c.srai, x10, 0xffffefff, -0x400001, 0xa, x1, 40, x2) - -inst_11: -// rs1_val == -2097153, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x200001; immval:0xd -TEST_CI_OP( c.srai, x10, 0xfffffeff, -0x200001, 0xd, x1, 44, x2) - -inst_12: -// rs1_val == -1048577, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x100001; immval:0xd -TEST_CI_OP( c.srai, x10, 0xffffff7f, -0x100001, 0xd, x1, 48, x2) - -inst_13: -// rs1_val == -524289, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x80001; immval:0x5 -TEST_CI_OP( c.srai, x10, 0xffffbfff, -0x80001, 0x5, x1, 52, x2) - -inst_14: -// rs1_val == -262145, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x40001; immval:0x4 -TEST_CI_OP( c.srai, x10, 0xffffbfff, -0x40001, 0x4, x1, 56, x2) - -inst_15: -// rs1_val == -131073, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x20001; immval:0x1d -TEST_CI_OP( c.srai, x10, 0xffffffff, -0x20001, 0x1d, x1, 60, x2) - -inst_16: -// rs1_val == -65537, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x10001; immval:0x15 -TEST_CI_OP( c.srai, x10, 0xffffffff, -0x10001, 0x15, x1, 64, x2) - -inst_17: -// rs1_val == -32769, imm_val == 2 -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x8001; immval:0x2 -TEST_CI_OP( c.srai, x10, 0xffffdfff, -0x8001, 0x2, x1, 68, x2) - -inst_18: -// rs1_val == -16385, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x4001; immval:0x7 -TEST_CI_OP( c.srai, x10, 0xffffff7f, -0x4001, 0x7, x1, 72, x2) - -inst_19: -// rs1_val == -8193, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x2001; immval:0x12 -TEST_CI_OP( c.srai, x10, 0xffffffff, -0x2001, 0x12, x1, 76, x2) - -inst_20: -// rs1_val == -4097, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x1001; immval:0x6 -TEST_CI_OP( c.srai, x10, 0xffffffbf, -0x1001, 0x6, x1, 80, x2) - -inst_21: -// rs1_val == -2049, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x801; immval:0x13 -TEST_CI_OP( c.srai, x10, 0xffffffff, -0x801, 0x13, x1, 84, x2) - -inst_22: -// rs1_val == -1025, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x401; immval:0x3 -TEST_CI_OP( c.srai, x10, 0xffffff7f, -0x401, 0x3, x1, 88, x2) - -inst_23: -// rs1_val == -513, imm_val == 15 -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x201; immval:0xf -TEST_CI_OP( c.srai, x10, 0xffffffff, -0x201, 0xf, x1, 92, x2) - -inst_24: -// rs1_val == -257, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x101; immval:0x7 -TEST_CI_OP( c.srai, x10, 0xfffffffd, -0x101, 0x7, x1, 96, x2) - -inst_25: -// rs1_val == -129, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x81; immval:0xa -TEST_CI_OP( c.srai, x10, 0xffffffff, -0x81, 0xa, x1, 100, x2) - -inst_26: -// rs1_val == -65, imm_val == 23 -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x41; immval:0x17 -TEST_CI_OP( c.srai, x10, 0xffffffff, -0x41, 0x17, x1, 104, x2) - -inst_27: -// rs1_val == -33, imm_val == 30 -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x21; immval:0x1e -TEST_CI_OP( c.srai, x10, 0xffffffff, -0x21, 0x1e, x1, 108, x2) - -inst_28: -// rs1_val == -17, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x11; immval:0x1e -TEST_CI_OP( c.srai, x10, 0xffffffff, -0x11, 0x1e, x1, 112, x2) - -inst_29: -// rs1_val == -9, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x9; immval:0x7 -TEST_CI_OP( c.srai, x10, 0xffffffff, -0x9, 0x7, x1, 116, x2) - -inst_30: -// rs1_val == -5, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x5; immval:0x9 -TEST_CI_OP( c.srai, x10, 0xffffffff, -0x5, 0x9, x1, 120, x2) - -inst_31: -// rs1_val == -3, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x3; immval:0x13 -TEST_CI_OP( c.srai, x10, 0xffffffff, -0x3, 0x13, x1, 124, x2) - -inst_32: -// rs1_val == -2, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x2; immval:0x17 -TEST_CI_OP( c.srai, x10, 0xffffffff, -0x2, 0x17, x1, 128, x2) - -inst_33: -// imm_val == 27, rs1_val == 32 -// opcode:c.srai; op1:x10; dest:x10 op1val:0x20; immval:0x1b -TEST_CI_OP( c.srai, x10, 0x0, 0x20, 0x1b, x1, 132, x2) - -inst_34: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val != 0 and imm_val < xlen -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x80000000; immval:0x12 -TEST_CI_OP( c.srai, x10, 0xffffe000, -0x80000000, 0x12, x1, 136, x2) - -inst_35: -// rs1_val == 1073741824, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x40000000; immval:0x11 -TEST_CI_OP( c.srai, x10, 0x2000, 0x40000000, 0x11, x1, 140, x2) - -inst_36: -// rs1_val == 536870912, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x20000000; immval:0x1b -TEST_CI_OP( c.srai, x10, 0x4, 0x20000000, 0x1b, x1, 144, x2) - -inst_37: -// rs1_val == 268435456, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x10000000; immval:0x15 -TEST_CI_OP( c.srai, x10, 0x80, 0x10000000, 0x15, x1, 148, x2) - -inst_38: -// rs1_val == 134217728, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x8000000; immval:0x12 -TEST_CI_OP( c.srai, x10, 0x200, 0x8000000, 0x12, x1, 152, x2) - -inst_39: -// rs1_val == 67108864, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x4000000; immval:0x15 -TEST_CI_OP( c.srai, x10, 0x20, 0x4000000, 0x15, x1, 156, x2) - -inst_40: -// rs1_val == 33554432, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x2000000; immval:0x4 -TEST_CI_OP( c.srai, x10, 0x200000, 0x2000000, 0x4, x1, 160, x2) - -inst_41: -// rs1_val == 16777216, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x1000000; immval:0x1e -TEST_CI_OP( c.srai, x10, 0x0, 0x1000000, 0x1e, x1, 164, x2) - -inst_42: -// rs1_val == 8388608, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x800000; immval:0x1e -TEST_CI_OP( c.srai, x10, 0x0, 0x800000, 0x1e, x1, 168, x2) - -inst_43: -// rs1_val == 4194304, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x400000; immval:0xd -TEST_CI_OP( c.srai, x10, 0x200, 0x400000, 0xd, x1, 172, x2) - -inst_44: -// rs1_val == 2097152, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x200000; immval:0xd -TEST_CI_OP( c.srai, x10, 0x100, 0x200000, 0xd, x1, 176, x2) - -inst_45: -// rs1_val == 1048576, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x100000; immval:0x13 -TEST_CI_OP( c.srai, x10, 0x2, 0x100000, 0x13, x1, 180, x2) - -inst_46: -// rs1_val == 524288, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x80000; immval:0x9 -TEST_CI_OP( c.srai, x10, 0x400, 0x80000, 0x9, x1, 184, x2) - -inst_47: -// rs1_val == 262144, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x40000; immval:0x13 -TEST_CI_OP( c.srai, x10, 0x0, 0x40000, 0x13, x1, 188, x2) - -inst_48: -// rs1_val == 131072, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x20000; immval:0x4 -TEST_CI_OP( c.srai, x10, 0x2000, 0x20000, 0x4, x1, 192, x2) - -inst_49: -// rs1_val == 65536, imm_val == 8 -// opcode:c.srai; op1:x10; dest:x10 op1val:0x10000; immval:0x8 -TEST_CI_OP( c.srai, x10, 0x100, 0x10000, 0x8, x1, 196, x2) - -inst_50: -// rs1_val == 32768, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x8000; immval:0x5 -TEST_CI_OP( c.srai, x10, 0x400, 0x8000, 0x5, x1, 200, x2) - -inst_51: -// rs1_val == 16384, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x4000; immval:0x17 -TEST_CI_OP( c.srai, x10, 0x0, 0x4000, 0x17, x1, 204, x2) - -inst_52: -// rs1_val == 8192, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x2000; immval:0x5 -TEST_CI_OP( c.srai, x10, 0x100, 0x2000, 0x5, x1, 208, x2) - -inst_53: -// rs1_val == 4096, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x1000; immval:0x17 -TEST_CI_OP( c.srai, x10, 0x0, 0x1000, 0x17, x1, 212, x2) - -inst_54: -// rs1_val == 2048, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x800; immval:0xa -TEST_CI_OP( c.srai, x10, 0x2, 0x800, 0xa, x1, 216, x2) - -inst_55: -// rs1_val == 1024, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x400; immval:0x1e -TEST_CI_OP( c.srai, x10, 0x0, 0x400, 0x1e, x1, 220, x2) - -inst_56: -// rs1_val == 512, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x200; immval:0xb -TEST_CI_OP( c.srai, x10, 0x0, 0x200, 0xb, x1, 224, x2) - -inst_57: -// rs1_val == 256, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x100; immval:0x1f -TEST_CI_OP( c.srai, x10, 0x0, 0x100, 0x1f, x1, 228, x2) - -inst_58: -// rs1_val == 128, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x80; immval:0x3 -TEST_CI_OP( c.srai, x10, 0x10, 0x80, 0x3, x1, 232, x2) - -inst_59: -// rs1_val == 64, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x40; immval:0x1b -TEST_CI_OP( c.srai, x10, 0x0, 0x40, 0x1b, x1, 236, x2) - -inst_60: -// rs1_val == 16, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x10; immval:0x6 -TEST_CI_OP( c.srai, x10, 0x0, 0x10, 0x6, x1, 240, x2) - -inst_61: -// rs1_val == 8, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x8; immval:0x11 -TEST_CI_OP( c.srai, x10, 0x0, 0x8, 0x11, x1, 244, x2) - -inst_62: -// rs1_val == 4, rs1_val==4 -// opcode:c.srai; op1:x10; dest:x10 op1val:0x4; immval:0x13 -TEST_CI_OP( c.srai, x10, 0x0, 0x4, 0x13, x1, 248, x2) - -inst_63: -// rs1_val == 2, rs1_val==2 -// opcode:c.srai; op1:x10; dest:x10 op1val:0x2; immval:0x4 -TEST_CI_OP( c.srai, x10, 0x0, 0x2, 0x4, x1, 252, x2) - -inst_64: -// rs1_val == 1, rs1_val == 1 and imm_val != 0 and imm_val < xlen -// opcode:c.srai; op1:x10; dest:x10 op1val:0x1; immval:0x1f -TEST_CI_OP( c.srai, x10, 0x0, 0x1, 0x1f, x1, 256, x2) - -inst_65: -// imm_val == 16, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x801; immval:0x10 -TEST_CI_OP( c.srai, x10, 0xffffffff, -0x801, 0x10, x1, 260, x2) - -inst_66: -// imm_val == 1, rs1_val == 1431655765, rs1_val==1431655765 -// opcode:c.srai; op1:x10; dest:x10 op1val:0x55555555; immval:0x1 -TEST_CI_OP( c.srai, x10, 0x2aaaaaaa, 0x55555555, 0x1, x1, 264, x2) - -inst_67: -// rs1_val==46341, -// opcode:c.srai; op1:x10; dest:x10 op1val:0xb505; immval:0xd -TEST_CI_OP( c.srai, x10, 0x5, 0xb505, 0xd, x1, 268, x2) - -inst_68: -// rs1_val==-46339, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0xb503; immval:0x5 -TEST_CI_OP( c.srai, x10, 0xfffffa57, -0xb503, 0x5, x1, 272, x2) - -inst_69: -// rs1_val==1717986919, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x66666667; immval:0x15 -TEST_CI_OP( c.srai, x10, 0x333, 0x66666667, 0x15, x1, 276, x2) - -inst_70: -// rs1_val==858993460, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x33333334; immval:0x17 -TEST_CI_OP( c.srai, x10, 0x66, 0x33333334, 0x17, x1, 280, x2) - -inst_71: -// rs1_val==6, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x6; immval:0x3 -TEST_CI_OP( c.srai, x10, 0x0, 0x6, 0x3, x1, 284, x2) - -inst_72: -// rs1_val==3, rs1_val == imm_val and imm_val != 0 and imm_val < xlen -// opcode:c.srai; op1:x10; dest:x10 op1val:0x3; immval:0x3 -TEST_CI_OP( c.srai, x10, 0x0, 0x3, 0x3, x1, 288, x2) - -inst_73: -// rs1_val == 0 and imm_val != 0 and imm_val < xlen, rs1_val==0 -// opcode:c.srai; op1:x10; dest:x10 op1val:0x0; immval:0x12 -TEST_CI_OP( c.srai, x10, 0x0, 0x0, 0x12, x1, 292, x2) - -inst_74: -// rs1_val==-1431655765, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0x55555555; immval:0x6 -TEST_CI_OP( c.srai, x10, 0xfeaaaaaa, -0x55555555, 0x6, x1, 296, x2) - -inst_75: -// rs1_val==1431655766, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x55555556; immval:0x11 -TEST_CI_OP( c.srai, x10, 0x2aaa, 0x55555556, 0x11, x1, 300, x2) - -inst_76: -// rs1_val==46339, -// opcode:c.srai; op1:x10; dest:x10 op1val:0xb503; immval:0xe -TEST_CI_OP( c.srai, x10, 0x2, 0xb503, 0xe, x1, 304, x2) - -inst_77: -// rs1_val==1717986917, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x66666665; immval:0x5 -TEST_CI_OP( c.srai, x10, 0x3333333, 0x66666665, 0x5, x1, 308, x2) - -inst_78: -// rs1_val==858993458, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x33333332; immval:0x8 -TEST_CI_OP( c.srai, x10, 0x333333, 0x33333332, 0x8, x1, 312, x2) - -inst_79: -// rs1_val==1431655764, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x55555554; immval:0x8 -TEST_CI_OP( c.srai, x10, 0x555555, 0x55555554, 0x8, x1, 316, x2) - -inst_80: -// rs1_val==46340, -// opcode:c.srai; op1:x10; dest:x10 op1val:0xb504; immval:0x1f -TEST_CI_OP( c.srai, x10, 0x0, 0xb504, 0x1f, x1, 320, x2) - -inst_81: -// rs1_val==-46340, -// opcode:c.srai; op1:x10; dest:x10 op1val:-0xb504; immval:0x8 -TEST_CI_OP( c.srai, x10, 0xffffff4a, -0xb504, 0x8, x1, 324, x2) - -inst_82: -// rs1_val==1717986918, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x66666666; immval:0xd -TEST_CI_OP( c.srai, x10, 0x33333, 0x66666666, 0xd, x1, 328, x2) - -inst_83: -// rs1_val==858993459, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x33333333; immval:0x17 -TEST_CI_OP( c.srai, x10, 0x66, 0x33333333, 0x17, x1, 332, x2) - -inst_84: -// rs1_val==5, -// opcode:c.srai; op1:x10; dest:x10 op1val:0x5; immval:0x1 -TEST_CI_OP( c.srai, x10, 0x2, 0x5, 0x1, x1, 336, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 85*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/csrli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/csrli-01.S deleted file mode 100644 index 63505ca17..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/csrli-01.S +++ /dev/null @@ -1,505 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.srli instruction of the RISC-V C extension for the csrli covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",csrli) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1==x15, rs1_val < 0 and imm_val < xlen, rs1_val == -2 -// opcode:c.srli; op1:x15; dest:x15 op1val:-0x2; immval:0xe -TEST_CI_OP( c.srli, x15, 0x3ffff, -0x2, 0xe, x1, 0, x2) - -inst_1: -// rs1==x8, rs1_val == 2147483647, rs1_val > 0 and imm_val < xlen, rs1_val == (2**(xlen-1)-1) and imm_val != 0 and imm_val < xlen -// opcode:c.srli; op1:x8; dest:x8 op1val:0x7fffffff; immval:0x7 -TEST_CI_OP( c.srli, x8, 0xffffff, 0x7fffffff, 0x7, x1, 4, x2) - -inst_2: -// rs1==x11, rs1_val == -1073741825, imm_val == 15 -// opcode:c.srli; op1:x11; dest:x11 op1val:-0x40000001; immval:0xf -TEST_CI_OP( c.srli, x11, 0x17fff, -0x40000001, 0xf, x1, 8, x2) - -inst_3: -// rs1==x10, rs1_val == -536870913, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x20000001; immval:0xb -TEST_CI_OP( c.srli, x10, 0x1bffff, -0x20000001, 0xb, x1, 12, x2) - -inst_4: -// rs1==x9, rs1_val == -268435457, -// opcode:c.srli; op1:x9; dest:x9 op1val:-0x10000001; immval:0xc -TEST_CI_OP( c.srli, x9, 0xeffff, -0x10000001, 0xc, x1, 16, x2) - -inst_5: -// rs1==x13, rs1_val == -134217729, imm_val == 4 -// opcode:c.srli; op1:x13; dest:x13 op1val:-0x8000001; immval:0x4 -TEST_CI_OP( c.srli, x13, 0xf7fffff, -0x8000001, 0x4, x1, 20, x2) - -inst_6: -// rs1==x12, rs1_val == -67108865, -// opcode:c.srli; op1:x12; dest:x12 op1val:-0x4000001; immval:0x3 -TEST_CI_OP( c.srli, x12, 0x1f7fffff, -0x4000001, 0x3, x1, 24, x2) - -inst_7: -// rs1==x14, rs1_val == -33554433, -// opcode:c.srli; op1:x14; dest:x14 op1val:-0x2000001; immval:0x11 -TEST_CI_OP( c.srli, x14, 0x7eff, -0x2000001, 0x11, x1, 28, x2) - -inst_8: -// rs1_val == -16777217, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x1000001; immval:0x6 -TEST_CI_OP( c.srli, x10, 0x3fbffff, -0x1000001, 0x6, x1, 32, x2) - -inst_9: -// rs1_val == -8388609, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x800001; immval:0xf -TEST_CI_OP( c.srli, x10, 0x1feff, -0x800001, 0xf, x1, 36, x2) - -inst_10: -// rs1_val == -4194305, imm_val == 21 -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x400001; immval:0x15 -TEST_CI_OP( c.srli, x10, 0x7fd, -0x400001, 0x15, x1, 40, x2) - -inst_11: -// rs1_val == -2097153, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x200001; immval:0x3 -TEST_CI_OP( c.srli, x10, 0x1ffbffff, -0x200001, 0x3, x1, 44, x2) - -inst_12: -// rs1_val == -1048577, imm_val == 2 -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x100001; immval:0x2 -TEST_CI_OP( c.srli, x10, 0x3ffbffff, -0x100001, 0x2, x1, 48, x2) - -inst_13: -// rs1_val == -524289, imm_val == 16 -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x80001; immval:0x10 -TEST_CI_OP( c.srli, x10, 0xfff7, -0x80001, 0x10, x1, 52, x2) - -inst_14: -// rs1_val == -262145, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x40001; immval:0x6 -TEST_CI_OP( c.srli, x10, 0x3ffefff, -0x40001, 0x6, x1, 56, x2) - -inst_15: -// rs1_val == -131073, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x20001; immval:0x10 -TEST_CI_OP( c.srli, x10, 0xfffd, -0x20001, 0x10, x1, 60, x2) - -inst_16: -// rs1_val == -65537, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x10001; immval:0x9 -TEST_CI_OP( c.srli, x10, 0x7fff7f, -0x10001, 0x9, x1, 64, x2) - -inst_17: -// rs1_val == -32769, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x8001; immval:0x9 -TEST_CI_OP( c.srli, x10, 0x7fffbf, -0x8001, 0x9, x1, 68, x2) - -inst_18: -// rs1_val == -16385, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x4001; immval:0xc -TEST_CI_OP( c.srli, x10, 0xffffb, -0x4001, 0xc, x1, 72, x2) - -inst_19: -// rs1_val == -8193, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x2001; immval:0xe -TEST_CI_OP( c.srli, x10, 0x3ffff, -0x2001, 0xe, x1, 76, x2) - -inst_20: -// rs1_val == -4097, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x1001; immval:0x5 -TEST_CI_OP( c.srli, x10, 0x7ffff7f, -0x1001, 0x5, x1, 80, x2) - -inst_21: -// rs1_val == -2049, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x801; immval:0x15 -TEST_CI_OP( c.srli, x10, 0x7ff, -0x801, 0x15, x1, 84, x2) - -inst_22: -// rs1_val == -1025, imm_val == 29 -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x401; immval:0x1d -TEST_CI_OP( c.srli, x10, 0x7, -0x401, 0x1d, x1, 88, x2) - -inst_23: -// rs1_val == -513, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x201; immval:0xc -TEST_CI_OP( c.srli, x10, 0xfffff, -0x201, 0xc, x1, 92, x2) - -inst_24: -// rs1_val == -257, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x101; immval:0xc -TEST_CI_OP( c.srli, x10, 0xfffff, -0x101, 0xc, x1, 96, x2) - -inst_25: -// rs1_val == -129, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x81; immval:0x7 -TEST_CI_OP( c.srli, x10, 0x1fffffe, -0x81, 0x7, x1, 100, x2) - -inst_26: -// rs1_val == -65, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x41; immval:0x1d -TEST_CI_OP( c.srli, x10, 0x7, -0x41, 0x1d, x1, 104, x2) - -inst_27: -// rs1_val == -33, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x21; immval:0xf -TEST_CI_OP( c.srli, x10, 0x1ffff, -0x21, 0xf, x1, 108, x2) - -inst_28: -// rs1_val == -17, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x11; immval:0xc -TEST_CI_OP( c.srli, x10, 0xfffff, -0x11, 0xc, x1, 112, x2) - -inst_29: -// rs1_val == -9, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x9; immval:0x7 -TEST_CI_OP( c.srli, x10, 0x1ffffff, -0x9, 0x7, x1, 116, x2) - -inst_30: -// rs1_val == -5, imm_val == 30 -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x5; immval:0x1e -TEST_CI_OP( c.srli, x10, 0x3, -0x5, 0x1e, x1, 120, x2) - -inst_31: -// rs1_val == -3, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x3; immval:0xb -TEST_CI_OP( c.srli, x10, 0x1fffff, -0x3, 0xb, x1, 124, x2) - -inst_32: -// imm_val == 23, rs1_val==5 -// opcode:c.srli; op1:x10; dest:x10 op1val:0x5; immval:0x17 -TEST_CI_OP( c.srli, x10, 0x0, 0x5, 0x17, x1, 128, x2) - -inst_33: -// imm_val == 27, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x81; immval:0x1b -TEST_CI_OP( c.srli, x10, 0x1f, -0x81, 0x1b, x1, 132, x2) - -inst_34: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val != 0 and imm_val < xlen -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x80000000; immval:0x9 -TEST_CI_OP( c.srli, x10, 0x400000, -0x80000000, 0x9, x1, 136, x2) - -inst_35: -// rs1_val == 1073741824, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x40000000; immval:0x7 -TEST_CI_OP( c.srli, x10, 0x800000, 0x40000000, 0x7, x1, 140, x2) - -inst_36: -// rs1_val == 536870912, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x20000000; immval:0x6 -TEST_CI_OP( c.srli, x10, 0x800000, 0x20000000, 0x6, x1, 144, x2) - -inst_37: -// rs1_val == 268435456, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x10000000; immval:0x9 -TEST_CI_OP( c.srli, x10, 0x80000, 0x10000000, 0x9, x1, 148, x2) - -inst_38: -// rs1_val == 134217728, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x8000000; immval:0x1e -TEST_CI_OP( c.srli, x10, 0x0, 0x8000000, 0x1e, x1, 152, x2) - -inst_39: -// rs1_val == 67108864, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x4000000; immval:0x11 -TEST_CI_OP( c.srli, x10, 0x200, 0x4000000, 0x11, x1, 156, x2) - -inst_40: -// rs1_val == 33554432, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x2000000; immval:0x15 -TEST_CI_OP( c.srli, x10, 0x10, 0x2000000, 0x15, x1, 160, x2) - -inst_41: -// rs1_val == 16777216, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x1000000; immval:0x10 -TEST_CI_OP( c.srli, x10, 0x100, 0x1000000, 0x10, x1, 164, x2) - -inst_42: -// rs1_val == 8388608, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x800000; immval:0x1d -TEST_CI_OP( c.srli, x10, 0x0, 0x800000, 0x1d, x1, 168, x2) - -inst_43: -// rs1_val == 4194304, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x400000; immval:0xc -TEST_CI_OP( c.srli, x10, 0x400, 0x400000, 0xc, x1, 172, x2) - -inst_44: -// rs1_val == 2097152, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x200000; immval:0xc -TEST_CI_OP( c.srli, x10, 0x200, 0x200000, 0xc, x1, 176, x2) - -inst_45: -// rs1_val == 1048576, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x100000; immval:0x17 -TEST_CI_OP( c.srli, x10, 0x0, 0x100000, 0x17, x1, 180, x2) - -inst_46: -// rs1_val == 524288, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x80000; immval:0x1f -TEST_CI_OP( c.srli, x10, 0x0, 0x80000, 0x1f, x1, 184, x2) - -inst_47: -// rs1_val == 262144, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x40000; immval:0x13 -TEST_CI_OP( c.srli, x10, 0x0, 0x40000, 0x13, x1, 188, x2) - -inst_48: -// rs1_val == 131072, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x20000; immval:0x1f -TEST_CI_OP( c.srli, x10, 0x0, 0x20000, 0x1f, x1, 192, x2) - -inst_49: -// rs1_val == 65536, imm_val == 8 -// opcode:c.srli; op1:x10; dest:x10 op1val:0x10000; immval:0x8 -TEST_CI_OP( c.srli, x10, 0x100, 0x10000, 0x8, x1, 196, x2) - -inst_50: -// rs1_val == 32768, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x8000; immval:0x1d -TEST_CI_OP( c.srli, x10, 0x0, 0x8000, 0x1d, x1, 200, x2) - -inst_51: -// rs1_val == 16384, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x4000; immval:0x3 -TEST_CI_OP( c.srli, x10, 0x800, 0x4000, 0x3, x1, 204, x2) - -inst_52: -// rs1_val == 8192, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x2000; immval:0x1d -TEST_CI_OP( c.srli, x10, 0x0, 0x2000, 0x1d, x1, 208, x2) - -inst_53: -// rs1_val == 4096, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x1000; immval:0x17 -TEST_CI_OP( c.srli, x10, 0x0, 0x1000, 0x17, x1, 212, x2) - -inst_54: -// rs1_val == 2048, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x800; immval:0x7 -TEST_CI_OP( c.srli, x10, 0x10, 0x800, 0x7, x1, 216, x2) - -inst_55: -// rs1_val == 1024, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x400; immval:0x10 -TEST_CI_OP( c.srli, x10, 0x0, 0x400, 0x10, x1, 220, x2) - -inst_56: -// rs1_val == 512, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x200; immval:0x1d -TEST_CI_OP( c.srli, x10, 0x0, 0x200, 0x1d, x1, 224, x2) - -inst_57: -// rs1_val == 256, imm_val == 1 -// opcode:c.srli; op1:x10; dest:x10 op1val:0x100; immval:0x1 -TEST_CI_OP( c.srli, x10, 0x80, 0x100, 0x1, x1, 228, x2) - -inst_58: -// rs1_val == 128, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x80; immval:0x7 -TEST_CI_OP( c.srli, x10, 0x1, 0x80, 0x7, x1, 232, x2) - -inst_59: -// rs1_val == 64, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x40; immval:0xd -TEST_CI_OP( c.srli, x10, 0x0, 0x40, 0xd, x1, 236, x2) - -inst_60: -// rs1_val == 32, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x20; immval:0x1e -TEST_CI_OP( c.srli, x10, 0x0, 0x20, 0x1e, x1, 240, x2) - -inst_61: -// rs1_val == 16, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x10; immval:0x11 -TEST_CI_OP( c.srli, x10, 0x0, 0x10, 0x11, x1, 244, x2) - -inst_62: -// rs1_val == 8, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x8; immval:0x1b -TEST_CI_OP( c.srli, x10, 0x0, 0x8, 0x1b, x1, 248, x2) - -inst_63: -// rs1_val == 4, rs1_val==4 -// opcode:c.srli; op1:x10; dest:x10 op1val:0x4; immval:0x11 -TEST_CI_OP( c.srli, x10, 0x0, 0x4, 0x11, x1, 252, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode:c.srli; op1:x10; dest:x10 op1val:0x2; immval:0x15 -TEST_CI_OP( c.srli, x10, 0x0, 0x2, 0x15, x1, 256, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and imm_val != 0 and imm_val < xlen -// opcode:c.srli; op1:x10; dest:x10 op1val:0x1; immval:0x12 -TEST_CI_OP( c.srli, x10, 0x0, 0x1, 0x12, x1, 260, x2) - -inst_66: -// rs1_val==46341, -// opcode:c.srli; op1:x10; dest:x10 op1val:0xb505; immval:0x10 -TEST_CI_OP( c.srli, x10, 0x0, 0xb505, 0x10, x1, 264, x2) - -inst_67: -// rs1_val==-46339, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0xb503; immval:0x15 -TEST_CI_OP( c.srli, x10, 0x7ff, -0xb503, 0x15, x1, 268, x2) - -inst_68: -// rs1_val==1717986919, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x66666667; immval:0x9 -TEST_CI_OP( c.srli, x10, 0x333333, 0x66666667, 0x9, x1, 272, x2) - -inst_69: -// rs1_val==858993460, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x33333334; immval:0xf -TEST_CI_OP( c.srli, x10, 0x6666, 0x33333334, 0xf, x1, 276, x2) - -inst_70: -// rs1_val==6, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x6; immval:0x10 -TEST_CI_OP( c.srli, x10, 0x0, 0x6, 0x10, x1, 280, x2) - -inst_71: -// rs1_val==-1431655765, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x55555555; immval:0x5 -TEST_CI_OP( c.srli, x10, 0x5555555, -0x55555555, 0x5, x1, 284, x2) - -inst_72: -// rs1_val==1431655766, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x55555556; immval:0xf -TEST_CI_OP( c.srli, x10, 0xaaaa, 0x55555556, 0xf, x1, 288, x2) - -inst_73: -// rs1_val==46339, -// opcode:c.srli; op1:x10; dest:x10 op1val:0xb503; immval:0x2 -TEST_CI_OP( c.srli, x10, 0x2d40, 0xb503, 0x2, x1, 292, x2) - -inst_74: -// rs1_val==0, rs1_val == 0 and imm_val != 0 and imm_val < xlen -// opcode:c.srli; op1:x10; dest:x10 op1val:0x0; immval:0xf -TEST_CI_OP( c.srli, x10, 0x0, 0x0, 0xf, x1, 296, x2) - -inst_75: -// rs1_val==3, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x3; immval:0x6 -TEST_CI_OP( c.srli, x10, 0x0, 0x3, 0x6, x1, 300, x2) - -inst_76: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode:c.srli; op1:x10; dest:x10 op1val:-0x55555556; immval:0x2 -TEST_CI_OP( c.srli, x10, 0x2aaaaaaa, -0x55555556, 0x2, x1, 304, x2) - -inst_77: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode:c.srli; op1:x10; dest:x10 op1val:0x55555555; immval:0xb -TEST_CI_OP( c.srli, x10, 0xaaaaa, 0x55555555, 0xb, x1, 308, x2) - -inst_78: -// imm_val == 10, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x0; immval:0xa -TEST_CI_OP( c.srli, x10, 0x0, 0x0, 0xa, x1, 312, x2) - -inst_79: -// rs1_val == imm_val and imm_val != 0 and imm_val < xlen, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x4; immval:0x4 -TEST_CI_OP( c.srli, x10, 0x0, 0x4, 0x4, x1, 316, x2) - -inst_80: -// rs1_val==1717986917, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x66666665; immval:0xe -TEST_CI_OP( c.srli, x10, 0x19999, 0x66666665, 0xe, x1, 320, x2) - -inst_81: -// rs1_val==858993458, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x33333332; immval:0x6 -TEST_CI_OP( c.srli, x10, 0xcccccc, 0x33333332, 0x6, x1, 324, x2) - -inst_82: -// rs1_val==1431655764, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x55555554; immval:0x3 -TEST_CI_OP( c.srli, x10, 0xaaaaaaa, 0x55555554, 0x3, x1, 328, x2) - -inst_83: -// rs1_val==46340, -// opcode:c.srli; op1:x10; dest:x10 op1val:0xb504; immval:0x11 -TEST_CI_OP( c.srli, x10, 0x0, 0xb504, 0x11, x1, 332, x2) - -inst_84: -// rs1_val==-46340, -// opcode:c.srli; op1:x10; dest:x10 op1val:-0xb504; immval:0x5 -TEST_CI_OP( c.srli, x10, 0x7fffa57, -0xb504, 0x5, x1, 336, x2) - -inst_85: -// rs1_val==1717986918, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x66666666; immval:0x3 -TEST_CI_OP( c.srli, x10, 0xccccccc, 0x66666666, 0x3, x1, 340, x2) - -inst_86: -// rs1_val==858993459, -// opcode:c.srli; op1:x10; dest:x10 op1val:0x33333333; immval:0x1f -TEST_CI_OP( c.srli, x10, 0x0, 0x33333333, 0x1f, x1, 344, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 87*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/csub-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/csub-01.S deleted file mode 100644 index c38bd6537..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/csub-01.S +++ /dev/null @@ -1,2980 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.sub instruction of the RISC-V C extension for the csub covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",csub) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2, rs1==x10, rs2==x8, rs1_val == (-2**(xlen-1)), rs1_val == -2147483648, rs2_val < 0, rs2_val == -1431655766 -// opcode: c.sub; op1:x10; op2:x8; op1val:-0x80000000; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x8, 0xd5555556, -0x80000000, -0x55555556, x1, 0, x2) - -inst_1: -// rs1 == rs2, rs1==x15, rs2==x15, rs2_val == 2147483647, rs1_val == -257, rs2_val == (2**(xlen-1)-1), rs2_val > 0 -// opcode: c.sub; op1:x15; op2:x15; op1val:-0x101; op2val:-0x101 -TEST_CR_OP( c.sub, x15, x15, 0x0, -0x101, -0x101, x1, 4, x2) - -inst_2: -// rs1==x14, rs2==x10, rs2_val == -1073741825, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: c.sub; op1:x14; op2:x10; op1val:0x7fffffff; op2val:-0x40000001 -TEST_CR_OP( c.sub, x14, x10, 0xc0000000, 0x7fffffff, -0x40000001, x1, 8, x2) - -inst_3: -// rs1==x11, rs2==x9, rs2_val == -536870913, -// opcode: c.sub; op1:x11; op2:x9; op1val:-0xa; op2val:-0x20000001 -TEST_CR_OP( c.sub, x11, x9, 0x1ffffff7, -0xa, -0x20000001, x1, 12, x2) - -inst_4: -// rs1==x13, rs2==x12, rs2_val == -268435457, -// opcode: c.sub; op1:x13; op2:x12; op1val:-0xb504; op2val:-0x10000001 -TEST_CR_OP( c.sub, x13, x12, 0xfff4afd, -0xb504, -0x10000001, x1, 16, x2) - -inst_5: -// rs1==x9, rs2==x11, rs2_val == -134217729, -// opcode: c.sub; op1:x9; op2:x11; op1val:0x66666665; op2val:-0x8000001 -TEST_CR_OP( c.sub, x9, x11, 0x6e666666, 0x66666665, -0x8000001, x1, 20, x2) - -inst_6: -// rs1==x8, rs2==x13, rs2_val == -67108865, -// opcode: c.sub; op1:x8; op2:x13; op1val:-0x7; op2val:-0x4000001 -TEST_CR_OP( c.sub, x8, x13, 0x3fffffa, -0x7, -0x4000001, x1, 24, x2) - -inst_7: -// rs1==x12, rs2==x14, rs2_val == -33554433, rs1_val == -268435457 -// opcode: c.sub; op1:x12; op2:x14; op1val:-0x10000001; op2val:-0x2000001 -TEST_CR_OP( c.sub, x12, x14, 0xf2000000, -0x10000001, -0x2000001, x1, 28, x2) - -inst_8: -// rs2_val == -16777217, rs1_val == -2049 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x801; op2val:-0x1000001 -TEST_CR_OP( c.sub, x10, x11, 0xfff800, -0x801, -0x1000001, x1, 32, x2) - -inst_9: -// rs2_val == -8388609, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:-0x800001 -TEST_CR_OP( c.sub, x10, x11, 0x33b33333, 0x33333332, -0x800001, x1, 36, x2) - -inst_10: -// rs2_val == -4194305, rs1_val == -524289 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x80001; op2val:-0x400001 -TEST_CR_OP( c.sub, x10, x11, 0x380000, -0x80001, -0x400001, x1, 40, x2) - -inst_11: -// rs2_val == -2097153, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x4; op2val:-0x200001 -TEST_CR_OP( c.sub, x10, x11, 0x1ffffd, -0x4, -0x200001, x1, 44, x2) - -inst_12: -// rs2_val == -1048577, rs1_val == -65 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x41; op2val:-0x100001 -TEST_CR_OP( c.sub, x10, x11, 0xfffc0, -0x41, -0x100001, x1, 48, x2) - -inst_13: -// rs2_val == -524289, rs1_val == -129 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x81; op2val:-0x80001 -TEST_CR_OP( c.sub, x10, x11, 0x7ff80, -0x81, -0x80001, x1, 52, x2) - -inst_14: -// rs2_val == -262145, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x8; op2val:-0x40001 -TEST_CR_OP( c.sub, x10, x11, 0x3fff9, -0x8, -0x40001, x1, 56, x2) - -inst_15: -// rs2_val == -131073, rs1_val == 33554432 -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2000000; op2val:-0x20001 -TEST_CR_OP( c.sub, x10, x11, 0x2020001, 0x2000000, -0x20001, x1, 60, x2) - -inst_16: -// rs2_val == -65537, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x4; op2val:-0x10001 -TEST_CR_OP( c.sub, x10, x11, 0xfffd, -0x4, -0x10001, x1, 64, x2) - -inst_17: -// rs2_val == -32769, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x8001 -TEST_CR_OP( c.sub, x10, x11, 0x40008000, 0x3fffffff, -0x8001, x1, 68, x2) - -inst_18: -// rs2_val == -16385, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:-0x4001 -TEST_CR_OP( c.sub, x10, x11, 0xffff8afe, -0xb503, -0x4001, x1, 72, x2) - -inst_19: -// rs2_val == -8193, rs1_val == -262145 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x40001; op2val:-0x2001 -TEST_CR_OP( c.sub, x10, x11, 0xfffc2000, -0x40001, -0x2001, x1, 76, x2) - -inst_20: -// rs2_val == -4097, rs1_val == 4 -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:-0x1001 -TEST_CR_OP( c.sub, x10, x11, 0x1005, 0x4, -0x1001, x1, 80, x2) - -inst_21: -// rs2_val == -2049, rs1_val == -16385 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x4001; op2val:-0x801 -TEST_CR_OP( c.sub, x10, x11, 0xffffc800, -0x4001, -0x801, x1, 84, x2) - -inst_22: -// rs2_val == -1025, rs1_val == -2097153 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x200001; op2val:-0x401 -TEST_CR_OP( c.sub, x10, x11, 0xffe00400, -0x200001, -0x401, x1, 88, x2) - -inst_23: -// rs2_val == -513, rs1_val == 16777216 -// opcode: c.sub; op1:x10; op2:x11; op1val:0x1000000; op2val:-0x201 -TEST_CR_OP( c.sub, x10, x11, 0x1000201, 0x1000000, -0x201, x1, 92, x2) - -inst_24: -// rs2_val == -257, rs1_val == 256 -// opcode: c.sub; op1:x10; op2:x11; op1val:0x100; op2val:-0x101 -TEST_CR_OP( c.sub, x10, x11, 0x201, 0x100, -0x101, x1, 96, x2) - -inst_25: -// rs2_val == -129, rs1_val == -2 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x2; op2val:-0x81 -TEST_CR_OP( c.sub, x10, x11, 0x7f, -0x2, -0x81, x1, 100, x2) - -inst_26: -// rs2_val == -65, rs1_val == 64 -// opcode: c.sub; op1:x10; op2:x11; op1val:0x40; op2val:-0x41 -TEST_CR_OP( c.sub, x10, x11, 0x81, 0x40, -0x41, x1, 104, x2) - -inst_27: -// rs2_val == -33, rs1_val == -3 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x3; op2val:-0x21 -TEST_CR_OP( c.sub, x10, x11, 0x1e, -0x3, -0x21, x1, 108, x2) - -inst_28: -// rs2_val == -17, rs1_val == -32769 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x8001; op2val:-0x11 -TEST_CR_OP( c.sub, x10, x11, 0xffff8010, -0x8001, -0x11, x1, 112, x2) - -inst_29: -// rs2_val == -9, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x41; op2val:-0x9 -TEST_CR_OP( c.sub, x10, x11, 0xffffffc8, -0x41, -0x9, x1, 116, x2) - -inst_30: -// rs2_val == -5, rs1_val == 32 -// opcode: c.sub; op1:x10; op2:x11; op1val:0x20; op2val:-0x5 -TEST_CR_OP( c.sub, x10, x11, 0x25, 0x20, -0x5, x1, 120, x2) - -inst_31: -// rs2_val == -3, rs1_val == -8193 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x2001; op2val:-0x3 -TEST_CR_OP( c.sub, x10, x11, 0xffffe002, -0x2001, -0x3, x1, 124, x2) - -inst_32: -// rs2_val == -2, rs1_val == -1025 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x401; op2val:-0x2 -TEST_CR_OP( c.sub, x10, x11, 0xfffffc01, -0x401, -0x2, x1, 128, x2) - -inst_33: -// rs1_val == -1073741825, rs2_val == 64 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x40000001; op2val:0x40 -TEST_CR_OP( c.sub, x10, x11, 0xbfffffbf, -0x40000001, 0x40, x1, 132, x2) - -inst_34: -// rs1_val == -536870913, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x6 -TEST_CR_OP( c.sub, x10, x11, 0xe0000005, -0x20000001, -0x6, x1, 136, x2) - -inst_35: -// rs1_val == -134217729, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x8000001; op2val:0x7fffffff -TEST_CR_OP( c.sub, x10, x11, 0x78000000, -0x8000001, 0x7fffffff, x1, 140, x2) - -inst_36: -// rs1_val == -67108865, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x4000001; op2val:-0x21 -TEST_CR_OP( c.sub, x10, x11, 0xfc000020, -0x4000001, -0x21, x1, 144, x2) - -inst_37: -// rs1_val == -33554433, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x8 -TEST_CR_OP( c.sub, x10, x11, 0xfe000007, -0x2000001, -0x8, x1, 148, x2) - -inst_38: -// rs1_val == -16777217, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x11 -TEST_CR_OP( c.sub, x10, x11, 0xff000010, -0x1000001, -0x11, x1, 152, x2) - -inst_39: -// rs1_val == -8388609, rs2_val == 2048 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x800001; op2val:0x800 -TEST_CR_OP( c.sub, x10, x11, 0xff7ff7ff, -0x800001, 0x800, x1, 156, x2) - -inst_40: -// rs1_val == -4194305, rs2_val == 1073741824 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x400001; op2val:0x40000000 -TEST_CR_OP( c.sub, x10, x11, 0xbfbfffff, -0x400001, 0x40000000, x1, 160, x2) - -inst_41: -// rs1_val == -1048577, rs2_val == 0 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x100001; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0xffefffff, -0x100001, 0x0, x1, 164, x2) - -inst_42: -// rs1_val == -131073, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x20001; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xfffeb503, -0x20001, -0xb504, x1, 168, x2) - -inst_43: -// rs1_val == -65537, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x10001; op2val:-0x101 -TEST_CR_OP( c.sub, x10, x11, 0xffff0100, -0x10001, -0x101, x1, 172, x2) - -inst_44: -// rs1_val == -4097, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x1001; op2val:0x7fffffff -TEST_CR_OP( c.sub, x10, x11, 0x7ffff000, -0x1001, 0x7fffffff, x1, 176, x2) - -inst_45: -// rs1_val == -513, rs2_val == 67108864 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x201; op2val:0x4000000 -TEST_CR_OP( c.sub, x10, x11, 0xfbfffdff, -0x201, 0x4000000, x1, 180, x2) - -inst_46: -// rs1_val == -33, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x21; op2val:-0x100001 -TEST_CR_OP( c.sub, x10, x11, 0xfffe0, -0x21, -0x100001, x1, 184, x2) - -inst_47: -// rs1_val == -17, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x11; op2val:-0x801 -TEST_CR_OP( c.sub, x10, x11, 0x7f0, -0x11, -0x801, x1, 188, x2) - -inst_48: -// rs1_val == -9, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x9; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0xfffffff2, -0x9, 0x5, x1, 192, x2) - -inst_49: -// rs1_val == -5, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x5; op2val:-0x6 -TEST_CR_OP( c.sub, x10, x11, 0x1, -0x5, -0x6, x1, 196, x2) - -inst_50: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x80000000 -TEST_CR_OP( c.sub, x10, x11, 0x5fffffff, -0x20000001, -0x80000000, x1, 200, x2) - -inst_51: -// rs2_val == 536870912, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x3; op2val:0x20000000 -TEST_CR_OP( c.sub, x10, x11, 0xdffffffd, -0x3, 0x20000000, x1, 204, x2) - -inst_52: -// rs2_val == 268435456, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x10000000 -TEST_CR_OP( c.sub, x10, x11, 0xf000b504, 0xb504, 0x10000000, x1, 208, x2) - -inst_53: -// rs2_val == 134217728, rs1_val == 16384 -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4000; op2val:0x8000000 -TEST_CR_OP( c.sub, x10, x11, 0xf8004000, 0x4000, 0x8000000, x1, 212, x2) - -inst_54: -// rs2_val == 33554432, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x20; op2val:0x2000000 -TEST_CR_OP( c.sub, x10, x11, 0xfe000020, 0x20, 0x2000000, x1, 216, x2) - -inst_55: -// rs2_val == 16777216, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x9; op2val:0x1000000 -TEST_CR_OP( c.sub, x10, x11, 0xfefffff7, -0x9, 0x1000000, x1, 220, x2) - -inst_56: -// rs2_val == 8388608, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2000000; op2val:0x800000 -TEST_CR_OP( c.sub, x10, x11, 0x1800000, 0x2000000, 0x800000, x1, 224, x2) - -inst_57: -// rs2_val == 4194304, rs1_val == 4194304 -// opcode: c.sub; op1:x10; op2:x11; op1val:0x400000; op2val:0x400000 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x400000, 0x400000, x1, 228, x2) - -inst_58: -// rs2_val == 2097152, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x20; op2val:0x200000 -TEST_CR_OP( c.sub, x10, x11, 0xffe00020, 0x20, 0x200000, x1, 232, x2) - -inst_59: -// rs2_val == 1048576, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x100000 -TEST_CR_OP( c.sub, x10, x11, 0x66566666, 0x66666666, 0x100000, x1, 236, x2) - -inst_60: -// rs2_val == 524288, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x10001; op2val:0x80000 -TEST_CR_OP( c.sub, x10, x11, 0xfff6ffff, -0x10001, 0x80000, x1, 240, x2) - -inst_61: -// rs2_val == 262144, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x9; op2val:0x40000 -TEST_CR_OP( c.sub, x10, x11, 0xfffc0009, 0x9, 0x40000, x1, 244, x2) - -inst_62: -// rs2_val == 131072, rs1_val == 0 -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x20000 -TEST_CR_OP( c.sub, x10, x11, 0xfffe0000, 0x0, 0x20000, x1, 248, x2) - -inst_63: -// rs2_val == 65536, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x9; op2val:0x10000 -TEST_CR_OP( c.sub, x10, x11, 0xffff0009, 0x9, 0x10000, x1, 252, x2) - -inst_64: -// rs2_val == 32768, rs1_val == 512 -// opcode: c.sub; op1:x10; op2:x11; op1val:0x200; op2val:0x8000 -TEST_CR_OP( c.sub, x10, x11, 0xffff8200, 0x200, 0x8000, x1, 256, x2) - -inst_65: -// rs2_val == 16384, rs1_val == -1431655766 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4000 -TEST_CR_OP( c.sub, x10, x11, 0xaaaa6aaa, -0x55555556, 0x4000, x1, 260, x2) - -inst_66: -// rs2_val == 8192, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x9; op2val:0x2000 -TEST_CR_OP( c.sub, x10, x11, 0xffffdff7, -0x9, 0x2000, x1, 264, x2) - -inst_67: -// rs2_val == 4096, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x1; op2val:0x1000 -TEST_CR_OP( c.sub, x10, x11, 0xffffefff, -0x1, 0x1000, x1, 268, x2) - -inst_68: -// rs2_val == 1024, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x400 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaa6aa, -0x55555556, 0x400, x1, 272, x2) - -inst_69: -// rs2_val == 512, rs1_val == 1024 -// opcode: c.sub; op1:x10; op2:x11; op1val:0x400; op2val:0x200 -TEST_CR_OP( c.sub, x10, x11, 0x200, 0x400, 0x200, x1, 276, x2) - -inst_70: -// rs2_val == 256, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x2001; op2val:0x100 -TEST_CR_OP( c.sub, x10, x11, 0xffffdeff, -0x2001, 0x100, x1, 280, x2) - -inst_71: -// rs2_val == 128, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x401; op2val:0x80 -TEST_CR_OP( c.sub, x10, x11, 0xfffffb7f, -0x401, 0x80, x1, 284, x2) - -inst_72: -// rs2_val == 32, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x8001; op2val:0x20 -TEST_CR_OP( c.sub, x10, x11, 0xffff7fdf, -0x8001, 0x20, x1, 288, x2) - -inst_73: -// rs2_val == 16, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x10 -TEST_CR_OP( c.sub, x10, x11, 0xfffffff0, 0x0, 0x10, x1, 292, x2) - -inst_74: -// rs2_val == 8, rs1_val == 1431655765 -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x8 -TEST_CR_OP( c.sub, x10, x11, 0x5555554d, 0x55555555, 0x8, x1, 296, x2) - -inst_75: -// rs2_val == 4, rs1_val==-46340 and rs2_val==4 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 300, x2) - -inst_76: -// rs2_val == 2, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x1000001; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0xfefffffd, -0x1000001, 0x2, x1, 304, x2) - -inst_77: -// rs2_val == 1, rs1_val == 268435456 -// opcode: c.sub; op1:x10; op2:x11; op1val:0x10000000; op2val:0x1 -TEST_CR_OP( c.sub, x10, x11, 0xfffffff, 0x10000000, 0x1, x1, 308, x2) - -inst_78: -// rs1_val == 1073741824, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x40000000; op2val:0x20 -TEST_CR_OP( c.sub, x10, x11, 0x3fffffe0, 0x40000000, 0x20, x1, 312, x2) - -inst_79: -// rs1_val == 536870912, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x20000000; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x1ffffffe, 0x20000000, 0x2, x1, 316, x2) - -inst_80: -// rs1_val == 134217728, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x8000000; op2val:0x20000 -TEST_CR_OP( c.sub, x10, x11, 0x7fe0000, 0x8000000, 0x20000, x1, 320, x2) - -inst_81: -// rs1_val == 67108864, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4000000; op2val:-0x11 -TEST_CR_OP( c.sub, x10, x11, 0x4000011, 0x4000000, -0x11, x1, 324, x2) - -inst_82: -// rs1_val == 8388608, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x800000; op2val:0x8000000 -TEST_CR_OP( c.sub, x10, x11, 0xf8800000, 0x800000, 0x8000000, x1, 328, x2) - -inst_83: -// rs1_val == 2097152, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x200000; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x200000, 0x200000, 0x0, x1, 332, x2) - -inst_84: -// rs1_val == 1048576, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x100000; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0xffffc, 0x100000, 0x4, x1, 336, x2) - -inst_85: -// rs1_val == 524288, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x80000; op2val:0x10000000 -TEST_CR_OP( c.sub, x10, x11, 0xf0080000, 0x80000, 0x10000000, x1, 340, x2) - -inst_86: -// rs1_val == 262144, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x40000; op2val:-0x1 -TEST_CR_OP( c.sub, x10, x11, 0x40001, 0x40000, -0x1, x1, 344, x2) - -inst_87: -// rs1_val == 131072, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x20000; op2val:-0x201 -TEST_CR_OP( c.sub, x10, x11, 0x20201, 0x20000, -0x201, x1, 348, x2) - -inst_88: -// rs1_val == 65536, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x10000; op2val:0x20000000 -TEST_CR_OP( c.sub, x10, x11, 0xe0010000, 0x10000, 0x20000000, x1, 352, x2) - -inst_89: -// rs1_val == 32768, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x8000; op2val:-0x8 -TEST_CR_OP( c.sub, x10, x11, 0x8008, 0x8000, -0x8, x1, 356, x2) - -inst_90: -// rs1_val == 8192, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2000; op2val:-0x10000001 -TEST_CR_OP( c.sub, x10, x11, 0x10002001, 0x2000, -0x10000001, x1, 360, x2) - -inst_91: -// rs1_val == 4096, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x1000; op2val:-0x10000001 -TEST_CR_OP( c.sub, x10, x11, 0x10001001, 0x1000, -0x10000001, x1, 364, x2) - -inst_92: -// rs1_val == 2048, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x800; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0x7fb, 0x800, 0x5, x1, 368, x2) - -inst_93: -// rs1_val == 128, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x80; op2val:-0x8 -TEST_CR_OP( c.sub, x10, x11, 0x88, 0x80, -0x8, x1, 372, x2) - -inst_94: -// rs1_val == 16, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x10; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xffff4b0d, 0x10, 0xb503, x1, 376, x2) - -inst_95: -// rs1_val == 8, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x8; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0x3, 0x8, 0x5, x1, 380, x2) - -inst_96: -// rs1_val == 2, rs1_val==2 and rs2_val==2 -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x2, 0x2, x1, 384, x2) - -inst_97: -// rs1_val == 1, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x1; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x9999999b, 0x1, 0x66666666, x1, 388, x2) - -inst_98: -// rs1_val==46341 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0xb505, 0xb505, x1, 392, x2) - -inst_99: -// rs1_val==46341 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x16a08, 0xb505, -0xb503, x1, 396, x2) - -inst_100: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0x999a4e9e, 0xb505, 0x66666667, x1, 400, x2) - -inst_101: -// rs1_val==46341 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0xcccd81d1, 0xb505, 0x33333334, x1, 404, x2) - -inst_102: -// rs1_val==46341 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0xb4ff, 0xb505, 0x6, x1, 408, x2) - -inst_103: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x55560a5a, 0xb505, -0x55555555, x1, 412, x2) - -inst_104: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xaaab5faf, 0xb505, 0x55555556, x1, 416, x2) - -inst_105: -// rs1_val==46341 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0xb501, 0xb505, 0x4, x1, 420, x2) - -inst_106: -// rs1_val==46341 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x2, 0xb505, 0xb503, x1, 424, x2) - -inst_107: -// rs1_val==46341 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0xb505, 0xb505, 0x0, x1, 428, x2) - -inst_108: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x999a4ea0, 0xb505, 0x66666665, x1, 432, x2) - -inst_109: -// rs1_val==46341 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0xcccd81d3, 0xb505, 0x33333332, x1, 436, x2) - -inst_110: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0xaaab5fb1, 0xb505, 0x55555554, x1, 440, x2) - -inst_111: -// rs1_val==46341 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0xb503, 0xb505, 0x2, x1, 444, x2) - -inst_112: -// rs1_val==46341 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x1, 0xb505, 0xb504, x1, 448, x2) - -inst_113: -// rs1_val==46341 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x16a09, 0xb505, -0xb504, x1, 452, x2) - -inst_114: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x999a4e9f, 0xb505, 0x66666666, x1, 456, x2) - -inst_115: -// rs1_val==46341 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0xcccd81d2, 0xb505, 0x33333333, x1, 460, x2) - -inst_116: -// rs1_val==46341 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0xb500, 0xb505, 0x5, x1, 464, x2) - -inst_117: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x55560a5b, 0xb505, -0x55555556, x1, 468, x2) - -inst_118: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xaaab5fb0, 0xb505, 0x55555555, x1, 472, x2) - -inst_119: -// rs1_val==46341 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb505; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0xb502, 0xb505, 0x3, x1, 476, x2) - -inst_120: -// rs1_val==-46339 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0xfffe95f8, -0xb503, 0xb505, x1, 480, x2) - -inst_121: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x0, -0xb503, -0xb503, x1, 484, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0x9998e496, -0xb503, 0x66666667, x1, 488, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0xcccc17c9, -0xb503, 0x33333334, x1, 492, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0xffff4af7, -0xb503, 0x6, x1, 496, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x5554a052, -0xb503, -0x55555555, x1, 500, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xaaa9f5a7, -0xb503, 0x55555556, x1, 504, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 508, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xfffe95fa, -0xb503, 0xb503, x1, 512, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 516, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x9998e498, -0xb503, 0x66666665, x1, 520, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0xcccc17cb, -0xb503, 0x33333332, x1, 524, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0xaaa9f5a9, -0xb503, 0x55555554, x1, 528, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0xffff4afb, -0xb503, 0x2, x1, 532, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xfffe95f9, -0xb503, 0xb504, x1, 536, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x1, -0xb503, -0xb504, x1, 540, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x9998e497, -0xb503, 0x66666666, x1, 544, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0xcccc17ca, -0xb503, 0x33333333, x1, 548, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 552, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x5554a053, -0xb503, -0x55555556, x1, 556, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xaaa9f5a8, -0xb503, 0x55555555, x1, 560, x2) - -inst_141: -// rs1_val==-46339 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb503; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0xffff4afa, -0xb503, 0x3, x1, 564, x2) - -inst_142: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0x6665b162, 0x66666667, 0xb505, x1, 568, x2) - -inst_143: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x66671b6a, 0x66666667, -0xb503, x1, 572, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 576, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0x33333333, 0x66666667, 0x33333334, x1, 580, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 584, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xbbbbbbbc, 0x66666667, -0x55555555, x1, 588, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x11111111, 0x66666667, 0x55555556, x1, 592, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 596, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x6665b164, 0x66666667, 0xb503, x1, 600, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 604, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 608, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0x33333335, 0x66666667, 0x33333332, x1, 612, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0x11111113, 0x66666667, 0x55555554, x1, 616, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 620, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x6665b163, 0x66666667, 0xb504, x1, 624, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x66671b6b, 0x66666667, -0xb504, x1, 628, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 632, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0x33333334, 0x66666667, 0x33333333, x1, 636, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 640, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xbbbbbbbd, 0x66666667, -0x55555556, x1, 644, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x11111112, 0x66666667, 0x55555555, x1, 648, x2) - -inst_163: -// rs1_val==1717986919 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666667; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 652, x2) - -inst_164: -// rs1_val==858993460 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0x33327e2f, 0x33333334, 0xb505, x1, 656, x2) - -inst_165: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x3333e837, 0x33333334, -0xb503, x1, 660, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0xcccccccd, 0x33333334, 0x66666667, x1, 664, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 668, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0x3333332e, 0x33333334, 0x6, x1, 672, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x88888889, 0x33333334, -0x55555555, x1, 676, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xddddddde, 0x33333334, 0x55555556, x1, 680, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 684, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x33327e31, 0x33333334, 0xb503, x1, 688, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 692, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0xcccccccf, 0x33333334, 0x66666665, x1, 696, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0x2, 0x33333334, 0x33333332, x1, 700, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0xdddddde0, 0x33333334, 0x55555554, x1, 704, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x33333332, 0x33333334, 0x2, x1, 708, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x33327e30, 0x33333334, 0xb504, x1, 712, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x3333e838, 0x33333334, -0xb504, x1, 716, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0xccccccce, 0x33333334, 0x66666666, x1, 720, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0x1, 0x33333334, 0x33333333, x1, 724, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0x3333332f, 0x33333334, 0x5, x1, 728, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x8888888a, 0x33333334, -0x55555556, x1, 732, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xdddddddf, 0x33333334, 0x55555555, x1, 736, x2) - -inst_185: -// rs1_val==858993460 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333334; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0x33333331, 0x33333334, 0x3, x1, 740, x2) - -inst_186: -// rs1_val==6 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0xffff4b01, 0x6, 0xb505, x1, 744, x2) - -inst_187: -// rs1_val==6 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xb509, 0x6, -0xb503, x1, 748, x2) - -inst_188: -// rs1_val==6 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0x9999999f, 0x6, 0x66666667, x1, 752, x2) - -inst_189: -// rs1_val==6 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0xccccccd2, 0x6, 0x33333334, x1, 756, x2) - -inst_190: -// rs1_val==6 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x6, 0x6, x1, 760, x2) - -inst_191: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x5555555b, 0x6, -0x55555555, x1, 764, x2) - -inst_192: -// rs1_val==6 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaab0, 0x6, 0x55555556, x1, 768, x2) - -inst_193: -// rs1_val==6 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0x2, 0x6, 0x4, x1, 772, x2) - -inst_194: -// rs1_val==6 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xffff4b03, 0x6, 0xb503, x1, 776, x2) - -inst_195: -// rs1_val==6 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x6, 0x6, 0x0, x1, 780, x2) - -inst_196: -// rs1_val==6 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x999999a1, 0x6, 0x66666665, x1, 784, x2) - -inst_197: -// rs1_val==6 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0xccccccd4, 0x6, 0x33333332, x1, 788, x2) - -inst_198: -// rs1_val==6 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaab2, 0x6, 0x55555554, x1, 792, x2) - -inst_199: -// rs1_val==6 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x4, 0x6, 0x2, x1, 796, x2) - -inst_200: -// rs1_val==6 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xffff4b02, 0x6, 0xb504, x1, 800, x2) - -inst_201: -// rs1_val==6 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xb50a, 0x6, -0xb504, x1, 804, x2) - -inst_202: -// rs1_val==6 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x999999a0, 0x6, 0x66666666, x1, 808, x2) - -inst_203: -// rs1_val==6 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0xccccccd3, 0x6, 0x33333333, x1, 812, x2) - -inst_204: -// rs1_val==6 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0x1, 0x6, 0x5, x1, 816, x2) - -inst_205: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x5555555c, 0x6, -0x55555556, x1, 820, x2) - -inst_206: -// rs1_val==6 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaab1, 0x6, 0x55555555, x1, 824, x2) - -inst_207: -// rs1_val==6 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x6; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0x3, 0x6, 0x3, x1, 828, x2) - -inst_208: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0xaaa9f5a6, -0x55555555, 0xb505, x1, 832, x2) - -inst_209: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xaaab5fae, -0x55555555, -0xb503, x1, 836, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0x44444444, -0x55555555, 0x66666667, x1, 840, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0x77777777, -0x55555555, 0x33333334, x1, 844, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaa5, -0x55555555, 0x6, x1, 848, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 852, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x55555555, -0x55555555, 0x55555556, x1, 856, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaa7, -0x55555555, 0x4, x1, 860, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xaaa9f5a8, -0x55555555, 0xb503, x1, 864, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 868, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x44444446, -0x55555555, 0x66666665, x1, 872, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0x77777779, -0x55555555, 0x33333332, x1, 876, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0x55555557, -0x55555555, 0x55555554, x1, 880, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 884, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xaaa9f5a7, -0x55555555, 0xb504, x1, 888, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xaaab5faf, -0x55555555, -0xb504, x1, 892, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x44444445, -0x55555555, 0x66666666, x1, 896, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0x77777778, -0x55555555, 0x33333333, x1, 900, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaa6, -0x55555555, 0x5, x1, 904, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 908, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x55555556, -0x55555555, 0x55555555, x1, 912, x2) - -inst_229: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 916, x2) - -inst_230: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0x5554a051, 0x55555556, 0xb505, x1, 920, x2) - -inst_231: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x55560a59, 0x55555556, -0xb503, x1, 924, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0xeeeeeeef, 0x55555556, 0x66666667, x1, 928, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0x22222222, 0x55555556, 0x33333334, x1, 932, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 936, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaab, 0x55555556, -0x55555555, x1, 940, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 944, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 948, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x5554a053, 0x55555556, 0xb503, x1, 952, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 956, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0xeeeeeef1, 0x55555556, 0x66666665, x1, 960, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0x22222224, 0x55555556, 0x33333332, x1, 964, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 968, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 972, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x5554a052, 0x55555556, 0xb504, x1, 976, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x55560a5a, 0x55555556, -0xb504, x1, 980, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0xeeeeeef0, 0x55555556, 0x66666666, x1, 984, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0x22222223, 0x55555556, 0x33333333, x1, 988, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0x55555551, 0x55555556, 0x5, x1, 992, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaac, 0x55555556, -0x55555556, x1, 996, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x1, 0x55555556, 0x55555555, x1, 1000, x2) - -inst_251: -// rs1_val==1431655766 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555556; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0x55555553, 0x55555556, 0x3, x1, 1004, x2) - -inst_252: -// rs1_val==4 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0xffff4aff, 0x4, 0xb505, x1, 1008, x2) - -inst_253: -// rs1_val==4 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xb507, 0x4, -0xb503, x1, 1012, x2) - -inst_254: -// rs1_val==4 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0x9999999d, 0x4, 0x66666667, x1, 1016, x2) - -inst_255: -// rs1_val==4 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0xccccccd0, 0x4, 0x33333334, x1, 1020, x2) - -inst_256: -// rs1_val==4 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffe, 0x4, 0x6, x1, 1024, x2) - -inst_257: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x55555559, 0x4, -0x55555555, x1, 1028, x2) - -inst_258: -// rs1_val==4 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaae, 0x4, 0x55555556, x1, 1032, x2) - -inst_259: -// rs1_val==4 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x4, 0x4, x1, 1036, x2) - -inst_260: -// rs1_val==4 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xffff4b01, 0x4, 0xb503, x1, 1040, x2) - -inst_261: -// rs1_val==4 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x4, 0x4, 0x0, x1, 1044, x2) - -inst_262: -// rs1_val==4 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x9999999f, 0x4, 0x66666665, x1, 1048, x2) - -inst_263: -// rs1_val==4 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0xccccccd2, 0x4, 0x33333332, x1, 1052, x2) - -inst_264: -// rs1_val==4 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaab0, 0x4, 0x55555554, x1, 1056, x2) - -inst_265: -// rs1_val==4 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x2, 0x4, 0x2, x1, 1060, x2) - -inst_266: -// rs1_val==4 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xffff4b00, 0x4, 0xb504, x1, 1064, x2) - -inst_267: -// rs1_val==4 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xb508, 0x4, -0xb504, x1, 1068, x2) - -inst_268: -// rs1_val==4 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x9999999e, 0x4, 0x66666666, x1, 1072, x2) - -inst_269: -// rs1_val==4 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0xccccccd1, 0x4, 0x33333333, x1, 1076, x2) - -inst_270: -// rs1_val==4 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0xffffffff, 0x4, 0x5, x1, 1080, x2) - -inst_271: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x5555555a, 0x4, -0x55555556, x1, 1084, x2) - -inst_272: -// rs1_val==4 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaaf, 0x4, 0x55555555, x1, 1088, x2) - -inst_273: -// rs1_val==4 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x4; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0x1, 0x4, 0x3, x1, 1092, x2) - -inst_274: -// rs1_val==46339 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffe, 0xb503, 0xb505, x1, 1096, x2) - -inst_275: -// rs1_val==46339 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x16a06, 0xb503, -0xb503, x1, 1100, x2) - -inst_276: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0x999a4e9c, 0xb503, 0x66666667, x1, 1104, x2) - -inst_277: -// rs1_val==46339 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0xcccd81cf, 0xb503, 0x33333334, x1, 1108, x2) - -inst_278: -// rs1_val==46339 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0xb4fd, 0xb503, 0x6, x1, 1112, x2) - -inst_279: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x55560a58, 0xb503, -0x55555555, x1, 1116, x2) - -inst_280: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xaaab5fad, 0xb503, 0x55555556, x1, 1120, x2) - -inst_281: -// rs1_val==46339 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0xb4ff, 0xb503, 0x4, x1, 1124, x2) - -inst_282: -// rs1_val==46339 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0xb503, 0xb503, x1, 1128, x2) - -inst_283: -// rs1_val==46339 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0xb503, 0xb503, 0x0, x1, 1132, x2) - -inst_284: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x999a4e9e, 0xb503, 0x66666665, x1, 1136, x2) - -inst_285: -// rs1_val==46339 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0xcccd81d1, 0xb503, 0x33333332, x1, 1140, x2) - -inst_286: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0xaaab5faf, 0xb503, 0x55555554, x1, 1144, x2) - -inst_287: -// rs1_val==46339 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0xb501, 0xb503, 0x2, x1, 1148, x2) - -inst_288: -// rs1_val==46339 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xffffffff, 0xb503, 0xb504, x1, 1152, x2) - -inst_289: -// rs1_val==46339 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x16a07, 0xb503, -0xb504, x1, 1156, x2) - -inst_290: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x999a4e9d, 0xb503, 0x66666666, x1, 1160, x2) - -inst_291: -// rs1_val==46339 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0xcccd81d0, 0xb503, 0x33333333, x1, 1164, x2) - -inst_292: -// rs1_val==46339 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0xb4fe, 0xb503, 0x5, x1, 1168, x2) - -inst_293: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x55560a59, 0xb503, -0x55555556, x1, 1172, x2) - -inst_294: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xaaab5fae, 0xb503, 0x55555555, x1, 1176, x2) - -inst_295: -// rs1_val==46339 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb503; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0xb500, 0xb503, 0x3, x1, 1180, x2) - -inst_296: -// rs1_val==0 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0xffff4afb, 0x0, 0xb505, x1, 1184, x2) - -inst_297: -// rs1_val==0 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xb503, 0x0, -0xb503, x1, 1188, x2) - -inst_298: -// rs1_val==0 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0x99999999, 0x0, 0x66666667, x1, 1192, x2) - -inst_299: -// rs1_val==0 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0xcccccccc, 0x0, 0x33333334, x1, 1196, x2) - -inst_300: -// rs1_val==0 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffa, 0x0, 0x6, x1, 1200, x2) - -inst_301: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x55555555, 0x0, -0x55555555, x1, 1204, x2) - -inst_302: -// rs1_val==0 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaaa, 0x0, 0x55555556, x1, 1208, x2) - -inst_303: -// rs1_val==0 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffc, 0x0, 0x4, x1, 1212, x2) - -inst_304: -// rs1_val==0 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xffff4afd, 0x0, 0xb503, x1, 1216, x2) - -inst_305: -// rs1_val==0 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x0, 0x0, x1, 1220, x2) - -inst_306: -// rs1_val==0 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x9999999b, 0x0, 0x66666665, x1, 1224, x2) - -inst_307: -// rs1_val==0 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0xccccccce, 0x0, 0x33333332, x1, 1228, x2) - -inst_308: -// rs1_val==0 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaac, 0x0, 0x55555554, x1, 1232, x2) - -inst_309: -// rs1_val==0 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffe, 0x0, 0x2, x1, 1236, x2) - -inst_310: -// rs1_val==0 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xffff4afc, 0x0, 0xb504, x1, 1240, x2) - -inst_311: -// rs1_val==0 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xb504, 0x0, -0xb504, x1, 1244, x2) - -inst_312: -// rs1_val==0 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x9999999a, 0x0, 0x66666666, x1, 1248, x2) - -inst_313: -// rs1_val==0 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0xcccccccd, 0x0, 0x33333333, x1, 1252, x2) - -inst_314: -// rs1_val==0 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffb, 0x0, 0x5, x1, 1256, x2) - -inst_315: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x55555556, 0x0, -0x55555556, x1, 1260, x2) - -inst_316: -// rs1_val==0 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaab, 0x0, 0x55555555, x1, 1264, x2) - -inst_317: -// rs1_val==0 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x0; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffd, 0x0, 0x3, x1, 1268, x2) - -inst_318: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0x6665b160, 0x66666665, 0xb505, x1, 1272, x2) - -inst_319: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x66671b68, 0x66666665, -0xb503, x1, 1276, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffe, 0x66666665, 0x66666667, x1, 1280, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0x33333331, 0x66666665, 0x33333334, x1, 1284, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0x6666665f, 0x66666665, 0x6, x1, 1288, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xbbbbbbba, 0x66666665, -0x55555555, x1, 1292, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x1111110f, 0x66666665, 0x55555556, x1, 1296, x2) - -inst_325: -// rs1_val==858993459 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1300, x2) - -inst_326: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0xccccccce, 0x33333333, 0x66666665, x1, 1304, x2) - -inst_327: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1308, x2) - -inst_328: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0xdddddddf, 0x33333333, 0x55555554, x1, 1312, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1316, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x33327e2f, 0x33333333, 0xb504, x1, 1320, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x3333e837, 0x33333333, -0xb504, x1, 1324, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0xcccccccd, 0x33333333, 0x66666666, x1, 1328, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1332, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0x3333332e, 0x33333333, 0x5, x1, 1336, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x88888889, 0x33333333, -0x55555556, x1, 1340, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xddddddde, 0x33333333, 0x55555555, x1, 1344, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1348, x2) - -inst_338: -// rs1_val==5 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0xffff4b00, 0x5, 0xb505, x1, 1352, x2) - -inst_339: -// rs1_val==5 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xb508, 0x5, -0xb503, x1, 1356, x2) - -inst_340: -// rs1_val==5 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0x9999999e, 0x5, 0x66666667, x1, 1360, x2) - -inst_341: -// rs1_val==5 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0xccccccd1, 0x5, 0x33333334, x1, 1364, x2) - -inst_342: -// rs1_val==5 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0xffffffff, 0x5, 0x6, x1, 1368, x2) - -inst_343: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x5555555a, 0x5, -0x55555555, x1, 1372, x2) - -inst_344: -// rs1_val==5 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaaf, 0x5, 0x55555556, x1, 1376, x2) - -inst_345: -// rs1_val==5 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0x1, 0x5, 0x4, x1, 1380, x2) - -inst_346: -// rs1_val==5 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xffff4b02, 0x5, 0xb503, x1, 1384, x2) - -inst_347: -// rs1_val==5 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x5, 0x5, 0x0, x1, 1388, x2) - -inst_348: -// rs1_val==5 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x999999a0, 0x5, 0x66666665, x1, 1392, x2) - -inst_349: -// rs1_val==5 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0xccccccd3, 0x5, 0x33333332, x1, 1396, x2) - -inst_350: -// rs1_val==5 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaab1, 0x5, 0x55555554, x1, 1400, x2) - -inst_351: -// rs1_val==5 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x3, 0x5, 0x2, x1, 1404, x2) - -inst_352: -// rs1_val==5 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xffff4b01, 0x5, 0xb504, x1, 1408, x2) - -inst_353: -// rs1_val==5 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xb509, 0x5, -0xb504, x1, 1412, x2) - -inst_354: -// rs1_val==5 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x9999999f, 0x5, 0x66666666, x1, 1416, x2) - -inst_355: -// rs1_val==5 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0xccccccd2, 0x5, 0x33333333, x1, 1420, x2) - -inst_356: -// rs1_val==5 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x5, 0x5, x1, 1424, x2) - -inst_357: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x5555555b, 0x5, -0x55555556, x1, 1428, x2) - -inst_358: -// rs1_val==5 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaab0, 0x5, 0x55555555, x1, 1432, x2) - -inst_359: -// rs1_val==5 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x5; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0x2, 0x5, 0x3, x1, 1436, x2) - -inst_360: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0xaaa9f5a5, -0x55555556, 0xb505, x1, 1440, x2) - -inst_361: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xaaab5fad, -0x55555556, -0xb503, x1, 1444, x2) - -inst_362: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0x44444443, -0x55555556, 0x66666667, x1, 1448, x2) - -inst_363: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0x77777776, -0x55555556, 0x33333334, x1, 1452, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaa4, -0x55555556, 0x6, x1, 1456, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xffffffff, -0x55555556, -0x55555555, x1, 1460, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x55555554, -0x55555556, 0x55555556, x1, 1464, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaa6, -0x55555556, 0x4, x1, 1468, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xaaa9f5a7, -0x55555556, 0xb503, x1, 1472, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1476, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x44444445, -0x55555556, 0x66666665, x1, 1480, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0x77777778, -0x55555556, 0x33333332, x1, 1484, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0x55555556, -0x55555556, 0x55555554, x1, 1488, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1492, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xaaa9f5a6, -0x55555556, 0xb504, x1, 1496, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xaaab5fae, -0x55555556, -0xb504, x1, 1500, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x44444444, -0x55555556, 0x66666666, x1, 1504, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0x77777777, -0x55555556, 0x33333333, x1, 1508, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaa5, -0x55555556, 0x5, x1, 1512, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1516, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x55555555, -0x55555556, 0x55555555, x1, 1520, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaa7, -0x55555556, 0x3, x1, 1524, x2) - -inst_382: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0x5554a050, 0x55555555, 0xb505, x1, 1528, x2) - -inst_383: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x55560a58, 0x55555555, -0xb503, x1, 1532, x2) - -inst_384: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0xeeeeeeee, 0x55555555, 0x66666667, x1, 1536, x2) - -inst_385: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0x22222221, 0x55555555, 0x33333334, x1, 1540, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0x5555554f, 0x55555555, 0x6, x1, 1544, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaaa, 0x55555555, -0x55555555, x1, 1548, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xffffffff, 0x55555555, 0x55555556, x1, 1552, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1556, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x5554a052, 0x55555555, 0xb503, x1, 1560, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1564, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0xeeeeeef0, 0x55555555, 0x66666665, x1, 1568, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0x22222223, 0x55555555, 0x33333332, x1, 1572, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1576, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x55555553, 0x55555555, 0x2, x1, 1580, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x5554a051, 0x55555555, 0xb504, x1, 1584, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x55560a59, 0x55555555, -0xb504, x1, 1588, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0xeeeeeeef, 0x55555555, 0x66666666, x1, 1592, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0x22222222, 0x55555555, 0x33333333, x1, 1596, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1600, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaab, 0x55555555, -0x55555556, x1, 1604, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1608, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555555; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0x55555552, 0x55555555, 0x3, x1, 1612, x2) - -inst_404: -// rs1_val==3 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0xffff4afe, 0x3, 0xb505, x1, 1616, x2) - -inst_405: -// rs1_val==3 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xb506, 0x3, -0xb503, x1, 1620, x2) - -inst_406: -// rs1_val==3 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0x9999999c, 0x3, 0x66666667, x1, 1624, x2) - -inst_407: -// rs1_val==3 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0xcccccccf, 0x3, 0x33333334, x1, 1628, x2) - -inst_408: -// rs1_val==3 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffd, 0x3, 0x6, x1, 1632, x2) - -inst_409: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x55555558, 0x3, -0x55555555, x1, 1636, x2) - -inst_410: -// rs1_val==3 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaad, 0x3, 0x55555556, x1, 1640, x2) - -inst_411: -// rs1_val==3 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0xffffffff, 0x3, 0x4, x1, 1644, x2) - -inst_412: -// rs1_val==3 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xffff4b00, 0x3, 0xb503, x1, 1648, x2) - -inst_413: -// rs1_val==3 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x3, 0x3, 0x0, x1, 1652, x2) - -inst_414: -// rs1_val==3 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x9999999e, 0x3, 0x66666665, x1, 1656, x2) - -inst_415: -// rs1_val==3 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0xccccccd1, 0x3, 0x33333332, x1, 1660, x2) - -inst_416: -// rs1_val==3 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaaf, 0x3, 0x55555554, x1, 1664, x2) - -inst_417: -// rs1_val==3 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x1, 0x3, 0x2, x1, 1668, x2) - -inst_418: -// rs1_val==3 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xffff4aff, 0x3, 0xb504, x1, 1672, x2) - -inst_419: -// rs1_val==3 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xb507, 0x3, -0xb504, x1, 1676, x2) - -inst_420: -// rs1_val==3 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x9999999d, 0x3, 0x66666666, x1, 1680, x2) - -inst_421: -// rs1_val==3 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0xccccccd0, 0x3, 0x33333333, x1, 1684, x2) - -inst_422: -// rs1_val==3 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffe, 0x3, 0x5, x1, 1688, x2) - -inst_423: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x55555559, 0x3, -0x55555556, x1, 1692, x2) - -inst_424: -// rs1_val==3 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaae, 0x3, 0x55555555, x1, 1696, x2) - -inst_425: -// rs1_val==3 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x3; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x3, 0x3, x1, 1700, x2) - -inst_426: -// rs1_val==1717986917 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1704, x2) - -inst_427: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x6665b162, 0x66666665, 0xb503, x1, 1708, x2) - -inst_428: -// rs1_val==1717986917 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1712, x2) - -inst_429: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1716, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0x33333333, 0x66666665, 0x33333332, x1, 1720, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0x11111111, 0x66666665, 0x55555554, x1, 1724, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x66666663, 0x66666665, 0x2, x1, 1728, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x6665b161, 0x66666665, 0xb504, x1, 1732, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x66671b69, 0x66666665, -0xb504, x1, 1736, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0xffffffff, 0x66666665, 0x66666666, x1, 1740, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0x33333332, 0x66666665, 0x33333333, x1, 1744, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1748, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xbbbbbbbb, 0x66666665, -0x55555556, x1, 1752, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x11111110, 0x66666665, 0x55555555, x1, 1756, x2) - -inst_440: -// rs1_val==1717986917 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666665; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0x66666662, 0x66666665, 0x3, x1, 1760, x2) - -inst_441: -// rs1_val==858993458 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0x33327e2d, 0x33333332, 0xb505, x1, 1764, x2) - -inst_442: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x3333e835, 0x33333332, -0xb503, x1, 1768, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0xcccccccb, 0x33333332, 0x66666667, x1, 1772, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffe, 0x33333332, 0x33333334, x1, 1776, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0x3333332c, 0x33333332, 0x6, x1, 1780, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x88888887, 0x33333332, -0x55555555, x1, 1784, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xdddddddc, 0x33333332, 0x55555556, x1, 1788, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0x3333332e, 0x33333332, 0x4, x1, 1792, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x33327e2f, 0x33333332, 0xb503, x1, 1796, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1800, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0xcccccccd, 0x33333332, 0x66666665, x1, 1804, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1808, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0xddddddde, 0x33333332, 0x55555554, x1, 1812, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1816, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x33327e2e, 0x33333332, 0xb504, x1, 1820, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x3333e836, 0x33333332, -0xb504, x1, 1824, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0xcccccccc, 0x33333332, 0x66666666, x1, 1828, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0xffffffff, 0x33333332, 0x33333333, x1, 1832, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0x3333332d, 0x33333332, 0x5, x1, 1836, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x88888888, 0x33333332, -0x55555556, x1, 1840, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xdddddddd, 0x33333332, 0x55555555, x1, 1844, x2) - -inst_462: -// rs1_val==858993458 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333332; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0x3333332f, 0x33333332, 0x3, x1, 1848, x2) - -inst_463: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0x5554a04f, 0x55555554, 0xb505, x1, 1852, x2) - -inst_464: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x55560a57, 0x55555554, -0xb503, x1, 1856, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0xeeeeeeed, 0x55555554, 0x66666667, x1, 1860, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0x22222220, 0x55555554, 0x33333334, x1, 1864, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0x5555554e, 0x55555554, 0x6, x1, 1868, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaa9, 0x55555554, -0x55555555, x1, 1872, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffe, 0x55555554, 0x55555556, x1, 1876, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1880, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x5554a051, 0x55555554, 0xb503, x1, 1884, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1888, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0xeeeeeeef, 0x55555554, 0x66666665, x1, 1892, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0x22222222, 0x55555554, 0x33333332, x1, 1896, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1900, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x55555552, 0x55555554, 0x2, x1, 1904, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x5554a050, 0x55555554, 0xb504, x1, 1908, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x55560a58, 0x55555554, -0xb504, x1, 1912, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0xeeeeeeee, 0x55555554, 0x66666666, x1, 1916, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0x22222221, 0x55555554, 0x33333333, x1, 1920, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0x5555554f, 0x55555554, 0x5, x1, 1924, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaaa, 0x55555554, -0x55555556, x1, 1928, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xffffffff, 0x55555554, 0x55555555, x1, 1932, x2) - -inst_484: -// rs1_val==1431655764 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x55555554; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0x55555551, 0x55555554, 0x3, x1, 1936, x2) - -inst_485: -// rs1_val==2 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0xffff4afd, 0x2, 0xb505, x1, 1940, x2) - -inst_486: -// rs1_val==2 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xb505, 0x2, -0xb503, x1, 1944, x2) - -inst_487: -// rs1_val==2 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0x9999999b, 0x2, 0x66666667, x1, 1948, x2) - -inst_488: -// rs1_val==2 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0xccccccce, 0x2, 0x33333334, x1, 1952, x2) - -inst_489: -// rs1_val==2 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffc, 0x2, 0x6, x1, 1956, x2) - -inst_490: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x55555557, 0x2, -0x55555555, x1, 1960, x2) - -inst_491: -// rs1_val==2 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaac, 0x2, 0x55555556, x1, 1964, x2) - -inst_492: -// rs1_val==2 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffe, 0x2, 0x4, x1, 1968, x2) - -inst_493: -// rs1_val==2 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xffff4aff, 0x2, 0xb503, x1, 1972, x2) - -inst_494: -// rs1_val==2 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x2, 0x2, 0x0, x1, 1976, x2) - -inst_495: -// rs1_val==2 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x9999999d, 0x2, 0x66666665, x1, 1980, x2) - -inst_496: -// rs1_val==2 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0xccccccd0, 0x2, 0x33333332, x1, 1984, x2) - -inst_497: -// rs1_val==2 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaae, 0x2, 0x55555554, x1, 1988, x2) - -inst_498: -// rs1_val==2 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xffff4afe, 0x2, 0xb504, x1, 1992, x2) - -inst_499: -// rs1_val==2 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xb506, 0x2, -0xb504, x1, 1996, x2) - -inst_500: -// rs1_val==2 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x9999999c, 0x2, 0x66666666, x1, 2000, x2) - -inst_501: -// rs1_val==2 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0xcccccccf, 0x2, 0x33333333, x1, 2004, x2) - -inst_502: -// rs1_val==2 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0xfffffffd, 0x2, 0x5, x1, 2008, x2) - -inst_503: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x55555558, 0x2, -0x55555556, x1, 2012, x2) - -inst_504: -// rs1_val==2 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xaaaaaaad, 0x2, 0x55555555, x1, 2016, x2) - -inst_505: -// rs1_val==2 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x2; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0xffffffff, 0x2, 0x3, x1, 2020, x2) - -inst_506: -// rs1_val==46340 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0xffffffff, 0xb504, 0xb505, x1, 2024, x2) - -inst_507: -// rs1_val==46340 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x16a07, 0xb504, -0xb503, x1, 2028, x2) - -inst_508: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0x999a4e9d, 0xb504, 0x66666667, x1, 2032, x2) - -inst_509: -// rs1_val==46340 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0xcccd81d0, 0xb504, 0x33333334, x1, 2036, x2) - -inst_510: -// rs1_val==46340 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0xb4fe, 0xb504, 0x6, x1, 2040, x2) - -inst_511: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x55560a59, 0xb504, -0x55555555, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_512: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xaaab5fae, 0xb504, 0x55555556, x1, 0, x2) - -inst_513: -// rs1_val==46340 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0xb500, 0xb504, 0x4, x1, 4, x2) - -inst_514: -// rs1_val==46340 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x1, 0xb504, 0xb503, x1, 8, x2) - -inst_515: -// rs1_val==46340 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0xb504, 0xb504, 0x0, x1, 12, x2) - -inst_516: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x999a4e9f, 0xb504, 0x66666665, x1, 16, x2) - -inst_517: -// rs1_val==46340 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0xcccd81d2, 0xb504, 0x33333332, x1, 20, x2) - -inst_518: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0xaaab5fb0, 0xb504, 0x55555554, x1, 24, x2) - -inst_519: -// rs1_val==46340 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0xb502, 0xb504, 0x2, x1, 28, x2) - -inst_520: -// rs1_val==46340 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0xb504, 0xb504, x1, 32, x2) - -inst_521: -// rs1_val==46340 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x16a08, 0xb504, -0xb504, x1, 36, x2) - -inst_522: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x999a4e9e, 0xb504, 0x66666666, x1, 40, x2) - -inst_523: -// rs1_val==46340 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0xcccd81d1, 0xb504, 0x33333333, x1, 44, x2) - -inst_524: -// rs1_val==46340 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0xb4ff, 0xb504, 0x5, x1, 48, x2) - -inst_525: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x55560a5a, 0xb504, -0x55555556, x1, 52, x2) - -inst_526: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xaaab5faf, 0xb504, 0x55555555, x1, 56, x2) - -inst_527: -// rs1_val==46340 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0xb504; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0xb501, 0xb504, 0x3, x1, 60, x2) - -inst_528: -// rs1_val==-46340 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0xfffe95f7, -0xb504, 0xb505, x1, 64, x2) - -inst_529: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xffffffff, -0xb504, -0xb503, x1, 68, x2) - -inst_530: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0x9998e495, -0xb504, 0x66666667, x1, 72, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0xcccc17c8, -0xb504, 0x33333334, x1, 76, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0xffff4af6, -0xb504, 0x6, x1, 80, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x5554a051, -0xb504, -0x55555555, x1, 84, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xaaa9f5a6, -0xb504, 0x55555556, x1, 88, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0xfffe95f9, -0xb504, 0xb503, x1, 92, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 96, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x9998e497, -0xb504, 0x66666665, x1, 100, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0xcccc17ca, -0xb504, 0x33333332, x1, 104, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0xaaa9f5a8, -0xb504, 0x55555554, x1, 108, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0xffff4afa, -0xb504, 0x2, x1, 112, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0xfffe95f8, -0xb504, 0xb504, x1, 116, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x0, -0xb504, -0xb504, x1, 120, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x9998e496, -0xb504, 0x66666666, x1, 124, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0xcccc17c9, -0xb504, 0x33333333, x1, 128, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0xffff4af7, -0xb504, 0x5, x1, 132, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x5554a052, -0xb504, -0x55555556, x1, 136, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xaaa9f5a7, -0xb504, 0x55555555, x1, 140, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:-0xb504; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0xffff4af9, -0xb504, 0x3, x1, 144, x2) - -inst_549: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0x6665b161, 0x66666666, 0xb505, x1, 148, x2) - -inst_550: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x66671b69, 0x66666666, -0xb503, x1, 152, x2) - -inst_551: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0xffffffff, 0x66666666, 0x66666667, x1, 156, x2) - -inst_552: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0x33333332, 0x66666666, 0x33333334, x1, 160, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 164, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0xbbbbbbbb, 0x66666666, -0x55555555, x1, 168, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0x11111110, 0x66666666, 0x55555556, x1, 172, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 176, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x6665b163, 0x66666666, 0xb503, x1, 180, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==0, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x0 -TEST_CR_OP( c.sub, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 184, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665 -TEST_CR_OP( c.sub, x10, x11, 0x1, 0x66666666, 0x66666665, x1, 188, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332 -TEST_CR_OP( c.sub, x10, x11, 0x33333334, 0x66666666, 0x33333332, x1, 192, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554 -TEST_CR_OP( c.sub, x10, x11, 0x11111112, 0x66666666, 0x55555554, x1, 196, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==2, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x2 -TEST_CR_OP( c.sub, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 200, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x6665b162, 0x66666666, 0xb504, x1, 204, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504 -TEST_CR_OP( c.sub, x10, x11, 0x66671b6a, 0x66666666, -0xb504, x1, 208, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666 -TEST_CR_OP( c.sub, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 212, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333 -TEST_CR_OP( c.sub, x10, x11, 0x33333333, 0x66666666, 0x33333333, x1, 216, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==5, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x5 -TEST_CR_OP( c.sub, x10, x11, 0x66666661, 0x66666666, 0x5, x1, 220, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xbbbbbbbc, 0x66666666, -0x55555556, x1, 224, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x11111111, 0x66666666, 0x55555555, x1, 228, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==3, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x66666666; op2val:0x3 -TEST_CR_OP( c.sub, x10, x11, 0x66666663, 0x66666666, 0x3, x1, 232, x2) - -inst_571: -// rs1_val==858993459 and rs2_val==46341, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505 -TEST_CR_OP( c.sub, x10, x11, 0x33327e2e, 0x33333333, 0xb505, x1, 236, x2) - -inst_572: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x3333e836, 0x33333333, -0xb503, x1, 240, x2) - -inst_573: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667 -TEST_CR_OP( c.sub, x10, x11, 0xcccccccc, 0x33333333, 0x66666667, x1, 244, x2) - -inst_574: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334 -TEST_CR_OP( c.sub, x10, x11, 0xffffffff, 0x33333333, 0x33333334, x1, 248, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==6, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x6 -TEST_CR_OP( c.sub, x10, x11, 0x3333332d, 0x33333333, 0x6, x1, 252, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555 -TEST_CR_OP( c.sub, x10, x11, 0x88888888, 0x33333333, -0x55555555, x1, 256, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556 -TEST_CR_OP( c.sub, x10, x11, 0xdddddddd, 0x33333333, 0x55555556, x1, 260, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==4, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0x4 -TEST_CR_OP( c.sub, x10, x11, 0x3333332f, 0x33333333, 0x4, x1, 264, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==46339, -// opcode: c.sub; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503 -TEST_CR_OP( c.sub, x10, x11, 0x33327e30, 0x33333333, 0xb503, x1, 268, x2) - -inst_580: -// rs2_val == 2147483647, rs1_val == -257, rs2_val == (2**(xlen-1)-1), rs2_val > 0 -// opcode: c.sub; op1:x10; op2:x11; op1val:-0x101; op2val:0x7fffffff -TEST_CR_OP( c.sub, x10, x11, 0x7fffff00, -0x101, 0x7fffffff, x1, 272, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 69*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/csw-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/csw-01.S deleted file mode 100644 index addb0aaa6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/csw-01.S +++ /dev/null @@ -1,410 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.sw instruction of the RISC-V C extension for the csw covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",csw) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2, rs1==x15, rs2==x14, imm_val == 0, rs2_val == -16385 -// opcode:c.sw; op1:x15; op2:x14; op2val:-0x4001; immval:0x0 -TEST_STORE(x1,x2,0,x15,x14,-0x4001,0x0,0,c.sw,0) - -inst_1: -// rs1==x11, rs2==x10, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1), imm_val > 0 -// opcode:c.sw; op1:x11; op2:x10; op2val:0x7fffffff; immval:0x14 -TEST_STORE(x1,x2,0,x11,x10,0x7fffffff,0x14,4,c.sw,0) - -inst_2: -// rs1==x13, rs2==x8, rs2_val == -1073741825, -// opcode:c.sw; op1:x13; op2:x8; op2val:-0x40000001; immval:0x14 -TEST_STORE(x1,x2,0,x13,x8,-0x40000001,0x14,8,c.sw,0) - -inst_3: -// rs1==x9, rs2==x13, rs2_val == -536870913, -// opcode:c.sw; op1:x9; op2:x13; op2val:-0x20000001; immval:0x24 -TEST_STORE(x1,x2,0,x9,x13,-0x20000001,0x24,12,c.sw,0) - -inst_4: -// rs1==x10, rs2==x12, rs2_val == -268435457, imm_val == 32 -// opcode:c.sw; op1:x10; op2:x12; op2val:-0x10000001; immval:0x20 -TEST_STORE(x1,x2,0,x10,x12,-0x10000001,0x20,16,c.sw,0) - -inst_5: -// rs1==x14, rs2==x15, rs2_val == -134217729, -// opcode:c.sw; op1:x14; op2:x15; op2val:-0x8000001; immval:0x4c -TEST_STORE(x1,x2,0,x14,x15,-0x8000001,0x4c,20,c.sw,0) - -inst_6: -// rs1==x8, rs2==x9, rs2_val == -67108865, -// opcode:c.sw; op1:x8; op2:x9; op2val:-0x4000001; immval:0x48 -TEST_STORE(x1,x2,0,x8,x9,-0x4000001,0x48,24,c.sw,0) - -inst_7: -// rs1==x12, rs2==x11, rs2_val == -33554433, imm_val == 84 -// opcode:c.sw; op1:x12; op2:x11; op2val:-0x2000001; immval:0x54 -TEST_STORE(x1,x2,0,x12,x11,-0x2000001,0x54,28,c.sw,0) - -inst_8: -// rs2_val == -16777217, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x1000001; immval:0x7c -TEST_STORE(x1,x2,0,x10,x11,-0x1000001,0x7c,32,c.sw,0) - -inst_9: -// rs2_val == -8388609, imm_val == 4 -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x800001; immval:0x4 -TEST_STORE(x1,x2,0,x10,x11,-0x800001,0x4,36,c.sw,0) - -inst_10: -// rs2_val == -4194305, imm_val == 60 -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x400001; immval:0x3c -TEST_STORE(x1,x2,0,x10,x11,-0x400001,0x3c,40,c.sw,0) - -inst_11: -// rs2_val == -2097153, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x200001; immval:0x30 -TEST_STORE(x1,x2,0,x10,x11,-0x200001,0x30,44,c.sw,0) - -inst_12: -// rs2_val == -1048577, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x100001; immval:0x7c -TEST_STORE(x1,x2,0,x10,x11,-0x100001,0x7c,48,c.sw,0) - -inst_13: -// rs2_val == -524289, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x80001; immval:0x18 -TEST_STORE(x1,x2,0,x10,x11,-0x80001,0x18,52,c.sw,0) - -inst_14: -// rs2_val == -262145, imm_val == 40 -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x40001; immval:0x28 -TEST_STORE(x1,x2,0,x10,x11,-0x40001,0x28,56,c.sw,0) - -inst_15: -// rs2_val == -131073, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x20001; immval:0x7c -TEST_STORE(x1,x2,0,x10,x11,-0x20001,0x7c,60,c.sw,0) - -inst_16: -// rs2_val == -65537, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x10001; immval:0x14 -TEST_STORE(x1,x2,0,x10,x11,-0x10001,0x14,64,c.sw,0) - -inst_17: -// rs2_val == -32769, imm_val == 64 -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x8001; immval:0x40 -TEST_STORE(x1,x2,0,x10,x11,-0x8001,0x40,68,c.sw,0) - -inst_18: -// rs2_val == -8193, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x2001; immval:0x0 -TEST_STORE(x1,x2,0,x10,x11,-0x2001,0x0,72,c.sw,0) - -inst_19: -// rs2_val == -4097, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x1001; immval:0x48 -TEST_STORE(x1,x2,0,x10,x11,-0x1001,0x48,76,c.sw,0) - -inst_20: -// rs2_val == -2049, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x801; immval:0x24 -TEST_STORE(x1,x2,0,x10,x11,-0x801,0x24,80,c.sw,0) - -inst_21: -// rs2_val == -1025, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x401; immval:0x28 -TEST_STORE(x1,x2,0,x10,x11,-0x401,0x28,84,c.sw,0) - -inst_22: -// rs2_val == -513, imm_val == 120 -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x201; immval:0x78 -TEST_STORE(x1,x2,0,x10,x11,-0x201,0x78,88,c.sw,0) - -inst_23: -// rs2_val == -257, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x101; immval:0x24 -TEST_STORE(x1,x2,0,x10,x11,-0x101,0x24,92,c.sw,0) - -inst_24: -// rs2_val == -129, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x81; immval:0x4c -TEST_STORE(x1,x2,0,x10,x11,-0x81,0x4c,96,c.sw,0) - -inst_25: -// rs2_val == -65, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x41; immval:0x14 -TEST_STORE(x1,x2,0,x10,x11,-0x41,0x14,100,c.sw,0) - -inst_26: -// rs2_val == -33, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x21; immval:0x28 -TEST_STORE(x1,x2,0,x10,x11,-0x21,0x28,104,c.sw,0) - -inst_27: -// rs2_val == -17, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x11; immval:0x34 -TEST_STORE(x1,x2,0,x10,x11,-0x11,0x34,108,c.sw,0) - -inst_28: -// rs2_val == -9, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x9; immval:0x28 -TEST_STORE(x1,x2,0,x10,x11,-0x9,0x28,112,c.sw,0) - -inst_29: -// rs2_val == -5, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x5; immval:0xc -TEST_STORE(x1,x2,0,x10,x11,-0x5,0xc,116,c.sw,0) - -inst_30: -// rs2_val == -3, imm_val == 108 -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x3; immval:0x6c -TEST_STORE(x1,x2,0,x10,x11,-0x3,0x6c,120,c.sw,0) - -inst_31: -// rs2_val == -2, imm_val == 92 -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x2; immval:0x5c -TEST_STORE(x1,x2,0,x10,x11,-0x2,0x5c,124,c.sw,0) - -inst_32: -// imm_val == 116, rs2_val == 32768 -// opcode:c.sw; op1:x10; op2:x11; op2val:0x8000; immval:0x74 -TEST_STORE(x1,x2,0,x10,x11,0x8000,0x74,128,c.sw,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x80000000; immval:0x7c -TEST_STORE(x1,x2,0,x10,x11,-0x80000000,0x7c,132,c.sw,0) - -inst_34: -// rs2_val == 1073741824, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x40000000; immval:0x38 -TEST_STORE(x1,x2,0,x10,x11,0x40000000,0x38,136,c.sw,0) - -inst_35: -// rs2_val == 536870912, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x20000000; immval:0x7c -TEST_STORE(x1,x2,0,x10,x11,0x20000000,0x7c,140,c.sw,0) - -inst_36: -// rs2_val == 268435456, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x10000000; immval:0x1c -TEST_STORE(x1,x2,0,x10,x11,0x10000000,0x1c,144,c.sw,0) - -inst_37: -// rs2_val == 134217728, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x8000000; immval:0x5c -TEST_STORE(x1,x2,0,x10,x11,0x8000000,0x5c,148,c.sw,0) - -inst_38: -// rs2_val == 32, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x20; immval:0x2c -TEST_STORE(x1,x2,0,x10,x11,0x20,0x2c,152,c.sw,0) - -inst_39: -// rs2_val == 16, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x10; immval:0x30 -TEST_STORE(x1,x2,0,x10,x11,0x10,0x30,156,c.sw,0) - -inst_40: -// rs2_val == 8, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x8; immval:0x24 -TEST_STORE(x1,x2,0,x10,x11,0x8,0x24,160,c.sw,0) - -inst_41: -// rs2_val == 4, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x4; immval:0x7c -TEST_STORE(x1,x2,0,x10,x11,0x4,0x7c,164,c.sw,0) - -inst_42: -// rs2_val == 2, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x2; immval:0x34 -TEST_STORE(x1,x2,0,x10,x11,0x2,0x34,168,c.sw,0) - -inst_43: -// rs2_val == 1, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x1; immval:0x54 -TEST_STORE(x1,x2,0,x10,x11,0x1,0x54,172,c.sw,0) - -inst_44: -// imm_val == 16, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x100001; immval:0x10 -TEST_STORE(x1,x2,0,x10,x11,-0x100001,0x10,176,c.sw,0) - -inst_45: -// imm_val == 8, rs2_val == 524288 -// opcode:c.sw; op1:x10; op2:x11; op2val:0x80000; immval:0x8 -TEST_STORE(x1,x2,0,x10,x11,0x80000,0x8,180,c.sw,0) - -inst_46: -// rs2_val == -1431655766, -// opcode:c.sw; op1:x10; op2:x11; op2val:-0x55555556; immval:0x3c -TEST_STORE(x1,x2,0,x10,x11,-0x55555556,0x3c,184,c.sw,0) - -inst_47: -// rs2_val == 1431655765, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x55555555; immval:0x10 -TEST_STORE(x1,x2,0,x10,x11,0x55555555,0x10,188,c.sw,0) - -inst_48: -// rs2_val == 0, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x0; immval:0x38 -TEST_STORE(x1,x2,0,x10,x11,0x0,0x38,192,c.sw,0) - -inst_49: -// rs2_val == 67108864, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x4000000; immval:0xc -TEST_STORE(x1,x2,0,x10,x11,0x4000000,0xc,196,c.sw,0) - -inst_50: -// rs2_val == 33554432, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x2000000; immval:0x3c -TEST_STORE(x1,x2,0,x10,x11,0x2000000,0x3c,200,c.sw,0) - -inst_51: -// rs2_val == 16777216, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x1000000; immval:0x20 -TEST_STORE(x1,x2,0,x10,x11,0x1000000,0x20,204,c.sw,0) - -inst_52: -// rs2_val == 8388608, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x800000; immval:0x10 -TEST_STORE(x1,x2,0,x10,x11,0x800000,0x10,208,c.sw,0) - -inst_53: -// rs2_val == 4194304, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x400000; immval:0x0 -TEST_STORE(x1,x2,0,x10,x11,0x400000,0x0,212,c.sw,0) - -inst_54: -// rs2_val == 2097152, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x200000; immval:0x24 -TEST_STORE(x1,x2,0,x10,x11,0x200000,0x24,216,c.sw,0) - -inst_55: -// rs2_val == 1048576, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x100000; immval:0x34 -TEST_STORE(x1,x2,0,x10,x11,0x100000,0x34,220,c.sw,0) - -inst_56: -// rs2_val == 262144, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x40000; immval:0x14 -TEST_STORE(x1,x2,0,x10,x11,0x40000,0x14,224,c.sw,0) - -inst_57: -// rs2_val == 131072, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x20000; immval:0x20 -TEST_STORE(x1,x2,0,x10,x11,0x20000,0x20,228,c.sw,0) - -inst_58: -// rs2_val == 65536, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x10000; immval:0x44 -TEST_STORE(x1,x2,0,x10,x11,0x10000,0x44,232,c.sw,0) - -inst_59: -// rs2_val == 16384, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x4000; immval:0x20 -TEST_STORE(x1,x2,0,x10,x11,0x4000,0x20,236,c.sw,0) - -inst_60: -// rs2_val == 8192, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x2000; immval:0x78 -TEST_STORE(x1,x2,0,x10,x11,0x2000,0x78,240,c.sw,0) - -inst_61: -// rs2_val == 4096, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x1000; immval:0x7c -TEST_STORE(x1,x2,0,x10,x11,0x1000,0x7c,244,c.sw,0) - -inst_62: -// rs2_val == 2048, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x800; immval:0x6c -TEST_STORE(x1,x2,0,x10,x11,0x800,0x6c,248,c.sw,0) - -inst_63: -// rs2_val == 1024, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x400; immval:0x4c -TEST_STORE(x1,x2,0,x10,x11,0x400,0x4c,252,c.sw,0) - -inst_64: -// rs2_val == 512, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x200; immval:0x5c -TEST_STORE(x1,x2,0,x10,x11,0x200,0x5c,256,c.sw,0) - -inst_65: -// rs2_val == 256, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x100; immval:0x28 -TEST_STORE(x1,x2,0,x10,x11,0x100,0x28,260,c.sw,0) - -inst_66: -// rs2_val == 128, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x80; immval:0x78 -TEST_STORE(x1,x2,0,x10,x11,0x80,0x78,264,c.sw,0) - -inst_67: -// rs2_val == 64, -// opcode:c.sw; op1:x10; op2:x11; op2val:0x40; immval:0x3c -TEST_STORE(x1,x2,0,x10,x11,0x40,0x3c,268,c.sw,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 68*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cswsp-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cswsp-01.S deleted file mode 100644 index ce3908049..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cswsp-01.S +++ /dev/null @@ -1,425 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Sep 13 07:01:20 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.swsp instruction of the RISC-V C extension for the cswsp covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cswsp) - -RVTEST_SIGBASE( x8,signature_x8_1) - -inst_0: -// rs2==x12, imm_val == 0, -// opcode:c.swsp; op1:x2; op2:x12; op2val:0x3; immval:0x0 -TEST_STORE(x8,x9,0,x2,x12,0x3,0x0,0,c.swsp,0) - -inst_1: -// rs2==x1, rs2_val == 2147483647, imm_val > 0, rs2_val == (2**(xlen-1)-1), imm_val == 236 -// opcode:c.swsp; op1:x2; op2:x1; op2val:0x7fffffff; immval:0xec -TEST_STORE(x8,x9,0,x2,x1,0x7fffffff,0xec,4,c.swsp,0) - -inst_2: -// rs2==x7, rs2_val == -1073741825, -// opcode:c.swsp; op1:x2; op2:x7; op2val:-0x40000001; immval:0x48 -TEST_STORE(x8,x9,0,x2,x7,-0x40000001,0x48,8,c.swsp,0) - -inst_3: -// rs2==x13, rs2_val == -536870913, -// opcode:c.swsp; op1:x2; op2:x13; op2val:-0x20000001; immval:0x48 -TEST_STORE(x8,x9,0,x2,x13,-0x20000001,0x48,12,c.swsp,0) - -inst_4: -// rs2==x2, rs2_val == -268435457, imm_val == 8 -// opcode:c.swsp; op1:x2; op2:x2; op2val:-0x10000001; immval:0x8 -TEST_STORE(x8,x9,0,x2,x2,-0x10000001,0x8,16,c.swsp,0) - -inst_5: -// rs2==x4, rs2_val == -134217729, -// opcode:c.swsp; op1:x2; op2:x4; op2val:-0x8000001; immval:0x28 -TEST_STORE(x8,x9,0,x2,x4,-0x8000001,0x28,20,c.swsp,0) - -inst_6: -// rs2==x10, rs2_val == -67108865, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x4000001; immval:0x4c -TEST_STORE(x8,x9,0,x2,x10,-0x4000001,0x4c,24,c.swsp,0) - -inst_7: -// rs2==x15, rs2_val == -33554433, -// opcode:c.swsp; op1:x2; op2:x15; op2val:-0x2000001; immval:0x18 -TEST_STORE(x8,x9,0,x2,x15,-0x2000001,0x18,28,c.swsp,0) - -inst_8: -// rs2==x5, rs2_val == -16777217, imm_val == 244 -// opcode:c.swsp; op1:x2; op2:x5; op2val:-0x1000001; immval:0xf4 -TEST_STORE(x8,x9,0,x2,x5,-0x1000001,0xf4,32,c.swsp,0) - -inst_9: -// rs2==x3, rs2_val == -8388609, -// opcode:c.swsp; op1:x2; op2:x3; op2val:-0x800001; immval:0x4c -TEST_STORE(x8,x9,0,x2,x3,-0x800001,0x4c,36,c.swsp,0) - -inst_10: -// rs2==x6, rs2_val == -4194305, -// opcode:c.swsp; op1:x2; op2:x6; op2val:-0x400001; immval:0x30 -TEST_STORE(x8,x9,0,x2,x6,-0x400001,0x30,40,c.swsp,0) - -inst_11: -// rs2==x9, rs2_val == -2097153, imm_val == 128 -// opcode:c.swsp; op1:x2; op2:x9; op2val:-0x200001; immval:0x80 -TEST_STORE(x8,x3,0,x2,x9,-0x200001,0x80,44,c.swsp,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_12: -// rs2==x11, rs2_val == -1048577, imm_val == 124 -// opcode:c.swsp; op1:x2; op2:x11; op2val:-0x100001; immval:0x7c -TEST_STORE(x1,x3,0,x2,x11,-0x100001,0x7c,0,c.swsp,0) - -inst_13: -// rs2==x14, rs2_val == -524289, -// opcode:c.swsp; op1:x2; op2:x14; op2val:-0x80001; immval:0x34 -TEST_STORE(x1,x3,0,x2,x14,-0x80001,0x34,4,c.swsp,0) - -inst_14: -// rs2==x8, rs2_val == -262145, imm_val == 168 -// opcode:c.swsp; op1:x2; op2:x8; op2val:-0x40001; immval:0xa8 -TEST_STORE(x1,x3,0,x2,x8,-0x40001,0xa8,8,c.swsp,0) - -inst_15: -// rs2_val == -131073, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x20001; immval:0xf4 -TEST_STORE(x1,x3,0,x2,x10,-0x20001,0xf4,12,c.swsp,0) - -inst_16: -// rs2_val == -65537, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x10001; immval:0x3c -TEST_STORE(x1,x3,0,x2,x10,-0x10001,0x3c,16,c.swsp,0) - -inst_17: -// rs2_val == -32769, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x8001; immval:0x1c -TEST_STORE(x1,x3,0,x2,x10,-0x8001,0x1c,20,c.swsp,0) - -inst_18: -// rs2_val == -16385, imm_val == 4 -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x4001; immval:0x4 -TEST_STORE(x1,x3,0,x2,x10,-0x4001,0x4,24,c.swsp,0) - -inst_19: -// rs2_val == -8193, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x2001; immval:0xa8 -TEST_STORE(x1,x3,0,x2,x10,-0x2001,0xa8,28,c.swsp,0) - -inst_20: -// rs2_val == -4097, imm_val == 248 -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x1001; immval:0xf8 -TEST_STORE(x1,x3,0,x2,x10,-0x1001,0xf8,32,c.swsp,0) - -inst_21: -// rs2_val == -2049, imm_val == 64 -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x801; immval:0x40 -TEST_STORE(x1,x3,0,x2,x10,-0x801,0x40,36,c.swsp,0) - -inst_22: -// rs2_val == -1025, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x401; immval:0xc -TEST_STORE(x1,x3,0,x2,x10,-0x401,0xc,40,c.swsp,0) - -inst_23: -// rs2_val == -513, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x201; immval:0x38 -TEST_STORE(x1,x3,0,x2,x10,-0x201,0x38,44,c.swsp,0) - -inst_24: -// rs2_val == -257, imm_val == 220 -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x101; immval:0xdc -TEST_STORE(x1,x3,0,x2,x10,-0x101,0xdc,48,c.swsp,0) - -inst_25: -// rs2_val == -129, imm_val == 32 -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x81; immval:0x20 -TEST_STORE(x1,x3,0,x2,x10,-0x81,0x20,52,c.swsp,0) - -inst_26: -// rs2_val == -65, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x41; immval:0x34 -TEST_STORE(x1,x3,0,x2,x10,-0x41,0x34,56,c.swsp,0) - -inst_27: -// rs2_val == -33, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x21; immval:0xf8 -TEST_STORE(x1,x3,0,x2,x10,-0x21,0xf8,60,c.swsp,0) - -inst_28: -// rs2_val == -17, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x11; immval:0x4c -TEST_STORE(x1,x3,0,x2,x10,-0x11,0x4c,64,c.swsp,0) - -inst_29: -// rs2_val == -9, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x9; immval:0x30 -TEST_STORE(x1,x3,0,x2,x10,-0x9,0x30,68,c.swsp,0) - -inst_30: -// rs2_val == -5, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x5; immval:0x3c -TEST_STORE(x1,x3,0,x2,x10,-0x5,0x3c,72,c.swsp,0) - -inst_31: -// rs2_val == -3, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x3; immval:0x80 -TEST_STORE(x1,x3,0,x2,x10,-0x3,0x80,76,c.swsp,0) - -inst_32: -// rs2_val == -2, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x2; immval:0x24 -TEST_STORE(x1,x3,0,x2,x10,-0x2,0x24,80,c.swsp,0) - -inst_33: -// imm_val == 188, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x8001; immval:0xbc -TEST_STORE(x1,x3,0,x2,x10,-0x8001,0xbc,84,c.swsp,0) - -inst_34: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x80000000; immval:0x20 -TEST_STORE(x1,x3,0,x2,x10,-0x80000000,0x20,88,c.swsp,0) - -inst_35: -// rs2_val == 1073741824, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x40000000; immval:0x38 -TEST_STORE(x1,x3,0,x2,x10,0x40000000,0x38,92,c.swsp,0) - -inst_36: -// rs2_val == 536870912, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x20000000; immval:0x7c -TEST_STORE(x1,x3,0,x2,x10,0x20000000,0x7c,96,c.swsp,0) - -inst_37: -// rs2_val == 268435456, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x10000000; immval:0xfc -TEST_STORE(x1,x3,0,x2,x10,0x10000000,0xfc,100,c.swsp,0) - -inst_38: -// rs2_val == 134217728, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x8000000; immval:0x48 -TEST_STORE(x1,x3,0,x2,x10,0x8000000,0x48,104,c.swsp,0) - -inst_39: -// rs2_val == 67108864, imm_val == 84 -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x4000000; immval:0x54 -TEST_STORE(x1,x3,0,x2,x10,0x4000000,0x54,108,c.swsp,0) - -inst_40: -// rs2_val == 64, imm_val == 16 -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x40; immval:0x10 -TEST_STORE(x1,x3,0,x2,x10,0x40,0x10,112,c.swsp,0) - -inst_41: -// rs2_val == 32, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x20; immval:0x14 -TEST_STORE(x1,x3,0,x2,x10,0x20,0x14,116,c.swsp,0) - -inst_42: -// rs2_val == 16, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x10; immval:0x4c -TEST_STORE(x1,x3,0,x2,x10,0x10,0x4c,120,c.swsp,0) - -inst_43: -// rs2_val == 8, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x8; immval:0x4c -TEST_STORE(x1,x3,0,x2,x10,0x8,0x4c,124,c.swsp,0) - -inst_44: -// rs2_val == 4, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x4; immval:0xf8 -TEST_STORE(x1,x3,0,x2,x10,0x4,0xf8,128,c.swsp,0) - -inst_45: -// rs2_val == 2, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x2; immval:0x2c -TEST_STORE(x1,x3,0,x2,x10,0x2,0x2c,132,c.swsp,0) - -inst_46: -// rs2_val == 1, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x1; immval:0xbc -TEST_STORE(x1,x3,0,x2,x10,0x1,0xbc,136,c.swsp,0) - -inst_47: -// rs2_val == -1431655766, -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x55555556; immval:0xdc -TEST_STORE(x1,x3,0,x2,x10,-0x55555556,0xdc,140,c.swsp,0) - -inst_48: -// rs2_val == 1431655765, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x55555555; immval:0x4c -TEST_STORE(x1,x3,0,x2,x10,0x55555555,0x4c,144,c.swsp,0) - -inst_49: -// rs2_val == 0, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x0; immval:0x40 -TEST_STORE(x1,x3,0,x2,x10,0x0,0x40,148,c.swsp,0) - -inst_50: -// rs2_val == 33554432, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x2000000; immval:0x4 -TEST_STORE(x1,x3,0,x2,x10,0x2000000,0x4,152,c.swsp,0) - -inst_51: -// rs2_val == 16777216, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x1000000; immval:0x7c -TEST_STORE(x1,x3,0,x2,x10,0x1000000,0x7c,156,c.swsp,0) - -inst_52: -// rs2_val == 8388608, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x800000; immval:0x18 -TEST_STORE(x1,x3,0,x2,x10,0x800000,0x18,160,c.swsp,0) - -inst_53: -// rs2_val == 4194304, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x400000; immval:0x40 -TEST_STORE(x1,x3,0,x2,x10,0x400000,0x40,164,c.swsp,0) - -inst_54: -// rs2_val == 2097152, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x200000; immval:0x54 -TEST_STORE(x1,x3,0,x2,x10,0x200000,0x54,168,c.swsp,0) - -inst_55: -// rs2_val == 1048576, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x100000; immval:0x34 -TEST_STORE(x1,x3,0,x2,x10,0x100000,0x34,172,c.swsp,0) - -inst_56: -// rs2_val == 524288, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x80000; immval:0x20 -TEST_STORE(x1,x3,0,x2,x10,0x80000,0x20,176,c.swsp,0) - -inst_57: -// rs2_val == 262144, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x40000; immval:0x44 -TEST_STORE(x1,x3,0,x2,x10,0x40000,0x44,180,c.swsp,0) - -inst_58: -// rs2_val == 131072, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x20000; immval:0xa8 -TEST_STORE(x1,x3,0,x2,x10,0x20000,0xa8,184,c.swsp,0) - -inst_59: -// rs2_val == 65536, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x10000; immval:0x3c -TEST_STORE(x1,x3,0,x2,x10,0x10000,0x3c,188,c.swsp,0) - -inst_60: -// rs2_val == 32768, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x8000; immval:0x34 -TEST_STORE(x1,x3,0,x2,x10,0x8000,0x34,192,c.swsp,0) - -inst_61: -// rs2_val == 16384, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x4000; immval:0xc -TEST_STORE(x1,x3,0,x2,x10,0x4000,0xc,196,c.swsp,0) - -inst_62: -// rs2_val == 8192, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x2000; immval:0x4 -TEST_STORE(x1,x3,0,x2,x10,0x2000,0x4,200,c.swsp,0) - -inst_63: -// rs2_val == 4096, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x1000; immval:0x30 -TEST_STORE(x1,x3,0,x2,x10,0x1000,0x30,204,c.swsp,0) - -inst_64: -// rs2_val == 2048, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x800; immval:0x38 -TEST_STORE(x1,x3,0,x2,x10,0x800,0x38,208,c.swsp,0) - -inst_65: -// rs2_val == 1024, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x400; immval:0x10 -TEST_STORE(x1,x3,0,x2,x10,0x400,0x10,212,c.swsp,0) - -inst_66: -// rs2_val == 512, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x200; immval:0x3c -TEST_STORE(x1,x3,0,x2,x10,0x200,0x3c,216,c.swsp,0) - -inst_67: -// rs2_val == 256, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x100; immval:0x1c -TEST_STORE(x1,x3,0,x2,x10,0x100,0x1c,220,c.swsp,0) - -inst_68: -// rs2_val == 128, -// opcode:c.swsp; op1:x2; op2:x10; op2val:0x80; immval:0xf8 -TEST_STORE(x1,x3,0,x2,x10,0x80,0xf8,224,c.swsp,0) - -inst_69: -// rs2_val == -268435457, imm_val == 8 -// opcode:c.swsp; op1:x2; op2:x10; op2val:-0x10000001; immval:0x8 -TEST_STORE(x1,x3,0,x2,x10,-0x10000001,0x8,228,c.swsp,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x8_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x8_1: - .fill 12*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 58*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cxor-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cxor-01.S deleted file mode 100644 index d53cb36ba..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/C/src/cxor-01.S +++ /dev/null @@ -1,2975 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 06:39:00 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32ec.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the c.xor instruction of the RISC-V C extension for the cxor covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EC") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*C.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",cxor) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2, rs1==x14, rs2==x10, rs1_val == (-2**(xlen-1)), rs2_val == -32769, rs2_val < 0, rs1_val == -2147483648 -// opcode: c.xor; op1:x14; op2:x10; op1val:-0x80000000; op2val:-0x8001 -TEST_CR_OP( c.xor, x14, x10, 0x7fff7fff, -0x80000000, -0x8001, x1, 0, x2) - -inst_1: -// rs1 == rs2, rs1==x8, rs2==x8, rs2_val == 2147483647, rs1_val == 64, rs2_val == (2**(xlen-1)-1), rs2_val > 0 -// opcode: c.xor; op1:x8; op2:x8; op1val:0x40; op2val:0x40 -TEST_CR_OP( c.xor, x8, x8, 0x0, 0x40, 0x40, x1, 4, x2) - -inst_2: -// rs1==x10, rs2==x13, rs2_val == -1073741825, rs1_val == 1073741824 -// opcode: c.xor; op1:x10; op2:x13; op1val:0x40000000; op2val:-0x40000001 -TEST_CR_OP( c.xor, x10, x13, 0xffffffff, 0x40000000, -0x40000001, x1, 8, x2) - -inst_3: -// rs1==x13, rs2==x9, rs2_val == -536870913, rs1_val == 32 -// opcode: c.xor; op1:x13; op2:x9; op1val:0x20; op2val:-0x20000001 -TEST_CR_OP( c.xor, x13, x9, 0xdfffffdf, 0x20, -0x20000001, x1, 12, x2) - -inst_4: -// rs1==x12, rs2==x11, rs2_val == -268435457, -// opcode: c.xor; op1:x12; op2:x11; op1val:-0xb504; op2val:-0x10000001 -TEST_CR_OP( c.xor, x12, x11, 0x1000b503, -0xb504, -0x10000001, x1, 16, x2) - -inst_5: -// rs1==x11, rs2==x12, rs2_val == -134217729, rs1_val == -8193 -// opcode: c.xor; op1:x11; op2:x12; op1val:-0x2001; op2val:-0x8000001 -TEST_CR_OP( c.xor, x11, x12, 0x8002000, -0x2001, -0x8000001, x1, 20, x2) - -inst_6: -// rs1==x9, rs2==x15, rs2_val == -67108865, rs1_val == -134217729 -// opcode: c.xor; op1:x9; op2:x15; op1val:-0x8000001; op2val:-0x4000001 -TEST_CR_OP( c.xor, x9, x15, 0xc000000, -0x8000001, -0x4000001, x1, 24, x2) - -inst_7: -// rs1==x15, rs2==x14, rs2_val == -33554433, rs1_val == -2049 -// opcode: c.xor; op1:x15; op2:x14; op1val:-0x801; op2val:-0x2000001 -TEST_CR_OP( c.xor, x15, x14, 0x2000800, -0x801, -0x2000001, x1, 28, x2) - -inst_8: -// rs2_val == -16777217, rs1_val == 1048576 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x100000; op2val:-0x1000001 -TEST_CR_OP( c.xor, x10, x11, 0xfeefffff, 0x100000, -0x1000001, x1, 32, x2) - -inst_9: -// rs2_val == -8388609, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:-0x800001 -TEST_CR_OP( c.xor, x10, x11, 0xaa2aaaab, 0x55555554, -0x800001, x1, 36, x2) - -inst_10: -// rs2_val == -4194305, rs1_val == -262145 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x40001; op2val:-0x400001 -TEST_CR_OP( c.xor, x10, x11, 0x440000, -0x40001, -0x400001, x1, 40, x2) - -inst_11: -// rs2_val == -2097153, rs1_val == 256 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x100; op2val:-0x200001 -TEST_CR_OP( c.xor, x10, x11, 0xffdffeff, 0x100, -0x200001, x1, 44, x2) - -inst_12: -// rs2_val == -1048577, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x7; op2val:-0x100001 -TEST_CR_OP( c.xor, x10, x11, 0x100006, -0x7, -0x100001, x1, 48, x2) - -inst_13: -// rs2_val == -524289, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:-0x80001 -TEST_CR_OP( c.xor, x10, x11, 0xfff7fff9, 0x6, -0x80001, x1, 52, x2) - -inst_14: -// rs2_val == -262145, rs1_val == 134217728 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x8000000; op2val:-0x40001 -TEST_CR_OP( c.xor, x10, x11, 0xf7fbffff, 0x8000000, -0x40001, x1, 56, x2) - -inst_15: -// rs2_val == -131073, rs1_val == -3 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x3; op2val:-0x20001 -TEST_CR_OP( c.xor, x10, x11, 0x20002, -0x3, -0x20001, x1, 60, x2) - -inst_16: -// rs2_val == -65537, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x9; op2val:-0x10001 -TEST_CR_OP( c.xor, x10, x11, 0xfffefff6, 0x9, -0x10001, x1, 64, x2) - -inst_17: -// rs2_val == -16385, rs1_val == -4097 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x1001; op2val:-0x4001 -TEST_CR_OP( c.xor, x10, x11, 0x5000, -0x1001, -0x4001, x1, 68, x2) - -inst_18: -// rs2_val == -8193, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:-0x2001 -TEST_CR_OP( c.xor, x10, x11, 0xffffdffa, 0x5, -0x2001, x1, 72, x2) - -inst_19: -// rs2_val == -4097, rs1_val == 268435456 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x10000000; op2val:-0x1001 -TEST_CR_OP( c.xor, x10, x11, 0xefffefff, 0x10000000, -0x1001, x1, 76, x2) - -inst_20: -// rs2_val == -2049, rs1_val == -268435457 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x801 -TEST_CR_OP( c.xor, x10, x11, 0x10000800, -0x10000001, -0x801, x1, 80, x2) - -inst_21: -// rs2_val == -1025, rs1_val == 0 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:-0x401 -TEST_CR_OP( c.xor, x10, x11, 0xfffffbff, 0x0, -0x401, x1, 84, x2) - -inst_22: -// rs2_val == -513, rs1_val == 4194304 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x400000; op2val:-0x201 -TEST_CR_OP( c.xor, x10, x11, 0xffbffdff, 0x400000, -0x201, x1, 88, x2) - -inst_23: -// rs2_val == -257, rs1_val == -513 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x201; op2val:-0x101 -TEST_CR_OP( c.xor, x10, x11, 0x300, -0x201, -0x101, x1, 92, x2) - -inst_24: -// rs2_val == -129, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xa; op2val:-0x81 -TEST_CR_OP( c.xor, x10, x11, 0x89, -0xa, -0x81, x1, 96, x2) - -inst_25: -// rs2_val == -65, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:-0x41 -TEST_CR_OP( c.xor, x10, x11, 0xffffffba, 0x5, -0x41, x1, 100, x2) - -inst_26: -// rs2_val == -33, rs1_val == 524288 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x80000; op2val:-0x21 -TEST_CR_OP( c.xor, x10, x11, 0xfff7ffdf, 0x80000, -0x21, x1, 104, x2) - -inst_27: -// rs2_val == -17, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:-0x11 -TEST_CR_OP( c.xor, x10, x11, 0xffff4aec, 0xb503, -0x11, x1, 108, x2) - -inst_28: -// rs2_val == -9, rs1_val == -67108865 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x4000001; op2val:-0x9 -TEST_CR_OP( c.xor, x10, x11, 0x4000008, -0x4000001, -0x9, x1, 112, x2) - -inst_29: -// rs2_val == -5, rs1_val == -5 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x5; op2val:-0x5 -TEST_CR_OP( c.xor, x10, x11, 0x0, -0x5, -0x5, x1, 116, x2) - -inst_30: -// rs2_val == -3, rs1_val == 2097152 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x200000; op2val:-0x3 -TEST_CR_OP( c.xor, x10, x11, 0xffdffffd, 0x200000, -0x3, x1, 120, x2) - -inst_31: -// rs2_val == -2, rs1_val == 131072 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x20000; op2val:-0x2 -TEST_CR_OP( c.xor, x10, x11, 0xfffdfffe, 0x20000, -0x2, x1, 124, x2) - -inst_32: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1), rs2_val == 0 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x7fffffff; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x7fffffff, 0x7fffffff, 0x0, x1, 128, x2) - -inst_33: -// rs1_val == -1073741825, rs2_val == 131072 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x40000001; op2val:0x20000 -TEST_CR_OP( c.xor, x10, x11, 0xbffdffff, -0x40000001, 0x20000, x1, 132, x2) - -inst_34: -// rs1_val == -536870913, rs2_val == 1073741824 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x20000001; op2val:0x40000000 -TEST_CR_OP( c.xor, x10, x11, 0x9fffffff, -0x20000001, 0x40000000, x1, 136, x2) - -inst_35: -// rs1_val == -33554433, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x2000001; op2val:0x7 -TEST_CR_OP( c.xor, x10, x11, 0xfdfffff8, -0x2000001, 0x7, x1, 140, x2) - -inst_36: -// rs1_val == -16777217, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x1000001; op2val:0x7fffffff -TEST_CR_OP( c.xor, x10, x11, 0x81000000, -0x1000001, 0x7fffffff, x1, 144, x2) - -inst_37: -// rs1_val == -8388609, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x800001; op2val:-0x80001 -TEST_CR_OP( c.xor, x10, x11, 0x880000, -0x800001, -0x80001, x1, 148, x2) - -inst_38: -// rs1_val == -4194305, rs2_val == 4 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x400001; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0xffbffffb, -0x400001, 0x4, x1, 152, x2) - -inst_39: -// rs1_val == -2097153, rs2_val == 524288 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x200001; op2val:0x80000 -TEST_CR_OP( c.xor, x10, x11, 0xffd7ffff, -0x200001, 0x80000, x1, 156, x2) - -inst_40: -// rs1_val == -1048577, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x100001; op2val:-0x801 -TEST_CR_OP( c.xor, x10, x11, 0x100800, -0x100001, -0x801, x1, 160, x2) - -inst_41: -// rs1_val == -524289, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x80001; op2val:-0x40000001 -TEST_CR_OP( c.xor, x10, x11, 0x40080000, -0x80001, -0x40000001, x1, 164, x2) - -inst_42: -// rs1_val == -131073, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x20001; op2val:-0x2000001 -TEST_CR_OP( c.xor, x10, x11, 0x2020000, -0x20001, -0x2000001, x1, 168, x2) - -inst_43: -// rs1_val == -65537, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x10001; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0xfffefffb, -0x10001, 0x4, x1, 172, x2) - -inst_44: -// rs1_val == -32769, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x8001; op2val:-0x7 -TEST_CR_OP( c.xor, x10, x11, 0x8006, -0x8001, -0x7, x1, 176, x2) - -inst_45: -// rs1_val == -16385, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x4001; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0xffff0afa, -0x4001, 0xb505, x1, 180, x2) - -inst_46: -// rs1_val == -1025, rs2_val == 4194304 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x401; op2val:0x400000 -TEST_CR_OP( c.xor, x10, x11, 0xffbffbff, -0x401, 0x400000, x1, 184, x2) - -inst_47: -// rs1_val == -257, rs2_val == 2048 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x101; op2val:0x800 -TEST_CR_OP( c.xor, x10, x11, 0xfffff6ff, -0x101, 0x800, x1, 188, x2) - -inst_48: -// rs1_val == -129, rs2_val == 67108864 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x81; op2val:0x4000000 -TEST_CR_OP( c.xor, x10, x11, 0xfbffff7f, -0x81, 0x4000000, x1, 192, x2) - -inst_49: -// rs1_val == -65, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x41; op2val:0x7fffffff -TEST_CR_OP( c.xor, x10, x11, 0x80000040, -0x41, 0x7fffffff, x1, 196, x2) - -inst_50: -// rs1_val == -33, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x21; op2val:-0x401 -TEST_CR_OP( c.xor, x10, x11, 0x420, -0x21, -0x401, x1, 200, x2) - -inst_51: -// rs1_val == -17, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x11; op2val:0x7fffffff -TEST_CR_OP( c.xor, x10, x11, 0x80000010, -0x11, 0x7fffffff, x1, 204, x2) - -inst_52: -// rs1_val == -9, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x9; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x99999992, -0x9, 0x66666665, x1, 208, x2) - -inst_53: -// rs1_val == -2, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x2; op2val:0x9 -TEST_CR_OP( c.xor, x10, x11, 0xfffffff7, -0x2, 0x9, x1, 212, x2) - -inst_54: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:-0x80000000 -TEST_CR_OP( c.xor, x10, x11, 0xd5555556, 0x55555556, -0x80000000, x1, 216, x2) - -inst_55: -// rs2_val == 536870912, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x200000; op2val:0x20000000 -TEST_CR_OP( c.xor, x10, x11, 0x20200000, 0x200000, 0x20000000, x1, 220, x2) - -inst_56: -// rs2_val == 268435456, rs1_val == 4 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x10000000 -TEST_CR_OP( c.xor, x10, x11, 0x10000004, 0x4, 0x10000000, x1, 224, x2) - -inst_57: -// rs2_val == 134217728, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x8000000 -TEST_CR_OP( c.xor, x10, x11, 0x3b333334, 0x33333334, 0x8000000, x1, 228, x2) - -inst_58: -// rs2_val == 33554432, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x2000001; op2val:0x2000000 -TEST_CR_OP( c.xor, x10, x11, 0xffffffff, -0x2000001, 0x2000000, x1, 232, x2) - -inst_59: -// rs2_val == 16777216, rs1_val == 1431655765 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x1000000 -TEST_CR_OP( c.xor, x10, x11, 0x54555555, 0x55555555, 0x1000000, x1, 236, x2) - -inst_60: -// rs2_val == 8388608, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x800000 -TEST_CR_OP( c.xor, x10, x11, 0x33b33334, 0x33333334, 0x800000, x1, 240, x2) - -inst_61: -// rs2_val == 2097152, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x40001; op2val:0x200000 -TEST_CR_OP( c.xor, x10, x11, 0xffdbffff, -0x40001, 0x200000, x1, 244, x2) - -inst_62: -// rs2_val == 1048576, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x800001; op2val:0x100000 -TEST_CR_OP( c.xor, x10, x11, 0xff6fffff, -0x800001, 0x100000, x1, 248, x2) - -inst_63: -// rs2_val == 262144, rs1_val == -1431655766 -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x40000 -TEST_CR_OP( c.xor, x10, x11, 0xaaaeaaaa, -0x55555556, 0x40000, x1, 252, x2) - -inst_64: -// rs2_val == 65536, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x800001; op2val:0x10000 -TEST_CR_OP( c.xor, x10, x11, 0xff7effff, -0x800001, 0x10000, x1, 256, x2) - -inst_65: -// rs2_val == 32768, rs1_val == 67108864 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4000000; op2val:0x8000 -TEST_CR_OP( c.xor, x10, x11, 0x4008000, 0x4000000, 0x8000, x1, 260, x2) - -inst_66: -// rs2_val == 16384, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4000000; op2val:0x4000 -TEST_CR_OP( c.xor, x10, x11, 0x4004000, 0x4000000, 0x4000, x1, 264, x2) - -inst_67: -// rs2_val == 8192, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x2000 -TEST_CR_OP( c.xor, x10, x11, 0x55557555, 0x55555555, 0x2000, x1, 268, x2) - -inst_68: -// rs2_val == 4096, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x100001; op2val:0x1000 -TEST_CR_OP( c.xor, x10, x11, 0xffefefff, -0x100001, 0x1000, x1, 272, x2) - -inst_69: -// rs2_val == 1024, rs1_val == 65536 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x10000; op2val:0x400 -TEST_CR_OP( c.xor, x10, x11, 0x10400, 0x10000, 0x400, x1, 276, x2) - -inst_70: -// rs2_val == 512, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x8000000; op2val:0x200 -TEST_CR_OP( c.xor, x10, x11, 0x8000200, 0x8000000, 0x200, x1, 280, x2) - -inst_71: -// rs2_val == 256, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x80000; op2val:0x100 -TEST_CR_OP( c.xor, x10, x11, 0x80100, 0x80000, 0x100, x1, 284, x2) - -inst_72: -// rs2_val == 128, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x3; op2val:0x80 -TEST_CR_OP( c.xor, x10, x11, 0xffffff7d, -0x3, 0x80, x1, 288, x2) - -inst_73: -// rs2_val == 64, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x100; op2val:0x40 -TEST_CR_OP( c.xor, x10, x11, 0x140, 0x100, 0x40, x1, 292, x2) - -inst_74: -// rs2_val == 32, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x20 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaa8b, -0x55555555, 0x20, x1, 296, x2) - -inst_75: -// rs2_val == 16, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x10 -TEST_CR_OP( c.xor, x10, x11, 0x3fffffef, 0x3fffffff, 0x10, x1, 300, x2) - -inst_76: -// rs2_val == 8, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x400001; op2val:0x8 -TEST_CR_OP( c.xor, x10, x11, 0xffbffff7, -0x400001, 0x8, x1, 304, x2) - -inst_77: -// rs2_val == 2, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xa; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0xfffffff4, -0xa, 0x2, x1, 308, x2) - -inst_78: -// rs2_val == 1, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x3; op2val:0x1 -TEST_CR_OP( c.xor, x10, x11, 0xfffffffc, -0x3, 0x1, x1, 312, x2) - -inst_79: -// rs1_val == 536870912, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x20000000; op2val:-0x80000000 -TEST_CR_OP( c.xor, x10, x11, 0xa0000000, 0x20000000, -0x80000000, x1, 316, x2) - -inst_80: -// rs1_val == 33554432, rs2_val == 1431655765 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2000000; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x57555555, 0x2000000, 0x55555555, x1, 320, x2) - -inst_81: -// rs1_val == 16777216, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x1000000; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x32333332, 0x1000000, 0x33333332, x1, 324, x2) - -inst_82: -// rs1_val == 8388608, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x800000; op2val:-0x1000001 -TEST_CR_OP( c.xor, x10, x11, 0xfe7fffff, 0x800000, -0x1000001, x1, 328, x2) - -inst_83: -// rs1_val == 262144, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x40000; op2val:0x40000000 -TEST_CR_OP( c.xor, x10, x11, 0x40040000, 0x40000, 0x40000000, x1, 332, x2) - -inst_84: -// rs1_val == 32768, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x8000; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x6666e666, 0x8000, 0x66666666, x1, 336, x2) - -inst_85: -// rs1_val == 16384, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4000; op2val:-0x2001 -TEST_CR_OP( c.xor, x10, x11, 0xffff9fff, 0x4000, -0x2001, x1, 340, x2) - -inst_86: -// rs1_val == 8192, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2000; op2val:0x8000 -TEST_CR_OP( c.xor, x10, x11, 0xa000, 0x2000, 0x8000, x1, 344, x2) - -inst_87: -// rs1_val == 4096, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x1000; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xffff5afc, 0x1000, -0xb504, x1, 348, x2) - -inst_88: -// rs1_val == 2048, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x800; op2val:-0x401 -TEST_CR_OP( c.xor, x10, x11, 0xfffff3ff, 0x800, -0x401, x1, 352, x2) - -inst_89: -// rs1_val == 1024, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x400; op2val:0x8 -TEST_CR_OP( c.xor, x10, x11, 0x408, 0x400, 0x8, x1, 356, x2) - -inst_90: -// rs1_val == 512, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x200; op2val:-0x100001 -TEST_CR_OP( c.xor, x10, x11, 0xffeffdff, 0x200, -0x100001, x1, 360, x2) - -inst_91: -// rs1_val == 128, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x80; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xb584, 0x80, 0xb504, x1, 364, x2) - -inst_92: -// rs1_val == 16, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x10; op2val:-0x20000001 -TEST_CR_OP( c.xor, x10, x11, 0xdfffffef, 0x10, -0x20000001, x1, 368, x2) - -inst_93: -// rs1_val == 8, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x8; op2val:-0x1000001 -TEST_CR_OP( c.xor, x10, x11, 0xfefffff7, 0x8, -0x1000001, x1, 372, x2) - -inst_94: -// rs1_val == 2, rs1_val==2 and rs2_val==6 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x4, 0x2, 0x6, x1, 376, x2) - -inst_95: -// rs1_val == 1, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x1; op2val:-0x20001 -TEST_CR_OP( c.xor, x10, x11, 0xfffdfffe, 0x1, -0x20001, x1, 380, x2) - -inst_96: -// rs1_val==46341 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0xb505, 0xb505, x1, 384, x2) - -inst_97: -// rs1_val==46341 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xfffffff8, 0xb505, -0xb503, x1, 388, x2) - -inst_98: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x6666d362, 0xb505, 0x66666667, x1, 392, x2) - -inst_99: -// rs1_val==46341 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x33338631, 0xb505, 0x33333334, x1, 396, x2) - -inst_100: -// rs1_val==46341 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0xb503, 0xb505, 0x6, x1, 400, x2) - -inst_101: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fae, 0xb505, -0x55555555, x1, 404, x2) - -inst_102: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x5555e053, 0xb505, 0x55555556, x1, 408, x2) - -inst_103: -// rs1_val==46341 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0xb501, 0xb505, 0x4, x1, 412, x2) - -inst_104: -// rs1_val==46341 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x6, 0xb505, 0xb503, x1, 416, x2) - -inst_105: -// rs1_val==46341 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0xb505, 0xb505, 0x0, x1, 420, x2) - -inst_106: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x6666d360, 0xb505, 0x66666665, x1, 424, x2) - -inst_107: -// rs1_val==46341 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x33338637, 0xb505, 0x33333332, x1, 428, x2) - -inst_108: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x5555e051, 0xb505, 0x55555554, x1, 432, x2) - -inst_109: -// rs1_val==46341 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0xb507, 0xb505, 0x2, x1, 436, x2) - -inst_110: -// rs1_val==46341 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x1, 0xb505, 0xb504, x1, 440, x2) - -inst_111: -// rs1_val==46341 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xfffffff9, 0xb505, -0xb504, x1, 444, x2) - -inst_112: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x6666d363, 0xb505, 0x66666666, x1, 448, x2) - -inst_113: -// rs1_val==46341 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x33338636, 0xb505, 0x33333333, x1, 452, x2) - -inst_114: -// rs1_val==46341 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0xb500, 0xb505, 0x5, x1, 456, x2) - -inst_115: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1faf, 0xb505, -0x55555556, x1, 460, x2) - -inst_116: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x5555e050, 0xb505, 0x55555555, x1, 464, x2) - -inst_117: -// rs1_val==46341 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb505; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0xb506, 0xb505, 0x3, x1, 468, x2) - -inst_118: -// rs1_val==-46339 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0xfffffff8, -0xb503, 0xb505, x1, 472, x2) - -inst_119: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x0, -0xb503, -0xb503, x1, 476, x2) - -inst_120: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x99992c9a, -0xb503, 0x66666667, x1, 480, x2) - -inst_121: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0xcccc79c9, -0xb503, 0x33333334, x1, 484, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0xffff4afb, -0xb503, 0x6, x1, 488, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x5555e056, -0xb503, -0x55555555, x1, 492, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fab, -0xb503, 0x55555556, x1, 496, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 500, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xfffffffe, -0xb503, 0xb503, x1, 504, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 508, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x99992c98, -0xb503, 0x66666665, x1, 512, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0xcccc79cf, -0xb503, 0x33333332, x1, 516, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fa9, -0xb503, 0x55555554, x1, 520, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 524, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xfffffff9, -0xb503, 0xb504, x1, 528, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x1, -0xb503, -0xb504, x1, 532, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x99992c9b, -0xb503, 0x66666666, x1, 536, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0xcccc79ce, -0xb503, 0x33333333, x1, 540, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 544, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x5555e057, -0xb503, -0x55555556, x1, 548, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fa8, -0xb503, 0x55555555, x1, 552, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb503; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0xffff4afe, -0xb503, 0x3, x1, 556, x2) - -inst_140: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0x6666d362, 0x66666667, 0xb505, x1, 560, x2) - -inst_141: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x99992c9a, 0x66666667, -0xb503, x1, 564, x2) - -inst_142: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 568, x2) - -inst_143: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x55555553, 0x66666667, 0x33333334, x1, 572, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 576, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xcccccccc, 0x66666667, -0x55555555, x1, 580, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x33333331, 0x66666667, 0x55555556, x1, 584, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 588, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x6666d364, 0x66666667, 0xb503, x1, 592, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 596, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 600, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x55555555, 0x66666667, 0x33333332, x1, 604, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x33333333, 0x66666667, 0x55555554, x1, 608, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 612, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x6666d363, 0x66666667, 0xb504, x1, 616, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x99992c9b, 0x66666667, -0xb504, x1, 620, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 624, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x55555554, 0x66666667, 0x33333333, x1, 628, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 632, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xcccccccd, 0x66666667, -0x55555556, x1, 636, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x33333332, 0x66666667, 0x55555555, x1, 640, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666667; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 644, x2) - -inst_162: -// rs1_val==858993460 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0x33338631, 0x33333334, 0xb505, x1, 648, x2) - -inst_163: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xcccc79c9, 0x33333334, -0xb503, x1, 652, x2) - -inst_164: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x55555553, 0x33333334, 0x66666667, x1, 656, x2) - -inst_165: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 660, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x33333332, 0x33333334, 0x6, x1, 664, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x9999999f, 0x33333334, -0x55555555, x1, 668, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x66666662, 0x33333334, 0x55555556, x1, 672, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 676, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x33338637, 0x33333334, 0xb503, x1, 680, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 684, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x55555551, 0x33333334, 0x66666665, x1, 688, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x6, 0x33333334, 0x33333332, x1, 692, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x66666660, 0x33333334, 0x55555554, x1, 696, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 700, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x33338630, 0x33333334, 0xb504, x1, 704, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xcccc79c8, 0x33333334, -0xb504, x1, 708, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x55555552, 0x33333334, 0x66666666, x1, 712, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x7, 0x33333334, 0x33333333, x1, 716, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x33333331, 0x33333334, 0x5, x1, 720, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x9999999e, 0x33333334, -0x55555556, x1, 724, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x66666661, 0x33333334, 0x55555555, x1, 728, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333334; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 732, x2) - -inst_184: -// rs1_val==6 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0xb503, 0x6, 0xb505, x1, 736, x2) - -inst_185: -// rs1_val==6 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xffff4afb, 0x6, -0xb503, x1, 740, x2) - -inst_186: -// rs1_val==6 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x66666661, 0x6, 0x66666667, x1, 744, x2) - -inst_187: -// rs1_val==6 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x33333332, 0x6, 0x33333334, x1, 748, x2) - -inst_188: -// rs1_val==6 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x6, 0x6, x1, 752, x2) - -inst_189: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaad, 0x6, -0x55555555, x1, 756, x2) - -inst_190: -// rs1_val==6 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x55555550, 0x6, 0x55555556, x1, 760, x2) - -inst_191: -// rs1_val==6 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x2, 0x6, 0x4, x1, 764, x2) - -inst_192: -// rs1_val==6 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xb505, 0x6, 0xb503, x1, 768, x2) - -inst_193: -// rs1_val==6 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x6, 0x6, 0x0, x1, 772, x2) - -inst_194: -// rs1_val==6 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x66666663, 0x6, 0x66666665, x1, 776, x2) - -inst_195: -// rs1_val==6 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x33333334, 0x6, 0x33333332, x1, 780, x2) - -inst_196: -// rs1_val==6 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x55555552, 0x6, 0x55555554, x1, 784, x2) - -inst_197: -// rs1_val==6 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x4, 0x6, 0x2, x1, 788, x2) - -inst_198: -// rs1_val==6 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xb502, 0x6, 0xb504, x1, 792, x2) - -inst_199: -// rs1_val==6 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xffff4afa, 0x6, -0xb504, x1, 796, x2) - -inst_200: -// rs1_val==6 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x66666660, 0x6, 0x66666666, x1, 800, x2) - -inst_201: -// rs1_val==6 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x33333335, 0x6, 0x33333333, x1, 804, x2) - -inst_202: -// rs1_val==6 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x3, 0x6, 0x5, x1, 808, x2) - -inst_203: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaac, 0x6, -0x55555556, x1, 812, x2) - -inst_204: -// rs1_val==6 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x55555553, 0x6, 0x55555555, x1, 816, x2) - -inst_205: -// rs1_val==6 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x6; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x5, 0x6, 0x3, x1, 820, x2) - -inst_206: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fae, -0x55555555, 0xb505, x1, 824, x2) - -inst_207: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x5555e056, -0x55555555, -0xb503, x1, 828, x2) - -inst_208: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0xcccccccc, -0x55555555, 0x66666667, x1, 832, x2) - -inst_209: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x9999999f, -0x55555555, 0x33333334, x1, 836, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaad, -0x55555555, 0x6, x1, 840, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 844, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xfffffffd, -0x55555555, 0x55555556, x1, 848, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 852, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fa8, -0x55555555, 0xb503, x1, 856, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 860, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0xccccccce, -0x55555555, 0x66666665, x1, 864, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x99999999, -0x55555555, 0x33333332, x1, 868, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 872, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 876, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1faf, -0x55555555, 0xb504, x1, 880, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x5555e057, -0x55555555, -0xb504, x1, 884, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0xcccccccd, -0x55555555, 0x66666666, x1, 888, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x99999998, -0x55555555, 0x33333333, x1, 892, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaae, -0x55555555, 0x5, x1, 896, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 900, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xfffffffe, -0x55555555, 0x55555555, x1, 904, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 908, x2) - -inst_228: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0x5555e053, 0x55555556, 0xb505, x1, 912, x2) - -inst_229: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fab, 0x55555556, -0xb503, x1, 916, x2) - -inst_230: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x33333331, 0x55555556, 0x66666667, x1, 920, x2) - -inst_231: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x66666662, 0x55555556, 0x33333334, x1, 924, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 928, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xfffffffd, 0x55555556, -0x55555555, x1, 932, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 936, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 940, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x5555e055, 0x55555556, 0xb503, x1, 944, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 948, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x33333333, 0x55555556, 0x66666665, x1, 952, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x66666664, 0x55555556, 0x33333332, x1, 956, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 960, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 964, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x5555e052, 0x55555556, 0xb504, x1, 968, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1faa, 0x55555556, -0xb504, x1, 972, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x33333330, 0x55555556, 0x66666666, x1, 976, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x66666665, 0x55555556, 0x33333333, x1, 980, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x55555553, 0x55555556, 0x5, x1, 984, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xfffffffc, 0x55555556, -0x55555556, x1, 988, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x3, 0x55555556, 0x55555555, x1, 992, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555556; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x55555555, 0x55555556, 0x3, x1, 996, x2) - -inst_250: -// rs1_val==4 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0xb501, 0x4, 0xb505, x1, 1000, x2) - -inst_251: -// rs1_val==4 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xffff4af9, 0x4, -0xb503, x1, 1004, x2) - -inst_252: -// rs1_val==4 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x66666663, 0x4, 0x66666667, x1, 1008, x2) - -inst_253: -// rs1_val==4 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x33333330, 0x4, 0x33333334, x1, 1012, x2) - -inst_254: -// rs1_val==4 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x2, 0x4, 0x6, x1, 1016, x2) - -inst_255: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 1020, x2) - -inst_256: -// rs1_val==4 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x55555552, 0x4, 0x55555556, x1, 1024, x2) - -inst_257: -// rs1_val==4 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x4, 0x4, x1, 1028, x2) - -inst_258: -// rs1_val==4 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xb507, 0x4, 0xb503, x1, 1032, x2) - -inst_259: -// rs1_val==4 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x4, 0x4, 0x0, x1, 1036, x2) - -inst_260: -// rs1_val==4 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x66666661, 0x4, 0x66666665, x1, 1040, x2) - -inst_261: -// rs1_val==4 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 1044, x2) - -inst_262: -// rs1_val==4 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x55555550, 0x4, 0x55555554, x1, 1048, x2) - -inst_263: -// rs1_val==4 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x6, 0x4, 0x2, x1, 1052, x2) - -inst_264: -// rs1_val==4 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xb500, 0x4, 0xb504, x1, 1056, x2) - -inst_265: -// rs1_val==4 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xffff4af8, 0x4, -0xb504, x1, 1060, x2) - -inst_266: -// rs1_val==4 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x66666662, 0x4, 0x66666666, x1, 1064, x2) - -inst_267: -// rs1_val==4 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1068, x2) - -inst_268: -// rs1_val==4 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x1, 0x4, 0x5, x1, 1072, x2) - -inst_269: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1076, x2) - -inst_270: -// rs1_val==4 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x55555551, 0x4, 0x55555555, x1, 1080, x2) - -inst_271: -// rs1_val==4 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x4; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x7, 0x4, 0x3, x1, 1084, x2) - -inst_272: -// rs1_val==46339 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0x6, 0xb503, 0xb505, x1, 1088, x2) - -inst_273: -// rs1_val==46339 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xfffffffe, 0xb503, -0xb503, x1, 1092, x2) - -inst_274: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x6666d364, 0xb503, 0x66666667, x1, 1096, x2) - -inst_275: -// rs1_val==46339 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x33338637, 0xb503, 0x33333334, x1, 1100, x2) - -inst_276: -// rs1_val==46339 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0xb505, 0xb503, 0x6, x1, 1104, x2) - -inst_277: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fa8, 0xb503, -0x55555555, x1, 1108, x2) - -inst_278: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x5555e055, 0xb503, 0x55555556, x1, 1112, x2) - -inst_279: -// rs1_val==46339 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0xb507, 0xb503, 0x4, x1, 1116, x2) - -inst_280: -// rs1_val==46339 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0xb503, 0xb503, x1, 1120, x2) - -inst_281: -// rs1_val==46339 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0xb503, 0xb503, 0x0, x1, 1124, x2) - -inst_282: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x6666d366, 0xb503, 0x66666665, x1, 1128, x2) - -inst_283: -// rs1_val==46339 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x33338631, 0xb503, 0x33333332, x1, 1132, x2) - -inst_284: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x5555e057, 0xb503, 0x55555554, x1, 1136, x2) - -inst_285: -// rs1_val==46339 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0xb501, 0xb503, 0x2, x1, 1140, x2) - -inst_286: -// rs1_val==46339 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x7, 0xb503, 0xb504, x1, 1144, x2) - -inst_287: -// rs1_val==46339 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1148, x2) - -inst_288: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x6666d365, 0xb503, 0x66666666, x1, 1152, x2) - -inst_289: -// rs1_val==46339 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x33338630, 0xb503, 0x33333333, x1, 1156, x2) - -inst_290: -// rs1_val==46339 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0xb506, 0xb503, 0x5, x1, 1160, x2) - -inst_291: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fa9, 0xb503, -0x55555556, x1, 1164, x2) - -inst_292: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x5555e056, 0xb503, 0x55555555, x1, 1168, x2) - -inst_293: -// rs1_val==46339 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb503; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0xb500, 0xb503, 0x3, x1, 1172, x2) - -inst_294: -// rs1_val==0 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0xb505, 0x0, 0xb505, x1, 1176, x2) - -inst_295: -// rs1_val==0 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1180, x2) - -inst_296: -// rs1_val==0 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1184, x2) - -inst_297: -// rs1_val==0 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1188, x2) - -inst_298: -// rs1_val==0 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x6, 0x0, 0x6, x1, 1192, x2) - -inst_299: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1196, x2) - -inst_300: -// rs1_val==0 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1200, x2) - -inst_301: -// rs1_val==0 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x4, 0x0, 0x4, x1, 1204, x2) - -inst_302: -// rs1_val==0 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xb503, 0x0, 0xb503, x1, 1208, x2) - -inst_303: -// rs1_val==0 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x0, 0x0, x1, 1212, x2) - -inst_304: -// rs1_val==0 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1216, x2) - -inst_305: -// rs1_val==0 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1220, x2) - -inst_306: -// rs1_val==0 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1224, x2) - -inst_307: -// rs1_val==0 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x2, 0x0, 0x2, x1, 1228, x2) - -inst_308: -// rs1_val==0 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xb504, 0x0, 0xb504, x1, 1232, x2) - -inst_309: -// rs1_val==0 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1236, x2) - -inst_310: -// rs1_val==0 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1240, x2) - -inst_311: -// rs1_val==0 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1244, x2) - -inst_312: -// rs1_val==0 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x5, 0x0, 0x5, x1, 1248, x2) - -inst_313: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1252, x2) - -inst_314: -// rs1_val==0 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1256, x2) - -inst_315: -// rs1_val==0 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x0; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x3, 0x0, 0x3, x1, 1260, x2) - -inst_316: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0x6666d360, 0x66666665, 0xb505, x1, 1264, x2) - -inst_317: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x99992c98, 0x66666665, -0xb503, x1, 1268, x2) - -inst_318: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x2, 0x66666665, 0x66666667, x1, 1272, x2) - -inst_319: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x55555551, 0x66666665, 0x33333334, x1, 1276, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x66666663, 0x66666665, 0x6, x1, 1280, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xccccccce, 0x66666665, -0x55555555, x1, 1284, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x33333333, 0x66666665, 0x55555556, x1, 1288, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1292, x2) - -inst_324: -// rs1_val==858993459 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1296, x2) - -inst_325: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x55555556, 0x33333333, 0x66666665, x1, 1300, x2) - -inst_326: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1304, x2) - -inst_327: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x66666667, 0x33333333, 0x55555554, x1, 1308, x2) - -inst_328: -// rs1_val==858993459 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1312, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x33338637, 0x33333333, 0xb504, x1, 1316, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xcccc79cf, 0x33333333, -0xb504, x1, 1320, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x55555555, 0x33333333, 0x66666666, x1, 1324, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1328, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x33333336, 0x33333333, 0x5, x1, 1332, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x99999999, 0x33333333, -0x55555556, x1, 1336, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x66666666, 0x33333333, 0x55555555, x1, 1340, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1344, x2) - -inst_337: -// rs1_val==5 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0xb500, 0x5, 0xb505, x1, 1348, x2) - -inst_338: -// rs1_val==5 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xffff4af8, 0x5, -0xb503, x1, 1352, x2) - -inst_339: -// rs1_val==5 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x66666662, 0x5, 0x66666667, x1, 1356, x2) - -inst_340: -// rs1_val==5 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x33333331, 0x5, 0x33333334, x1, 1360, x2) - -inst_341: -// rs1_val==5 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x3, 0x5, 0x6, x1, 1364, x2) - -inst_342: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaae, 0x5, -0x55555555, x1, 1368, x2) - -inst_343: -// rs1_val==5 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x55555553, 0x5, 0x55555556, x1, 1372, x2) - -inst_344: -// rs1_val==5 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x1, 0x5, 0x4, x1, 1376, x2) - -inst_345: -// rs1_val==5 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xb506, 0x5, 0xb503, x1, 1380, x2) - -inst_346: -// rs1_val==5 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x5, 0x5, 0x0, x1, 1384, x2) - -inst_347: -// rs1_val==5 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x66666660, 0x5, 0x66666665, x1, 1388, x2) - -inst_348: -// rs1_val==5 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1392, x2) - -inst_349: -// rs1_val==5 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x55555551, 0x5, 0x55555554, x1, 1396, x2) - -inst_350: -// rs1_val==5 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x7, 0x5, 0x2, x1, 1400, x2) - -inst_351: -// rs1_val==5 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xb501, 0x5, 0xb504, x1, 1404, x2) - -inst_352: -// rs1_val==5 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xffff4af9, 0x5, -0xb504, x1, 1408, x2) - -inst_353: -// rs1_val==5 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x66666663, 0x5, 0x66666666, x1, 1412, x2) - -inst_354: -// rs1_val==5 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x33333336, 0x5, 0x33333333, x1, 1416, x2) - -inst_355: -// rs1_val==5 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x5, 0x5, x1, 1420, x2) - -inst_356: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1424, x2) - -inst_357: -// rs1_val==5 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x55555550, 0x5, 0x55555555, x1, 1428, x2) - -inst_358: -// rs1_val==5 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x5; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x6, 0x5, 0x3, x1, 1432, x2) - -inst_359: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1faf, -0x55555556, 0xb505, x1, 1436, x2) - -inst_360: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x5555e057, -0x55555556, -0xb503, x1, 1440, x2) - -inst_361: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0xcccccccd, -0x55555556, 0x66666667, x1, 1444, x2) - -inst_362: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x9999999e, -0x55555556, 0x33333334, x1, 1448, x2) - -inst_363: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaac, -0x55555556, 0x6, x1, 1452, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x1, -0x55555556, -0x55555555, x1, 1456, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xfffffffc, -0x55555556, 0x55555556, x1, 1460, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1464, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fa9, -0x55555556, 0xb503, x1, 1468, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1472, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0xcccccccf, -0x55555556, 0x66666665, x1, 1476, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x99999998, -0x55555556, 0x33333332, x1, 1480, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1484, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1488, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fae, -0x55555556, 0xb504, x1, 1492, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x5555e056, -0x55555556, -0xb504, x1, 1496, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0xcccccccc, -0x55555556, 0x66666666, x1, 1500, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x99999999, -0x55555556, 0x33333333, x1, 1504, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1508, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1512, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1516, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaa9, -0x55555556, 0x3, x1, 1520, x2) - -inst_381: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0x5555e050, 0x55555555, 0xb505, x1, 1524, x2) - -inst_382: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fa8, 0x55555555, -0xb503, x1, 1528, x2) - -inst_383: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x33333332, 0x55555555, 0x66666667, x1, 1532, x2) - -inst_384: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x66666661, 0x55555555, 0x33333334, x1, 1536, x2) - -inst_385: -// rs1_val==1431655765 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x55555553, 0x55555555, 0x6, x1, 1540, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xfffffffe, 0x55555555, -0x55555555, x1, 1544, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x3, 0x55555555, 0x55555556, x1, 1548, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1552, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x5555e056, 0x55555555, 0xb503, x1, 1556, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1560, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x33333330, 0x55555555, 0x66666665, x1, 1564, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x66666667, 0x55555555, 0x33333332, x1, 1568, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1572, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1576, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x5555e051, 0x55555555, 0xb504, x1, 1580, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fa9, 0x55555555, -0xb504, x1, 1584, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x33333333, 0x55555555, 0x66666666, x1, 1588, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x66666666, 0x55555555, 0x33333333, x1, 1592, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1596, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1600, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1604, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555555; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x55555556, 0x55555555, 0x3, x1, 1608, x2) - -inst_403: -// rs1_val==3 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0xb506, 0x3, 0xb505, x1, 1612, x2) - -inst_404: -// rs1_val==3 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xffff4afe, 0x3, -0xb503, x1, 1616, x2) - -inst_405: -// rs1_val==3 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x66666664, 0x3, 0x66666667, x1, 1620, x2) - -inst_406: -// rs1_val==3 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1624, x2) - -inst_407: -// rs1_val==3 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x5, 0x3, 0x6, x1, 1628, x2) - -inst_408: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaa8, 0x3, -0x55555555, x1, 1632, x2) - -inst_409: -// rs1_val==3 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x55555555, 0x3, 0x55555556, x1, 1636, x2) - -inst_410: -// rs1_val==3 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x7, 0x3, 0x4, x1, 1640, x2) - -inst_411: -// rs1_val==3 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xb500, 0x3, 0xb503, x1, 1644, x2) - -inst_412: -// rs1_val==3 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x3, 0x3, 0x0, x1, 1648, x2) - -inst_413: -// rs1_val==3 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x66666666, 0x3, 0x66666665, x1, 1652, x2) - -inst_414: -// rs1_val==3 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x33333331, 0x3, 0x33333332, x1, 1656, x2) - -inst_415: -// rs1_val==3 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1660, x2) - -inst_416: -// rs1_val==3 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x1, 0x3, 0x2, x1, 1664, x2) - -inst_417: -// rs1_val==3 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xb507, 0x3, 0xb504, x1, 1668, x2) - -inst_418: -// rs1_val==3 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1672, x2) - -inst_419: -// rs1_val==3 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x66666665, 0x3, 0x66666666, x1, 1676, x2) - -inst_420: -// rs1_val==3 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x33333330, 0x3, 0x33333333, x1, 1680, x2) - -inst_421: -// rs1_val==3 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x6, 0x3, 0x5, x1, 1684, x2) - -inst_422: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaa9, 0x3, -0x55555556, x1, 1688, x2) - -inst_423: -// rs1_val==3 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x55555556, 0x3, 0x55555555, x1, 1692, x2) - -inst_424: -// rs1_val==3 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x3; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x3, 0x3, x1, 1696, x2) - -inst_425: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x6666d366, 0x66666665, 0xb503, x1, 1700, x2) - -inst_426: -// rs1_val==1717986917 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1704, x2) - -inst_427: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1708, x2) - -inst_428: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x55555557, 0x66666665, 0x33333332, x1, 1712, x2) - -inst_429: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x33333331, 0x66666665, 0x55555554, x1, 1716, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1720, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x6666d361, 0x66666665, 0xb504, x1, 1724, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x99992c99, 0x66666665, -0xb504, x1, 1728, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x3, 0x66666665, 0x66666666, x1, 1732, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x55555556, 0x66666665, 0x33333333, x1, 1736, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1740, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xcccccccf, 0x66666665, -0x55555556, x1, 1744, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x33333330, 0x66666665, 0x55555555, x1, 1748, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666665; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x66666666, 0x66666665, 0x3, x1, 1752, x2) - -inst_439: -// rs1_val==858993458 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0x33338637, 0x33333332, 0xb505, x1, 1756, x2) - -inst_440: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xcccc79cf, 0x33333332, -0xb503, x1, 1760, x2) - -inst_441: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x55555555, 0x33333332, 0x66666667, x1, 1764, x2) - -inst_442: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x6, 0x33333332, 0x33333334, x1, 1768, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x33333334, 0x33333332, 0x6, x1, 1772, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x99999999, 0x33333332, -0x55555555, x1, 1776, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x66666664, 0x33333332, 0x55555556, x1, 1780, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1784, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x33338631, 0x33333332, 0xb503, x1, 1788, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1792, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x55555557, 0x33333332, 0x66666665, x1, 1796, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1800, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x66666666, 0x33333332, 0x55555554, x1, 1804, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1808, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x33338636, 0x33333332, 0xb504, x1, 1812, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xcccc79ce, 0x33333332, -0xb504, x1, 1816, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x55555554, 0x33333332, 0x66666666, x1, 1820, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x1, 0x33333332, 0x33333333, x1, 1824, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1828, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x99999998, 0x33333332, -0x55555556, x1, 1832, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x66666667, 0x33333332, 0x55555555, x1, 1836, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333332; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x33333331, 0x33333332, 0x3, x1, 1840, x2) - -inst_461: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0x5555e051, 0x55555554, 0xb505, x1, 1844, x2) - -inst_462: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fa9, 0x55555554, -0xb503, x1, 1848, x2) - -inst_463: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x33333333, 0x55555554, 0x66666667, x1, 1852, x2) - -inst_464: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x66666660, 0x55555554, 0x33333334, x1, 1856, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x55555552, 0x55555554, 0x6, x1, 1860, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1864, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x2, 0x55555554, 0x55555556, x1, 1868, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1872, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x5555e057, 0x55555554, 0xb503, x1, 1876, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1880, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x33333331, 0x55555554, 0x66666665, x1, 1884, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x66666666, 0x55555554, 0x33333332, x1, 1888, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1892, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1896, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x5555e050, 0x55555554, 0xb504, x1, 1900, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fa8, 0x55555554, -0xb504, x1, 1904, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x33333332, 0x55555554, 0x66666666, x1, 1908, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x66666667, 0x55555554, 0x33333333, x1, 1912, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x55555551, 0x55555554, 0x5, x1, 1916, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1920, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x1, 0x55555554, 0x55555555, x1, 1924, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x55555554; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1928, x2) - -inst_483: -// rs1_val==2 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0xb507, 0x2, 0xb505, x1, 1932, x2) - -inst_484: -// rs1_val==2 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1936, x2) - -inst_485: -// rs1_val==2 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x66666665, 0x2, 0x66666667, x1, 1940, x2) - -inst_486: -// rs1_val==2 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1944, x2) - -inst_487: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaa9, 0x2, -0x55555555, x1, 1948, x2) - -inst_488: -// rs1_val==2 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x55555554, 0x2, 0x55555556, x1, 1952, x2) - -inst_489: -// rs1_val==2 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x6, 0x2, 0x4, x1, 1956, x2) - -inst_490: -// rs1_val==2 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xb501, 0x2, 0xb503, x1, 1960, x2) - -inst_491: -// rs1_val==2 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x2, 0x2, 0x0, x1, 1964, x2) - -inst_492: -// rs1_val==2 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1968, x2) - -inst_493: -// rs1_val==2 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x33333330, 0x2, 0x33333332, x1, 1972, x2) - -inst_494: -// rs1_val==2 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1976, x2) - -inst_495: -// rs1_val==2 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x2, 0x2, x1, 1980, x2) - -inst_496: -// rs1_val==2 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xb506, 0x2, 0xb504, x1, 1984, x2) - -inst_497: -// rs1_val==2 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1988, x2) - -inst_498: -// rs1_val==2 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x66666664, 0x2, 0x66666666, x1, 1992, x2) - -inst_499: -// rs1_val==2 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x33333331, 0x2, 0x33333333, x1, 1996, x2) - -inst_500: -// rs1_val==2 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x7, 0x2, 0x5, x1, 2000, x2) - -inst_501: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xaaaaaaa8, 0x2, -0x55555556, x1, 2004, x2) - -inst_502: -// rs1_val==2 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 2008, x2) - -inst_503: -// rs1_val==2 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x2; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x1, 0x2, 0x3, x1, 2012, x2) - -inst_504: -// rs1_val==46340 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0x1, 0xb504, 0xb505, x1, 2016, x2) - -inst_505: -// rs1_val==46340 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xfffffff9, 0xb504, -0xb503, x1, 2020, x2) - -inst_506: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x6666d363, 0xb504, 0x66666667, x1, 2024, x2) - -inst_507: -// rs1_val==46340 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x33338630, 0xb504, 0x33333334, x1, 2028, x2) - -inst_508: -// rs1_val==46340 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0xb502, 0xb504, 0x6, x1, 2032, x2) - -inst_509: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1faf, 0xb504, -0x55555555, x1, 2036, x2) - -inst_510: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x5555e052, 0xb504, 0x55555556, x1, 2040, x2) - -inst_511: -// rs1_val==46340 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0xb500, 0xb504, 0x4, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_512: -// rs1_val==46340 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x7, 0xb504, 0xb503, x1, 0, x2) - -inst_513: -// rs1_val==46340 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0xb504, 0xb504, 0x0, x1, 4, x2) - -inst_514: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x6666d361, 0xb504, 0x66666665, x1, 8, x2) - -inst_515: -// rs1_val==46340 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x33338636, 0xb504, 0x33333332, x1, 12, x2) - -inst_516: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x5555e050, 0xb504, 0x55555554, x1, 16, x2) - -inst_517: -// rs1_val==46340 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0xb506, 0xb504, 0x2, x1, 20, x2) - -inst_518: -// rs1_val==46340 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0xb504, 0xb504, x1, 24, x2) - -inst_519: -// rs1_val==46340 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xfffffff8, 0xb504, -0xb504, x1, 28, x2) - -inst_520: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x6666d362, 0xb504, 0x66666666, x1, 32, x2) - -inst_521: -// rs1_val==46340 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x33338637, 0xb504, 0x33333333, x1, 36, x2) - -inst_522: -// rs1_val==46340 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0xb501, 0xb504, 0x5, x1, 40, x2) - -inst_523: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fae, 0xb504, -0x55555556, x1, 44, x2) - -inst_524: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x5555e051, 0xb504, 0x55555555, x1, 48, x2) - -inst_525: -// rs1_val==46340 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0xb504; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0xb507, 0xb504, 0x3, x1, 52, x2) - -inst_526: -// rs1_val==-46340 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0xfffffff9, -0xb504, 0xb505, x1, 56, x2) - -inst_527: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x1, -0xb504, -0xb503, x1, 60, x2) - -inst_528: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x99992c9b, -0xb504, 0x66666667, x1, 64, x2) - -inst_529: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0xcccc79c8, -0xb504, 0x33333334, x1, 68, x2) - -inst_530: -// rs1_val==-46340 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0xffff4afa, -0xb504, 0x6, x1, 72, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x5555e057, -0xb504, -0x55555555, x1, 76, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1faa, -0xb504, 0x55555556, x1, 80, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 84, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 88, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 92, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x99992c99, -0xb504, 0x66666665, x1, 96, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0xcccc79ce, -0xb504, 0x33333332, x1, 100, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fa8, -0xb504, 0x55555554, x1, 104, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 108, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0xfffffff8, -0xb504, 0xb504, x1, 112, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x0, -0xb504, -0xb504, x1, 116, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x99992c9a, -0xb504, 0x66666666, x1, 120, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0xcccc79cf, -0xb504, 0x33333333, x1, 124, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0xffff4af9, -0xb504, 0x5, x1, 128, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x5555e056, -0xb504, -0x55555556, x1, 132, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xaaaa1fa9, -0xb504, 0x55555555, x1, 136, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:-0xb504; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 140, x2) - -inst_548: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0x6666d363, 0x66666666, 0xb505, x1, 144, x2) - -inst_549: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x99992c9b, 0x66666666, -0xb503, x1, 148, x2) - -inst_550: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x1, 0x66666666, 0x66666667, x1, 152, x2) - -inst_551: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x55555552, 0x66666666, 0x33333334, x1, 156, x2) - -inst_552: -// rs1_val==1717986918 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 160, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0xcccccccd, 0x66666666, -0x55555555, x1, 164, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x33333330, 0x66666666, 0x55555556, x1, 168, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 172, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x6666d365, 0x66666666, 0xb503, x1, 176, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==0, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x0 -TEST_CR_OP( c.xor, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 180, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665 -TEST_CR_OP( c.xor, x10, x11, 0x3, 0x66666666, 0x66666665, x1, 184, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332 -TEST_CR_OP( c.xor, x10, x11, 0x55555554, 0x66666666, 0x33333332, x1, 188, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554 -TEST_CR_OP( c.xor, x10, x11, 0x33333332, 0x66666666, 0x55555554, x1, 192, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==2, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x2 -TEST_CR_OP( c.xor, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 196, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x6666d362, 0x66666666, 0xb504, x1, 200, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504 -TEST_CR_OP( c.xor, x10, x11, 0x99992c9a, 0x66666666, -0xb504, x1, 204, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666 -TEST_CR_OP( c.xor, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 208, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333 -TEST_CR_OP( c.xor, x10, x11, 0x55555555, 0x66666666, 0x33333333, x1, 212, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==5, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x5 -TEST_CR_OP( c.xor, x10, x11, 0x66666663, 0x66666666, 0x5, x1, 216, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0xcccccccc, 0x66666666, -0x55555556, x1, 220, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x33333333, 0x66666666, 0x55555555, x1, 224, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==3, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x66666666; op2val:0x3 -TEST_CR_OP( c.xor, x10, x11, 0x66666665, 0x66666666, 0x3, x1, 228, x2) - -inst_570: -// rs1_val==858993459 and rs2_val==46341, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505 -TEST_CR_OP( c.xor, x10, x11, 0x33338636, 0x33333333, 0xb505, x1, 232, x2) - -inst_571: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503 -TEST_CR_OP( c.xor, x10, x11, 0xcccc79ce, 0x33333333, -0xb503, x1, 236, x2) - -inst_572: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667 -TEST_CR_OP( c.xor, x10, x11, 0x55555554, 0x33333333, 0x66666667, x1, 240, x2) - -inst_573: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334 -TEST_CR_OP( c.xor, x10, x11, 0x7, 0x33333333, 0x33333334, x1, 244, x2) - -inst_574: -// rs1_val==858993459 and rs2_val==6, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x6 -TEST_CR_OP( c.xor, x10, x11, 0x33333335, 0x33333333, 0x6, x1, 248, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555 -TEST_CR_OP( c.xor, x10, x11, 0x99999998, 0x33333333, -0x55555555, x1, 252, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556 -TEST_CR_OP( c.xor, x10, x11, 0x66666665, 0x33333333, 0x55555556, x1, 256, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==4, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0x4 -TEST_CR_OP( c.xor, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 260, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==46339, -// opcode: c.xor; op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503 -TEST_CR_OP( c.xor, x10, x11, 0x33338630, 0x33333333, 0xb503, x1, 264, x2) - -inst_579: -// rs2_val == 2147483647, rs1_val == 64, rs2_val == (2**(xlen-1)-1), rs2_val > 0 -// opcode: c.xor; op1:x10; op2:x11; op1val:0x40; op2val:0x7fffffff -TEST_CR_OP( c.xor, x10, x11, 0x7fffffbf, 0x40, 0x7fffffff, x1, 268, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 68*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/Makefile b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/Makefile deleted file mode 100644 index 69e58e890..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/Makefile +++ /dev/null @@ -1,3 +0,0 @@ -include ../../Makefile.include - -$(eval $(call compile_template,-march=rv32em -mabi=ilp32e -DXLEN=$(XLEN))) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/Makefrag deleted file mode 100644 index fc4cc1989..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/Makefrag +++ /dev/null @@ -1,43 +0,0 @@ -# RISC-V Architecture Test RV32EM Makefrag -# -# Copyright (c) 2018, Imperas Software Ltd. -# Copyright (c) 2020, InCore Semiconductors. Pvt. Ltd. -# All rights reserved. -# -# Redistribution and use in source and binary forms, with or without -# modification, are permitted provided that the following conditions are met: -# * Redistributions of source code must retain the above copyright -# notice, this list of conditions and the following disclaimer. -# * Redistributions in binary form must reproduce the above copyright -# notice, this list of conditions and the following disclaimer in the -# documentation and/or other materials provided with the distribution. -# * Neither the name of the Imperas Software Ltd. nor the -# names of its contributors may be used to endorse or promote products -# derived from this software without specific prior written permission. -# -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS -# IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -# THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -# PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL Imperas Software Ltd. BE LIABLE FOR ANY -# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND -# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF -# THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -# -# Description: Makefrag for RV32EM architectural tests - -rv32em_sc_tests = \ - div-01 \ - divu-01 \ - mul-01 \ - mulh-01 \ - mulhsu-01 \ - mulhu-01 \ - rem-01 \ - remu-01 - -rv32em_tests = $(addsuffix .elf, $(rv32em_sc_tests)) - -target_tests += $(rv32em_tests) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/div-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/div-01.S deleted file mode 100644 index 4b1fbcac7..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/div-01.S +++ /dev/null @@ -1,2990 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 07:22:49 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32em.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the div instruction of the RISC-V M extension for the div covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EM") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*M.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",div) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs2 == rd != rs1, rs1==x7, rs2==x11, rd==x11, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs2_val == 128 -// opcode: div ; op1:x7; op2:x11; dest:x11; op1val:0x6; op2val:0x80 -TEST_RR_OP(div, x11, x7, x11, 0x0, 0x6, 0x80, x2, 0, x3) - -inst_1: -// rs1 == rd != rs2, rs1==x15, rs2==x8, rd==x15, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1), rs1_val == -131073 -// opcode: div ; op1:x15; op2:x8; dest:x15; op1val:-0x20001; op2val:0x7fffffff -TEST_RR_OP(div, x15, x15, x8, 0x0, -0x20001, 0x7fffffff, x2, 4, x3) - -inst_2: -// rs1 == rs2 == rd, rs1==x9, rs2==x9, rd==x9, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 -// opcode: div ; op1:x9; op2:x9; dest:x9; op1val:-0x8; op2val:-0x8 -TEST_RR_OP(div, x9, x9, x9, 0x1, -0x8, -0x8, x2, 8, x3) - -inst_3: -// rs1 == rs2 != rd, rs1==x4, rs2==x4, rd==x5, rs2_val == -536870913, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 -// opcode: div ; op1:x4; op2:x4; dest:x5; op1val:0x4000; op2val:0x4000 -TEST_RR_OP(div, x5, x4, x4, 0x1, 0x4000, 0x4000, x2, 12, x3) - -inst_4: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x12, rs2==x0, rd==x7, rs2_val == -268435457, rs1_val == -4194305 -// opcode: div ; op1:x12; op2:x0; dest:x7; op1val:-0x400001; op2val:0x0 -TEST_RR_OP(div, x7, x12, x0, 0xFFFFFFFF, -0x400001, 0x0, x2, 16, x3) - -inst_5: -// rs1==x6, rs2==x1, rd==x8, rs2_val == -134217729, rs1_val == 16 -// opcode: div ; op1:x6; op2:x1; dest:x8; op1val:0x10; op2val:-0x8000001 -TEST_RR_OP(div, x8, x6, x1, 0x0, 0x10, -0x8000001, x2, 20, x3) - -inst_6: -// rs1==x13, rs2==x15, rd==x0, rs2_val == -67108865, -// opcode: div ; op1:x13; op2:x15; dest:x0; op1val:0x66666666; op2val:-0x4000001 -TEST_RR_OP(div, x0, x13, x15, 0, 0x66666666, -0x4000001, x2, 24, x3) - -inst_7: -// rs1==x14, rs2==x13, rd==x6, rs2_val == -33554433, rs1_val == 4096 -// opcode: div ; op1:x14; op2:x13; dest:x6; op1val:0x1000; op2val:-0x2000001 -TEST_RR_OP(div, x6, x14, x13, 0x0, 0x1000, -0x2000001, x2, 28, x9) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_8: -// rs1==x1, rs2==x5, rd==x10, rs2_val == -16777217, -// opcode: div ; op1:x1; op2:x5; dest:x10; op1val:-0x20001; op2val:-0x1000001 -TEST_RR_OP(div, x10, x1, x5, 0x0, -0x20001, -0x1000001, x4, 0, x9) - -inst_9: -// rs1==x8, rs2==x12, rd==x2, rs2_val == -8388609, rs1_val == 4 -// opcode: div ; op1:x8; op2:x12; dest:x2; op1val:0x4; op2val:-0x800001 -TEST_RR_OP(div, x2, x8, x12, 0x0, 0x4, -0x800001, x4, 4, x9) - -inst_10: -// rs1==x10, rs2==x3, rd==x1, rs2_val == -4194305, rs1_val == -32769 -// opcode: div ; op1:x10; op2:x3; dest:x1; op1val:-0x8001; op2val:-0x400001 -TEST_RR_OP(div, x1, x10, x3, 0x0, -0x8001, -0x400001, x4, 8, x9) - -inst_11: -// rs1==x3, rs2==x14, rd==x12, rs2_val == -2097153, rs1_val == 1431655765 -// opcode: div ; op1:x3; op2:x14; dest:x12; op1val:0x55555555; op2val:-0x200001 -TEST_RR_OP(div, x12, x3, x14, -0x2aa, 0x55555555, -0x200001, x4, 12, x9) - -inst_12: -// rs1==x0, rs2==x6, rd==x13, rs2_val == -1048577, -// opcode: div ; op1:x0; op2:x6; dest:x13; op1val:0x0; op2val:-0x100001 -TEST_RR_OP(div, x13, x0, x6, 0x0, 0x0, -0x100001, x4, 16, x9) - -inst_13: -// rs1==x11, rs2==x7, rd==x3, rs2_val == -524289, rs1_val == -17 -// opcode: div ; op1:x11; op2:x7; dest:x3; op1val:-0x11; op2val:-0x80001 -TEST_RR_OP(div, x3, x11, x7, 0x0, -0x11, -0x80001, x4, 20, x9) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_14: -// rs1==x2, rs2==x10, rd==x4, rs2_val == -262145, -// opcode: div ; op1:x2; op2:x10; dest:x4; op1val:-0xb503; op2val:-0x40001 -TEST_RR_OP(div, x4, x2, x10, 0x0, -0xb503, -0x40001, x1, 0, x3) - -inst_15: -// rs1==x5, rs2==x2, rd==x14, rs2_val == -131073, rs1_val == rs2_val -// opcode: div ; op1:x5; op2:x2; dest:x14; op1val:-0x20001; op2val:-0x20001 -TEST_RR_OP(div, x14, x5, x2, 0x1, -0x20001, -0x20001, x1, 4, x3) - -inst_16: -// rs2_val == -65537, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x8; op2val:-0x10001 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x8, -0x10001, x1, 8, x3) - -inst_17: -// rs2_val == -32769, rs1_val == 16777216 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x8001 -TEST_RR_OP(div, x12, x10, x11, -0x1ff, 0x1000000, -0x8001, x1, 12, x3) - -inst_18: -// rs2_val == -16385, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x4001 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x7, -0x4001, x1, 16, x3) - -inst_19: -// rs2_val == -8193, rs1_val == -3 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x2001 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x3, -0x2001, x1, 20, x3) - -inst_20: -// rs2_val == -4097, rs1_val == 512 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x1001 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x200, -0x1001, x1, 24, x3) - -inst_21: -// rs2_val == -2049, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x801 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, -0x801, x1, 28, x3) - -inst_22: -// rs2_val == -1025, rs1_val == -513 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x401 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x201, -0x401, x1, 32, x3) - -inst_23: -// rs2_val == -513, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x201 -TEST_RR_OP(div, x12, x10, x11, 0x1ff0, -0x400001, -0x201, x1, 36, x3) - -inst_24: -// rs2_val == -257, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x101 -TEST_RR_OP(div, x12, x10, x11, -0x330033, 0x33333333, -0x101, x1, 40, x3) - -inst_25: -// rs2_val == -129, rs1_val == -8193 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x81 -TEST_RR_OP(div, x12, x10, x11, 0x3f, -0x2001, -0x81, x1, 44, x3) - -inst_26: -// rs2_val == -65, rs1_val == 1073741824 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x41 -TEST_RR_OP(div, x12, x10, x11, -0xfc0fc0, 0x40000000, -0x41, x1, 48, x3) - -inst_27: -// rs2_val == -33, rs1_val == -536870913 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x21 -TEST_RR_OP(div, x12, x10, x11, 0xf83e0f, -0x20000001, -0x21, x1, 52, x3) - -inst_28: -// rs2_val == -17, rs1_val == 4194304 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x11 -TEST_RR_OP(div, x12, x10, x11, -0x3c3c3, 0x400000, -0x11, x1, 56, x3) - -inst_29: -// rs2_val == -9, rs1_val == -33554433 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x9 -TEST_RR_OP(div, x12, x10, x11, 0x38e38e, -0x2000001, -0x9, x1, 60, x3) - -inst_30: -// rs2_val == -5, rs1_val == -524289 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x5 -TEST_RR_OP(div, x12, x10, x11, 0x19999, -0x80001, -0x5, x1, 64, x3) - -inst_31: -// rs2_val == -3, rs1_val == -2097153 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x3 -TEST_RR_OP(div, x12, x10, x11, 0xaaaab, -0x200001, -0x3, x1, 68, x3) - -inst_32: -// rs2_val == -2, rs1_val == 134217728 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0x2 -TEST_RR_OP(div, x12, x10, x11, -0x4000000, 0x8000000, -0x2, x1, 72, x3) - -inst_33: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x2000001 -TEST_RR_OP(div, x12, x10, x11, -0x3f, 0x7fffffff, -0x2000001, x1, 76, x3) - -inst_34: -// rs1_val == -1073741825, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x8001 -TEST_RR_OP(div, x12, x10, x11, 0x7fff, -0x40000001, -0x8001, x1, 80, x3) - -inst_35: -// rs1_val == -268435457, rs2_val == 2048 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x800 -TEST_RR_OP(div, x12, x10, x11, -0x20000, -0x10000001, 0x800, x1, 84, x3) - -inst_36: -// rs1_val == -134217729, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x3 -TEST_RR_OP(div, x12, x10, x11, 0x2aaaaab, -0x8000001, -0x3, x1, 88, x3) - -inst_37: -// rs1_val == -67108865, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x4000001 -TEST_RR_OP(div, x12, x10, x11, 0x1, -0x4000001, -0x4000001, x1, 92, x3) - -inst_38: -// rs1_val == -16777217, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x40001 -TEST_RR_OP(div, x12, x10, x11, 0x3f, -0x1000001, -0x40001, x1, 96, x3) - -inst_39: -// rs1_val == -8388609, rs2_val == 256 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:0x100 -TEST_RR_OP(div, x12, x10, x11, -0x8000, -0x800001, 0x100, x1, 100, x3) - -inst_40: -// rs1_val == -1048577, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x100001, 0x66666665, x1, 104, x3) - -inst_41: -// rs1_val == -262145, rs2_val == 524288 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x80000 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x40001, 0x80000, x1, 108, x3) - -inst_42: -// rs1_val == -65537, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x100001 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x10001, -0x100001, x1, 112, x3) - -inst_43: -// rs1_val == -16385, rs2_val == 2097152 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x200000 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x4001, 0x200000, x1, 116, x3) - -inst_44: -// rs1_val == -4097, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x201 -TEST_RR_OP(div, x12, x10, x11, 0x7, -0x1001, -0x201, x1, 120, x3) - -inst_45: -// rs1_val == -2049, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x9 -TEST_RR_OP(div, x12, x10, x11, 0xe3, -0x801, -0x9, x1, 124, x3) - -inst_46: -// rs1_val == -1025, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:-0x7 -TEST_RR_OP(div, x12, x10, x11, 0x92, -0x401, -0x7, x1, 128, x3) - -inst_47: -// rs1_val == -257, rs2_val == 4194304 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x400000 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x101, 0x400000, x1, 132, x3) - -inst_48: -// rs1_val == -129, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x1 -TEST_RR_OP(div, x12, x10, x11, 0x81, -0x81, -0x1, x1, 136, x3) - -inst_49: -// rs1_val == -65, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x40001 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x41, -0x40001, x1, 140, x3) - -inst_50: -// rs1_val == -33, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x40001 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x21, -0x40001, x1, 144, x3) - -inst_51: -// rs1_val == -9, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x9, 0x66666665, x1, 148, x3) - -inst_52: -// rs1_val == -5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x400001 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x5, -0x400001, x1, 152, x3) - -inst_53: -// rs1_val == -2, rs2_val == 64 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x40 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x2, 0x40, x1, 156, x3) - -inst_54: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x80000000 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x3, -0x80000000, x1, 160, x3) - -inst_55: -// rs2_val == 1073741824, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x40000000 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x2001, 0x40000000, x1, 164, x3) - -inst_56: -// rs2_val == 536870912, rs1_val == 1048576 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x20000000 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x100000, 0x20000000, x1, 168, x3) - -inst_57: -// rs2_val == 268435456, rs1_val == 2 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000000 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x10000000, x1, 172, x3) - -inst_58: -// rs2_val == 134217728, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x8000000 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x4000001, 0x8000000, x1, 176, x3) - -inst_59: -// rs2_val == 67108864, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x4000000 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x21, 0x4000000, x1, 180, x3) - -inst_60: -// rs2_val == 33554432, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x2000000 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x101, 0x2000000, x1, 184, x3) - -inst_61: -// rs2_val == 16777216, rs1_val == 256 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x1000000 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x100, 0x1000000, x1, 188, x3) - -inst_62: -// rs2_val == 8388608, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x800000 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x400000, 0x800000, x1, 192, x3) - -inst_63: -// rs2_val == 1048576, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x100000 -TEST_RR_OP(div, x12, x10, x11, 0x333, 0x33333334, 0x100000, x1, 196, x3) - -inst_64: -// rs2_val == 262144, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40000 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0x40000, x1, 200, x3) - -inst_65: -// rs2_val == 131072, rs1_val == 0 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x20000 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x20000, x1, 204, x3) - -inst_66: -// rs2_val == 65536, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x10000 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x1000, 0x10000, x1, 208, x3) - -inst_67: -// rs2_val == 32768, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x8000 -TEST_RR_OP(div, x12, x10, x11, 0xaaaa, 0x55555555, 0x8000, x1, 212, x3) - -inst_68: -// rs2_val == 16384, rs1_val == 1 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x4000 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x1, 0x4000, x1, 216, x3) - -inst_69: -// rs2_val == 8192, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2000 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x2000, x1, 220, x3) - -inst_70: -// rs2_val == 4096, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x1000 -TEST_RR_OP(div, x12, x10, x11, 0x33333, 0x33333334, 0x1000, x1, 224, x3) - -inst_71: -// rs2_val == 1024, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x400 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x41, 0x400, x1, 228, x3) - -inst_72: -// rs2_val == 512, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x200 -TEST_RR_OP(div, x12, x10, x11, 0x8, 0x1000, 0x200, x1, 232, x3) - -inst_73: -// rs2_val == 32, rs1_val == 128 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x20 -TEST_RR_OP(div, x12, x10, x11, 0x4, 0x80, 0x20, x1, 236, x3) - -inst_74: -// rs2_val == 16, rs1_val == 32 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x10 -TEST_RR_OP(div, x12, x10, x11, 0x2, 0x20, 0x10, x1, 240, x3) - -inst_75: -// rs2_val == 8, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x8 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x8, x1, 244, x3) - -inst_76: -// rs2_val == 4, rs1_val == 536870912 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x8000000, 0x20000000, 0x4, x1, 248, x3) - -inst_77: -// rs2_val == 2, rs1_val==5 and rs2_val==2 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x2, 0x5, 0x2, x1, 252, x3) - -inst_78: -// rs2_val == 1, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x1 -TEST_RR_OP(div, x12, x10, x11, 0x40000000, 0x40000000, 0x1, x1, 256, x3) - -inst_79: -// rs1_val == -2147483648, rs2_val == 0, rs1_val == (-2**(xlen-1)) -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, -0x80000000, 0x0, x1, 260, x3) - -inst_80: -// rs1_val == 268435456, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x10000000, 0x66666667, x1, 264, x3) - -inst_81: -// rs1_val == 67108864, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x40000 -TEST_RR_OP(div, x12, x10, x11, 0x100, 0x4000000, 0x40000, x1, 268, x3) - -inst_82: -// rs1_val == 33554432, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2000000, 0x55555556, x1, 272, x3) - -inst_83: -// rs1_val == 8388608, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x801 -TEST_RR_OP(div, x12, x10, x11, -0xffe, 0x800000, -0x801, x1, 276, x3) - -inst_84: -// rs1_val == 2097152, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x80000000 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x200000, -0x80000000, x1, 280, x3) - -inst_85: -// rs1_val == 524288, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:-0x401 -TEST_RR_OP(div, x12, x10, x11, -0x1ff, 0x80000, -0x401, x1, 284, x3) - -inst_86: -// rs1_val == 262144, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x40000, 0x33333333, x1, 288, x3) - -inst_87: -// rs1_val == 131072, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x800 -TEST_RR_OP(div, x12, x10, x11, 0x40, 0x20000, 0x800, x1, 292, x3) - -inst_88: -// rs1_val == 65536, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x2aaa, 0x10000, 0x6, x1, 296, x3) - -inst_89: -// rs1_val == 32768, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:-0x40001 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x8000, -0x40001, x1, 300, x3) - -inst_90: -// rs1_val == 8192, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x201 -TEST_RR_OP(div, x12, x10, x11, -0xf, 0x2000, -0x201, x1, 304, x3) - -inst_91: -// rs1_val == 2048, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x800, 0x33333333, x1, 308, x3) - -inst_92: -// rs1_val == 1024, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x20001 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x400, -0x20001, x1, 312, x3) - -inst_93: -// rs1_val == 64, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x20, 0x40, 0x2, x1, 316, x3) - -inst_94: -// rs1_val == 8, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x8, 0x66666667, x1, 320, x3) - -inst_95: -// rs1_val==46341 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0xb505, 0xb505, x1, 324, x3) - -inst_96: -// rs1_val==46341 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x1, 0xb505, -0xb503, x1, 328, x3) - -inst_97: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb505, 0x66666667, x1, 332, x3) - -inst_98: -// rs1_val==46341 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb505, 0x33333334, x1, 336, x3) - -inst_99: -// rs1_val==46341 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x1e2b, 0xb505, 0x6, x1, 340, x3) - -inst_100: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb505, -0x55555555, x1, 344, x3) - -inst_101: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb505, 0x55555556, x1, 348, x3) - -inst_102: -// rs1_val==46341 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x2d41, 0xb505, 0x4, x1, 352, x3) - -inst_103: -// rs1_val==46341 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0xb505, 0xb503, x1, 356, x3) - -inst_104: -// rs1_val==46341 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0xb505, 0x0, x1, 360, x3) - -inst_105: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb505, 0x66666665, x1, 364, x3) - -inst_106: -// rs1_val==46341 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb505, 0x33333332, x1, 368, x3) - -inst_107: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb505, 0x55555554, x1, 372, x3) - -inst_108: -// rs1_val==46341 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x5a82, 0xb505, 0x2, x1, 376, x3) - -inst_109: -// rs1_val==46341 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 380, x3) - -inst_110: -// rs1_val==46341 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, -0x1, 0xb505, -0xb504, x1, 384, x3) - -inst_111: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb505, 0x66666666, x1, 388, x3) - -inst_112: -// rs1_val==46341 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb505, 0x33333333, x1, 392, x3) - -inst_113: -// rs1_val==46341 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x2434, 0xb505, 0x5, x1, 396, x3) - -inst_114: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb505, -0x55555556, x1, 400, x3) - -inst_115: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb505, 0x55555555, x1, 404, x3) - -inst_116: -// rs1_val==46341 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x3c57, 0xb505, 0x3, x1, 408, x3) - -inst_117: -// rs1_val==-46339 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb503, 0xb505, x1, 412, x3) - -inst_118: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x1, -0xb503, -0xb503, x1, 416, x3) - -inst_119: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb503, 0x66666667, x1, 420, x3) - -inst_120: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb503, 0x33333334, x1, 424, x3) - -inst_121: -// rs1_val==-46339 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, -0x1e2b, -0xb503, 0x6, x1, 428, x3) - -inst_122: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb503, -0x55555555, x1, 432, x3) - -inst_123: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb503, 0x55555556, x1, 436, x3) - -inst_124: -// rs1_val==-46339 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, -0x2d40, -0xb503, 0x4, x1, 440, x3) - -inst_125: -// rs1_val==-46339 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x1, -0xb503, 0xb503, x1, 444, x3) - -inst_126: -// rs1_val==-46339 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, -0xb503, 0x0, x1, 448, x3) - -inst_127: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb503, 0x66666665, x1, 452, x3) - -inst_128: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb503, 0x33333332, x1, 456, x3) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb503, 0x55555554, x1, 460, x3) - -inst_130: -// rs1_val==-46339 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, -0x5a81, -0xb503, 0x2, x1, 464, x3) - -inst_131: -// rs1_val==-46339 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb503, 0xb504, x1, 468, x3) - -inst_132: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb503, -0xb504, x1, 472, x3) - -inst_133: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb503, 0x66666666, x1, 476, x3) - -inst_134: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb503, 0x33333333, x1, 480, x3) - -inst_135: -// rs1_val==-46339 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, -0x2433, -0xb503, 0x5, x1, 484, x3) - -inst_136: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb503, -0x55555556, x1, 488, x3) - -inst_137: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb503, 0x55555555, x1, 492, x3) - -inst_138: -// rs1_val==-46339 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, -0x3c56, -0xb503, 0x3, x1, 496, x3) - -inst_139: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x90d0, 0x66666667, 0xb505, x1, 500, x3) - -inst_140: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x90d2, 0x66666667, -0xb503, x1, 504, x3) - -inst_141: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666667, 0x66666667, x1, 508, x3) - -inst_142: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666667, 0x33333334, x1, 512, x3) - -inst_143: -// rs1_val==1717986919 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x11111111, 0x66666667, 0x6, x1, 516, x3) - -inst_144: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, -0x1, 0x66666667, -0x55555555, x1, 520, x3) - -inst_145: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666667, 0x55555556, x1, 524, x3) - -inst_146: -// rs1_val==1717986919 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x19999999, 0x66666667, 0x4, x1, 528, x3) - -inst_147: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x90d2, 0x66666667, 0xb503, x1, 532, x3) - -inst_148: -// rs1_val==1717986919 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x66666667, 0x0, x1, 536, x3) - -inst_149: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666667, 0x66666665, x1, 540, x3) - -inst_150: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x2, 0x66666667, 0x33333332, x1, 544, x3) - -inst_151: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666667, 0x55555554, x1, 548, x3) - -inst_152: -// rs1_val==1717986919 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x33333333, 0x66666667, 0x2, x1, 552, x3) - -inst_153: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x90d1, 0x66666667, 0xb504, x1, 556, x3) - -inst_154: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, -0x90d1, 0x66666667, -0xb504, x1, 560, x3) - -inst_155: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 564, x3) - -inst_156: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x2, 0x66666667, 0x33333333, x1, 568, x3) - -inst_157: -// rs1_val==1717986919 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x147ae147, 0x66666667, 0x5, x1, 572, x3) - -inst_158: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, -0x1, 0x66666667, -0x55555556, x1, 576, x3) - -inst_159: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666667, 0x55555555, x1, 580, x3) - -inst_160: -// rs1_val==1717986919 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x22222222, 0x66666667, 0x3, x1, 584, x3) - -inst_161: -// rs1_val==858993460 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x4868, 0x33333334, 0xb505, x1, 588, x3) - -inst_162: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x4869, 0x33333334, -0xb503, x1, 592, x3) - -inst_163: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333334, 0x66666667, x1, 596, x3) - -inst_164: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x33333334, 0x33333334, x1, 600, x3) - -inst_165: -// rs1_val==858993460 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x8888888, 0x33333334, 0x6, x1, 604, x3) - -inst_166: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333334, -0x55555555, x1, 608, x3) - -inst_167: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333334, 0x55555556, x1, 612, x3) - -inst_168: -// rs1_val==858993460 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0xccccccd, 0x33333334, 0x4, x1, 616, x3) - -inst_169: -// rs1_val==858993460 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x4869, 0x33333334, 0xb503, x1, 620, x3) - -inst_170: -// rs1_val==858993460 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x33333334, 0x0, x1, 624, x3) - -inst_171: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333334, 0x66666665, x1, 628, x3) - -inst_172: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x33333334, 0x33333332, x1, 632, x3) - -inst_173: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333334, 0x55555554, x1, 636, x3) - -inst_174: -// rs1_val==858993460 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x1999999a, 0x33333334, 0x2, x1, 640, x3) - -inst_175: -// rs1_val==858993460 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x4868, 0x33333334, 0xb504, x1, 644, x3) - -inst_176: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, -0x4868, 0x33333334, -0xb504, x1, 648, x3) - -inst_177: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333334, 0x66666666, x1, 652, x3) - -inst_178: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x33333334, 0x33333333, x1, 656, x3) - -inst_179: -// rs1_val==858993460 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0xa3d70a4, 0x33333334, 0x5, x1, 660, x3) - -inst_180: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333334, -0x55555556, x1, 664, x3) - -inst_181: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333334, 0x55555555, x1, 668, x3) - -inst_182: -// rs1_val==858993460 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x11111111, 0x33333334, 0x3, x1, 672, x3) - -inst_183: -// rs1_val==6 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, 0xb505, x1, 676, x3) - -inst_184: -// rs1_val==6 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, -0xb503, x1, 680, x3) - -inst_185: -// rs1_val==6 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, 0x66666667, x1, 684, x3) - -inst_186: -// rs1_val==6 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, 0x33333334, x1, 688, x3) - -inst_187: -// rs1_val==6 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x6, 0x6, x1, 692, x3) - -inst_188: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, -0x55555555, x1, 696, x3) - -inst_189: -// rs1_val==6 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, 0x55555556, x1, 700, x3) - -inst_190: -// rs1_val==6 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x6, 0x4, x1, 704, x3) - -inst_191: -// rs1_val==6 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, 0xb503, x1, 708, x3) - -inst_192: -// rs1_val==6 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x6, 0x0, x1, 712, x3) - -inst_193: -// rs1_val==6 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, 0x66666665, x1, 716, x3) - -inst_194: -// rs1_val==6 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, 0x33333332, x1, 720, x3) - -inst_195: -// rs1_val==6 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, 0x55555554, x1, 724, x3) - -inst_196: -// rs1_val==6 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x3, 0x6, 0x2, x1, 728, x3) - -inst_197: -// rs1_val==6 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, 0xb504, x1, 732, x3) - -inst_198: -// rs1_val==6 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, -0xb504, x1, 736, x3) - -inst_199: -// rs1_val==6 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, 0x66666666, x1, 740, x3) - -inst_200: -// rs1_val==6 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, 0x33333333, x1, 744, x3) - -inst_201: -// rs1_val==6 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x6, 0x5, x1, 748, x3) - -inst_202: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, -0x55555556, x1, 752, x3) - -inst_203: -// rs1_val==6 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x6, 0x55555555, x1, 756, x3) - -inst_204: -// rs1_val==6 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x2, 0x6, 0x3, x1, 760, x3) - -inst_205: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, -0x78ad, -0x55555555, 0xb505, x1, 764, x3) - -inst_206: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x78af, -0x55555555, -0xb503, x1, 768, x3) - -inst_207: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x55555555, 0x66666667, x1, 772, x3) - -inst_208: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, -0x1, -0x55555555, 0x33333334, x1, 776, x3) - -inst_209: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, -0xe38e38e, -0x55555555, 0x6, x1, 780, x3) - -inst_210: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x1, -0x55555555, -0x55555555, x1, 784, x3) - -inst_211: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x55555555, 0x55555556, x1, 788, x3) - -inst_212: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, -0x15555555, -0x55555555, 0x4, x1, 792, x3) - -inst_213: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x78af, -0x55555555, 0xb503, x1, 796, x3) - -inst_214: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, -0x55555555, 0x0, x1, 800, x3) - -inst_215: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x55555555, 0x66666665, x1, 804, x3) - -inst_216: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, -0x1, -0x55555555, 0x33333332, x1, 808, x3) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, -0x1, -0x55555555, 0x55555554, x1, 812, x3) - -inst_218: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, -0x2aaaaaaa, -0x55555555, 0x2, x1, 816, x3) - -inst_219: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, -0x78ae, -0x55555555, 0xb504, x1, 820, x3) - -inst_220: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x78ae, -0x55555555, -0xb504, x1, 824, x3) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x55555555, 0x66666666, x1, 828, x3) - -inst_222: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, -0x1, -0x55555555, 0x33333333, x1, 832, x3) - -inst_223: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, -0x11111111, -0x55555555, 0x5, x1, 836, x3) - -inst_224: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x55555555, -0x55555556, x1, 840, x3) - -inst_225: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, -0x1, -0x55555555, 0x55555555, x1, 844, x3) - -inst_226: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, -0x1c71c71c, -0x55555555, 0x3, x1, 848, x3) - -inst_227: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x78ad, 0x55555556, 0xb505, x1, 852, x3) - -inst_228: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x78af, 0x55555556, -0xb503, x1, 856, x3) - -inst_229: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555556, 0x66666667, x1, 860, x3) - -inst_230: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555556, 0x33333334, x1, 864, x3) - -inst_231: -// rs1_val==1431655766 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0xe38e38e, 0x55555556, 0x6, x1, 868, x3) - -inst_232: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, -0x1, 0x55555556, -0x55555555, x1, 872, x3) - -inst_233: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555556, 0x55555556, x1, 876, x3) - -inst_234: -// rs1_val==1431655766 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x15555555, 0x55555556, 0x4, x1, 880, x3) - -inst_235: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x78af, 0x55555556, 0xb503, x1, 884, x3) - -inst_236: -// rs1_val==1431655766 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x55555556, 0x0, x1, 888, x3) - -inst_237: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555556, 0x66666665, x1, 892, x3) - -inst_238: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555556, 0x33333332, x1, 896, x3) - -inst_239: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555556, 0x55555554, x1, 900, x3) - -inst_240: -// rs1_val==1431655766 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x2aaaaaab, 0x55555556, 0x2, x1, 904, x3) - -inst_241: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x78ae, 0x55555556, 0xb504, x1, 908, x3) - -inst_242: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, -0x78ae, 0x55555556, -0xb504, x1, 912, x3) - -inst_243: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555556, 0x66666666, x1, 916, x3) - -inst_244: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555556, 0x33333333, x1, 920, x3) - -inst_245: -// rs1_val==1431655766 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x11111111, 0x55555556, 0x5, x1, 924, x3) - -inst_246: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, -0x1, 0x55555556, -0x55555556, x1, 928, x3) - -inst_247: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555556, 0x55555555, x1, 932, x3) - -inst_248: -// rs1_val==1431655766 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x1c71c71c, 0x55555556, 0x3, x1, 936, x3) - -inst_249: -// rs1_val==4 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0xb505, x1, 940, x3) - -inst_250: -// rs1_val==4 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, -0xb503, x1, 944, x3) - -inst_251: -// rs1_val==4 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0x66666667, x1, 948, x3) - -inst_252: -// rs1_val==4 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0x33333334, x1, 952, x3) - -inst_253: -// rs1_val==4 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0x6, x1, 956, x3) - -inst_254: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, -0x55555555, x1, 960, x3) - -inst_255: -// rs1_val==4 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0x55555556, x1, 964, x3) - -inst_256: -// rs1_val==4 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x4, 0x4, x1, 968, x3) - -inst_257: -// rs1_val==4 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0xb503, x1, 972, x3) - -inst_258: -// rs1_val==4 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x4, 0x0, x1, 976, x3) - -inst_259: -// rs1_val==4 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0x66666665, x1, 980, x3) - -inst_260: -// rs1_val==4 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0x33333332, x1, 984, x3) - -inst_261: -// rs1_val==4 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0x55555554, x1, 988, x3) - -inst_262: -// rs1_val==4 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x2, 0x4, 0x2, x1, 992, x3) - -inst_263: -// rs1_val==4 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0xb504, x1, 996, x3) - -inst_264: -// rs1_val==4 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, -0xb504, x1, 1000, x3) - -inst_265: -// rs1_val==4 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0x66666666, x1, 1004, x3) - -inst_266: -// rs1_val==4 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0x33333333, x1, 1008, x3) - -inst_267: -// rs1_val==4 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0x5, x1, 1012, x3) - -inst_268: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, -0x55555556, x1, 1016, x3) - -inst_269: -// rs1_val==4 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4, 0x55555555, x1, 1020, x3) - -inst_270: -// rs1_val==4 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x4, 0x3, x1, 1024, x3) - -inst_271: -// rs1_val==46339 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb503, 0xb505, x1, 1028, x3) - -inst_272: -// rs1_val==46339 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x1, 0xb503, -0xb503, x1, 1032, x3) - -inst_273: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb503, 0x66666667, x1, 1036, x3) - -inst_274: -// rs1_val==46339 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb503, 0x33333334, x1, 1040, x3) - -inst_275: -// rs1_val==46339 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x1e2b, 0xb503, 0x6, x1, 1044, x3) - -inst_276: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb503, -0x55555555, x1, 1048, x3) - -inst_277: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb503, 0x55555556, x1, 1052, x3) - -inst_278: -// rs1_val==46339 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x2d40, 0xb503, 0x4, x1, 1056, x3) - -inst_279: -// rs1_val==46339 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0xb503, 0xb503, x1, 1060, x3) - -inst_280: -// rs1_val==46339 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0xb503, 0x0, x1, 1064, x3) - -inst_281: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb503, 0x66666665, x1, 1068, x3) - -inst_282: -// rs1_val==46339 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb503, 0x33333332, x1, 1072, x3) - -inst_283: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb503, 0x55555554, x1, 1076, x3) - -inst_284: -// rs1_val==46339 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x5a81, 0xb503, 0x2, x1, 1080, x3) - -inst_285: -// rs1_val==46339 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb503, 0xb504, x1, 1084, x3) - -inst_286: -// rs1_val==46339 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb503, -0xb504, x1, 1088, x3) - -inst_287: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb503, 0x66666666, x1, 1092, x3) - -inst_288: -// rs1_val==46339 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb503, 0x33333333, x1, 1096, x3) - -inst_289: -// rs1_val==46339 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x2433, 0xb503, 0x5, x1, 1100, x3) - -inst_290: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb503, -0x55555556, x1, 1104, x3) - -inst_291: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb503, 0x55555555, x1, 1108, x3) - -inst_292: -// rs1_val==46339 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x3c56, 0xb503, 0x3, x1, 1112, x3) - -inst_293: -// rs1_val==0 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0xb505, x1, 1116, x3) - -inst_294: -// rs1_val==0 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, -0xb503, x1, 1120, x3) - -inst_295: -// rs1_val==0 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x66666667, x1, 1124, x3) - -inst_296: -// rs1_val==0 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x33333334, x1, 1128, x3) - -inst_297: -// rs1_val==0 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x6, x1, 1132, x3) - -inst_298: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, -0x55555555, x1, 1136, x3) - -inst_299: -// rs1_val==0 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x55555556, x1, 1140, x3) - -inst_300: -// rs1_val==0 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x4, x1, 1144, x3) - -inst_301: -// rs1_val==0 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0xb503, x1, 1148, x3) - -inst_302: -// rs1_val==0 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x0, 0x0, x1, 1152, x3) - -inst_303: -// rs1_val==0 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x66666665, x1, 1156, x3) - -inst_304: -// rs1_val==0 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x33333332, x1, 1160, x3) - -inst_305: -// rs1_val==0 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x55555554, x1, 1164, x3) - -inst_306: -// rs1_val==0 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x2, x1, 1168, x3) - -inst_307: -// rs1_val==0 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0xb504, x1, 1172, x3) - -inst_308: -// rs1_val==0 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, -0xb504, x1, 1176, x3) - -inst_309: -// rs1_val==0 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x66666666, x1, 1180, x3) - -inst_310: -// rs1_val==0 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x33333333, x1, 1184, x3) - -inst_311: -// rs1_val==0 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x5, x1, 1188, x3) - -inst_312: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, -0x55555556, x1, 1192, x3) - -inst_313: -// rs1_val==0 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x55555555, x1, 1196, x3) - -inst_314: -// rs1_val==0 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x0, 0x3, x1, 1200, x3) - -inst_315: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x90d0, 0x66666665, 0xb505, x1, 1204, x3) - -inst_316: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x90d2, 0x66666665, -0xb503, x1, 1208, x3) - -inst_317: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x66666665, 0x66666667, x1, 1212, x3) - -inst_318: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666665, 0x33333334, x1, 1216, x3) - -inst_319: -// rs1_val==1717986917 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x11111110, 0x66666665, 0x6, x1, 1220, x3) - -inst_320: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, -0x1, 0x66666665, -0x55555555, x1, 1224, x3) - -inst_321: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666665, 0x55555556, x1, 1228, x3) - -inst_322: -// rs1_val==1717986917 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x19999999, 0x66666665, 0x4, x1, 1232, x3) - -inst_323: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x90d2, 0x66666665, 0xb503, x1, 1236, x3) - -inst_324: -// rs1_val==858993459 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x33333333, 0x0, x1, 1240, x3) - -inst_325: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333333, 0x66666665, x1, 1244, x3) - -inst_326: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1248, x3) - -inst_327: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333333, 0x55555554, x1, 1252, x3) - -inst_328: -// rs1_val==858993459 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x19999999, 0x33333333, 0x2, x1, 1256, x3) - -inst_329: -// rs1_val==858993459 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x4868, 0x33333333, 0xb504, x1, 1260, x3) - -inst_330: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, -0x4868, 0x33333333, -0xb504, x1, 1264, x3) - -inst_331: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333333, 0x66666666, x1, 1268, x3) - -inst_332: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x33333333, 0x33333333, x1, 1272, x3) - -inst_333: -// rs1_val==858993459 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0xa3d70a3, 0x33333333, 0x5, x1, 1276, x3) - -inst_334: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333333, -0x55555556, x1, 1280, x3) - -inst_335: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333333, 0x55555555, x1, 1284, x3) - -inst_336: -// rs1_val==858993459 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x11111111, 0x33333333, 0x3, x1, 1288, x3) - -inst_337: -// rs1_val==5 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, 0xb505, x1, 1292, x3) - -inst_338: -// rs1_val==5 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, -0xb503, x1, 1296, x3) - -inst_339: -// rs1_val==5 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, 0x66666667, x1, 1300, x3) - -inst_340: -// rs1_val==5 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, 0x33333334, x1, 1304, x3) - -inst_341: -// rs1_val==5 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, 0x6, x1, 1308, x3) - -inst_342: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, -0x55555555, x1, 1312, x3) - -inst_343: -// rs1_val==5 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, 0x55555556, x1, 1316, x3) - -inst_344: -// rs1_val==5 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1320, x3) - -inst_345: -// rs1_val==5 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, 0xb503, x1, 1324, x3) - -inst_346: -// rs1_val==5 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x5, 0x0, x1, 1328, x3) - -inst_347: -// rs1_val==5 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, 0x66666665, x1, 1332, x3) - -inst_348: -// rs1_val==5 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, 0x33333332, x1, 1336, x3) - -inst_349: -// rs1_val==5 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, 0x55555554, x1, 1340, x3) - -inst_350: -// rs1_val==5 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, 0xb504, x1, 1344, x3) - -inst_351: -// rs1_val==5 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, -0xb504, x1, 1348, x3) - -inst_352: -// rs1_val==5 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, 0x66666666, x1, 1352, x3) - -inst_353: -// rs1_val==5 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, 0x33333333, x1, 1356, x3) - -inst_354: -// rs1_val==5 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x5, 0x5, x1, 1360, x3) - -inst_355: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, -0x55555556, x1, 1364, x3) - -inst_356: -// rs1_val==5 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x5, 0x55555555, x1, 1368, x3) - -inst_357: -// rs1_val==5 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x5, 0x3, x1, 1372, x3) - -inst_358: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, -0x78ad, -0x55555556, 0xb505, x1, 1376, x3) - -inst_359: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x78af, -0x55555556, -0xb503, x1, 1380, x3) - -inst_360: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x55555556, 0x66666667, x1, 1384, x3) - -inst_361: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, -0x1, -0x55555556, 0x33333334, x1, 1388, x3) - -inst_362: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, -0xe38e38e, -0x55555556, 0x6, x1, 1392, x3) - -inst_363: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x1, 1396, x3) - -inst_364: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, -0x1, -0x55555556, 0x55555556, x1, 1400, x3) - -inst_365: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, -0x15555555, -0x55555556, 0x4, x1, 1404, x3) - -inst_366: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x78af, -0x55555556, 0xb503, x1, 1408, x3) - -inst_367: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, -0x55555556, 0x0, x1, 1412, x3) - -inst_368: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x55555556, 0x66666665, x1, 1416, x3) - -inst_369: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, -0x1, -0x55555556, 0x33333332, x1, 1420, x3) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, -0x1, -0x55555556, 0x55555554, x1, 1424, x3) - -inst_371: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, -0x2aaaaaab, -0x55555556, 0x2, x1, 1428, x3) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, -0x78ae, -0x55555556, 0xb504, x1, 1432, x3) - -inst_373: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x78ae, -0x55555556, -0xb504, x1, 1436, x3) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x55555556, 0x66666666, x1, 1440, x3) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, -0x1, -0x55555556, 0x33333333, x1, 1444, x3) - -inst_376: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, -0x11111111, -0x55555556, 0x5, x1, 1448, x3) - -inst_377: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x1, -0x55555556, -0x55555556, x1, 1452, x3) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, -0x1, -0x55555556, 0x55555555, x1, 1456, x3) - -inst_379: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, -0x1c71c71c, -0x55555556, 0x3, x1, 1460, x3) - -inst_380: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x78ad, 0x55555555, 0xb505, x1, 1464, x3) - -inst_381: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x78af, 0x55555555, -0xb503, x1, 1468, x3) - -inst_382: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555555, 0x66666667, x1, 1472, x3) - -inst_383: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555555, 0x33333334, x1, 1476, x3) - -inst_384: -// rs1_val==1431655765 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0xe38e38e, 0x55555555, 0x6, x1, 1480, x3) - -inst_385: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, -0x1, 0x55555555, -0x55555555, x1, 1484, x3) - -inst_386: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555555, 0x55555556, x1, 1488, x3) - -inst_387: -// rs1_val==1431655765 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x15555555, 0x55555555, 0x4, x1, 1492, x3) - -inst_388: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x78af, 0x55555555, 0xb503, x1, 1496, x3) - -inst_389: -// rs1_val==1431655765 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x55555555, 0x0, x1, 1500, x3) - -inst_390: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555555, 0x66666665, x1, 1504, x3) - -inst_391: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555555, 0x33333332, x1, 1508, x3) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1512, x3) - -inst_393: -// rs1_val==1431655765 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x2aaaaaaa, 0x55555555, 0x2, x1, 1516, x3) - -inst_394: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x78ae, 0x55555555, 0xb504, x1, 1520, x3) - -inst_395: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, -0x78ae, 0x55555555, -0xb504, x1, 1524, x3) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555555, 0x66666666, x1, 1528, x3) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555555, 0x33333333, x1, 1532, x3) - -inst_398: -// rs1_val==1431655765 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x11111111, 0x55555555, 0x5, x1, 1536, x3) - -inst_399: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x1, 1540, x3) - -inst_400: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555555, 0x55555555, x1, 1544, x3) - -inst_401: -// rs1_val==1431655765 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x1c71c71c, 0x55555555, 0x3, x1, 1548, x3) - -inst_402: -// rs1_val==3 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0xb505, x1, 1552, x3) - -inst_403: -// rs1_val==3 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, -0xb503, x1, 1556, x3) - -inst_404: -// rs1_val==3 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0x66666667, x1, 1560, x3) - -inst_405: -// rs1_val==3 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0x33333334, x1, 1564, x3) - -inst_406: -// rs1_val==3 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0x6, x1, 1568, x3) - -inst_407: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, -0x55555555, x1, 1572, x3) - -inst_408: -// rs1_val==3 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0x55555556, x1, 1576, x3) - -inst_409: -// rs1_val==3 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0x4, x1, 1580, x3) - -inst_410: -// rs1_val==3 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0xb503, x1, 1584, x3) - -inst_411: -// rs1_val==3 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x3, 0x0, x1, 1588, x3) - -inst_412: -// rs1_val==3 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0x66666665, x1, 1592, x3) - -inst_413: -// rs1_val==3 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0x33333332, x1, 1596, x3) - -inst_414: -// rs1_val==3 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0x55555554, x1, 1600, x3) - -inst_415: -// rs1_val==3 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1604, x3) - -inst_416: -// rs1_val==3 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0xb504, x1, 1608, x3) - -inst_417: -// rs1_val==3 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, -0xb504, x1, 1612, x3) - -inst_418: -// rs1_val==3 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0x66666666, x1, 1616, x3) - -inst_419: -// rs1_val==3 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0x33333333, x1, 1620, x3) - -inst_420: -// rs1_val==3 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0x5, x1, 1624, x3) - -inst_421: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, -0x55555556, x1, 1628, x3) - -inst_422: -// rs1_val==3 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x3, 0x55555555, x1, 1632, x3) - -inst_423: -// rs1_val==3 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x3, 0x3, x1, 1636, x3) - -inst_424: -// rs1_val==1717986917 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x66666665, 0x0, x1, 1640, x3) - -inst_425: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666665, 0x66666665, x1, 1644, x3) - -inst_426: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x2, 0x66666665, 0x33333332, x1, 1648, x3) - -inst_427: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666665, 0x55555554, x1, 1652, x3) - -inst_428: -// rs1_val==1717986917 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x33333332, 0x66666665, 0x2, x1, 1656, x3) - -inst_429: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x90d1, 0x66666665, 0xb504, x1, 1660, x3) - -inst_430: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, -0x90d1, 0x66666665, -0xb504, x1, 1664, x3) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x66666665, 0x66666666, x1, 1668, x3) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666665, 0x33333333, x1, 1672, x3) - -inst_433: -// rs1_val==1717986917 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x147ae147, 0x66666665, 0x5, x1, 1676, x3) - -inst_434: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, -0x1, 0x66666665, -0x55555556, x1, 1680, x3) - -inst_435: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666665, 0x55555555, x1, 1684, x3) - -inst_436: -// rs1_val==1717986917 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x22222221, 0x66666665, 0x3, x1, 1688, x3) - -inst_437: -// rs1_val==858993458 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x4868, 0x33333332, 0xb505, x1, 1692, x3) - -inst_438: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x4869, 0x33333332, -0xb503, x1, 1696, x3) - -inst_439: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333332, 0x66666667, x1, 1700, x3) - -inst_440: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333332, 0x33333334, x1, 1704, x3) - -inst_441: -// rs1_val==858993458 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x8888888, 0x33333332, 0x6, x1, 1708, x3) - -inst_442: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333332, -0x55555555, x1, 1712, x3) - -inst_443: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333332, 0x55555556, x1, 1716, x3) - -inst_444: -// rs1_val==858993458 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0xccccccc, 0x33333332, 0x4, x1, 1720, x3) - -inst_445: -// rs1_val==858993458 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x4869, 0x33333332, 0xb503, x1, 1724, x3) - -inst_446: -// rs1_val==858993458 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x33333332, 0x0, x1, 1728, x3) - -inst_447: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333332, 0x66666665, x1, 1732, x3) - -inst_448: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x33333332, 0x33333332, x1, 1736, x3) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333332, 0x55555554, x1, 1740, x3) - -inst_450: -// rs1_val==858993458 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x19999999, 0x33333332, 0x2, x1, 1744, x3) - -inst_451: -// rs1_val==858993458 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x4868, 0x33333332, 0xb504, x1, 1748, x3) - -inst_452: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, -0x4868, 0x33333332, -0xb504, x1, 1752, x3) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333332, 0x66666666, x1, 1756, x3) - -inst_454: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333332, 0x33333333, x1, 1760, x3) - -inst_455: -// rs1_val==858993458 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0xa3d70a3, 0x33333332, 0x5, x1, 1764, x3) - -inst_456: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333332, -0x55555556, x1, 1768, x3) - -inst_457: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333332, 0x55555555, x1, 1772, x3) - -inst_458: -// rs1_val==858993458 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x11111110, 0x33333332, 0x3, x1, 1776, x3) - -inst_459: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x78ad, 0x55555554, 0xb505, x1, 1780, x3) - -inst_460: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x78af, 0x55555554, -0xb503, x1, 1784, x3) - -inst_461: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555554, 0x66666667, x1, 1788, x3) - -inst_462: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555554, 0x33333334, x1, 1792, x3) - -inst_463: -// rs1_val==1431655764 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0xe38e38e, 0x55555554, 0x6, x1, 1796, x3) - -inst_464: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x1, 1800, x3) - -inst_465: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555554, 0x55555556, x1, 1804, x3) - -inst_466: -// rs1_val==1431655764 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x15555555, 0x55555554, 0x4, x1, 1808, x3) - -inst_467: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x78af, 0x55555554, 0xb503, x1, 1812, x3) - -inst_468: -// rs1_val==1431655764 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x55555554, 0x0, x1, 1816, x3) - -inst_469: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555554, 0x66666665, x1, 1820, x3) - -inst_470: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555554, 0x33333332, x1, 1824, x3) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555554, 0x55555554, x1, 1828, x3) - -inst_472: -// rs1_val==1431655764 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x2aaaaaaa, 0x55555554, 0x2, x1, 1832, x3) - -inst_473: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x78ae, 0x55555554, 0xb504, x1, 1836, x3) - -inst_474: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, -0x78ae, 0x55555554, -0xb504, x1, 1840, x3) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555554, 0x66666666, x1, 1844, x3) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x55555554, 0x33333333, x1, 1848, x3) - -inst_477: -// rs1_val==1431655764 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x11111110, 0x55555554, 0x5, x1, 1852, x3) - -inst_478: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x1, 1856, x3) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x55555554, 0x55555555, x1, 1860, x3) - -inst_480: -// rs1_val==1431655764 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x1c71c71c, 0x55555554, 0x3, x1, 1864, x3) - -inst_481: -// rs1_val==2 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0xb505, x1, 1868, x3) - -inst_482: -// rs1_val==2 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, -0xb503, x1, 1872, x3) - -inst_483: -// rs1_val==2 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x66666667, x1, 1876, x3) - -inst_484: -// rs1_val==2 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x33333334, x1, 1880, x3) - -inst_485: -// rs1_val==2 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x6, x1, 1884, x3) - -inst_486: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, -0x55555555, x1, 1888, x3) - -inst_487: -// rs1_val==2 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x55555556, x1, 1892, x3) - -inst_488: -// rs1_val==2 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x4, x1, 1896, x3) - -inst_489: -// rs1_val==2 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0xb503, x1, 1900, x3) - -inst_490: -// rs1_val==2 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x2, 0x0, x1, 1904, x3) - -inst_491: -// rs1_val==2 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x66666665, x1, 1908, x3) - -inst_492: -// rs1_val==2 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x33333332, x1, 1912, x3) - -inst_493: -// rs1_val==2 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x55555554, x1, 1916, x3) - -inst_494: -// rs1_val==2 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x2, 0x2, x1, 1920, x3) - -inst_495: -// rs1_val==2 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0xb504, x1, 1924, x3) - -inst_496: -// rs1_val==2 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, -0xb504, x1, 1928, x3) - -inst_497: -// rs1_val==2 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x66666666, x1, 1932, x3) - -inst_498: -// rs1_val==2 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x33333333, x1, 1936, x3) - -inst_499: -// rs1_val==2 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x5, x1, 1940, x3) - -inst_500: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, -0x55555556, x1, 1944, x3) - -inst_501: -// rs1_val==2 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x55555555, x1, 1948, x3) - -inst_502: -// rs1_val==2 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x2, 0x3, x1, 1952, x3) - -inst_503: -// rs1_val==46340 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb504, 0xb505, x1, 1956, x3) - -inst_504: -// rs1_val==46340 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x1, 0xb504, -0xb503, x1, 1960, x3) - -inst_505: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb504, 0x66666667, x1, 1964, x3) - -inst_506: -// rs1_val==46340 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb504, 0x33333334, x1, 1968, x3) - -inst_507: -// rs1_val==46340 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x1e2b, 0xb504, 0x6, x1, 1972, x3) - -inst_508: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb504, -0x55555555, x1, 1976, x3) - -inst_509: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb504, 0x55555556, x1, 1980, x3) - -inst_510: -// rs1_val==46340 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x2d41, 0xb504, 0x4, x1, 1984, x3) - -inst_511: -// rs1_val==46340 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0xb504, 0xb503, x1, 1988, x3) - -inst_512: -// rs1_val==46340 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0xb504, 0x0, x1, 1992, x3) - -inst_513: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb504, 0x66666665, x1, 1996, x3) - -inst_514: -// rs1_val==46340 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb504, 0x33333332, x1, 2000, x3) - -inst_515: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb504, 0x55555554, x1, 2004, x3) - -inst_516: -// rs1_val==46340 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x5a82, 0xb504, 0x2, x1, 2008, x3) - -inst_517: -// rs1_val==46340 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0xb504, 0xb504, x1, 2012, x3) - -inst_518: -// rs1_val==46340 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, -0x1, 0xb504, -0xb504, x1, 2016, x3) - -inst_519: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb504, 0x66666666, x1, 2020, x3) - -inst_520: -// rs1_val==46340 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb504, 0x33333333, x1, 2024, x3) - -inst_521: -// rs1_val==46340 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x2434, 0xb504, 0x5, x1, 2028, x3) - -inst_522: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb504, -0x55555556, x1, 2032, x3) - -inst_523: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0xb504, 0x55555555, x1, 2036, x3) - -inst_524: -// rs1_val==46340 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x3c56, 0xb504, 0x3, x1, 2040, x3) - -inst_525: -// rs1_val==-46340 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb504, 0xb505, x1, 2044, x3) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_526: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x1, -0xb504, -0xb503, x1, 0, x3) - -inst_527: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb504, 0x66666667, x1, 4, x3) - -inst_528: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb504, 0x33333334, x1, 8, x3) - -inst_529: -// rs1_val==-46340 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, -0x1e2b, -0xb504, 0x6, x1, 12, x3) - -inst_530: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb504, -0x55555555, x1, 16, x3) - -inst_531: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb504, 0x55555556, x1, 20, x3) - -inst_532: -// rs1_val==-46340 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, -0x2d41, -0xb504, 0x4, x1, 24, x3) - -inst_533: -// rs1_val==-46340 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x1, -0xb504, 0xb503, x1, 28, x3) - -inst_534: -// rs1_val==-46340 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, -0xb504, 0x0, x1, 32, x3) - -inst_535: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb504, 0x66666665, x1, 36, x3) - -inst_536: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb504, 0x33333332, x1, 40, x3) - -inst_537: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb504, 0x55555554, x1, 44, x3) - -inst_538: -// rs1_val==-46340 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, -0x5a82, -0xb504, 0x2, x1, 48, x3) - -inst_539: -// rs1_val==-46340 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, -0x1, -0xb504, 0xb504, x1, 52, x3) - -inst_540: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x1, -0xb504, -0xb504, x1, 56, x3) - -inst_541: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb504, 0x66666666, x1, 60, x3) - -inst_542: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb504, 0x33333333, x1, 64, x3) - -inst_543: -// rs1_val==-46340 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, -0x2434, -0xb504, 0x5, x1, 68, x3) - -inst_544: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb504, -0x55555556, x1, 72, x3) - -inst_545: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0xb504, 0x55555555, x1, 76, x3) - -inst_546: -// rs1_val==-46340 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, -0x3c56, -0xb504, 0x3, x1, 80, x3) - -inst_547: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x90d0, 0x66666666, 0xb505, x1, 84, x3) - -inst_548: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x90d2, 0x66666666, -0xb503, x1, 88, x3) - -inst_549: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x66666666, 0x66666667, x1, 92, x3) - -inst_550: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666666, 0x33333334, x1, 96, x3) - -inst_551: -// rs1_val==1717986918 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x11111111, 0x66666666, 0x6, x1, 100, x3) - -inst_552: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, -0x1, 0x66666666, -0x55555555, x1, 104, x3) - -inst_553: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666666, 0x55555556, x1, 108, x3) - -inst_554: -// rs1_val==1717986918 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0x19999999, 0x66666666, 0x4, x1, 112, x3) - -inst_555: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x90d2, 0x66666666, 0xb503, x1, 116, x3) - -inst_556: -// rs1_val==1717986918 and rs2_val==0, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(div, x12, x10, x11, 0xFFFFFFFF, 0x66666666, 0x0, x1, 120, x3) - -inst_557: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666666, 0x66666665, x1, 124, x3) - -inst_558: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(div, x12, x10, x11, 0x2, 0x66666666, 0x33333332, x1, 128, x3) - -inst_559: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666666, 0x55555554, x1, 132, x3) - -inst_560: -// rs1_val==1717986918 and rs2_val==2, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(div, x12, x10, x11, 0x33333333, 0x66666666, 0x2, x1, 136, x3) - -inst_561: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(div, x12, x10, x11, 0x90d1, 0x66666666, 0xb504, x1, 140, x3) - -inst_562: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(div, x12, x10, x11, -0x90d1, 0x66666666, -0xb504, x1, 144, x3) - -inst_563: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666666, 0x66666666, x1, 148, x3) - -inst_564: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(div, x12, x10, x11, 0x2, 0x66666666, 0x33333333, x1, 152, x3) - -inst_565: -// rs1_val==1717986918 and rs2_val==5, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(div, x12, x10, x11, 0x147ae147, 0x66666666, 0x5, x1, 156, x3) - -inst_566: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(div, x12, x10, x11, -0x1, 0x66666666, -0x55555556, x1, 160, x3) - -inst_567: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x1, 0x66666666, 0x55555555, x1, 164, x3) - -inst_568: -// rs1_val==1717986918 and rs2_val==3, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(div, x12, x10, x11, 0x22222222, 0x66666666, 0x3, x1, 168, x3) - -inst_569: -// rs1_val==858993459 and rs2_val==46341, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(div, x12, x10, x11, 0x4868, 0x33333333, 0xb505, x1, 172, x3) - -inst_570: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(div, x12, x10, x11, -0x4869, 0x33333333, -0xb503, x1, 176, x3) - -inst_571: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333333, 0x66666667, x1, 180, x3) - -inst_572: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333333, 0x33333334, x1, 184, x3) - -inst_573: -// rs1_val==858993459 and rs2_val==6, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(div, x12, x10, x11, 0x8888888, 0x33333333, 0x6, x1, 188, x3) - -inst_574: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333333, -0x55555555, x1, 192, x3) - -inst_575: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x33333333, 0x55555556, x1, 196, x3) - -inst_576: -// rs1_val==858993459 and rs2_val==4, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(div, x12, x10, x11, 0xccccccc, 0x33333333, 0x4, x1, 200, x3) - -inst_577: -// rs1_val==858993459 and rs2_val==46339, -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(div, x12, x10, x11, 0x4869, 0x33333333, 0xb503, x1, 204, x3) - -inst_578: -// rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x8; op2val:-0x40000001 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x8, -0x40000001, x1, 208, x3) - -inst_579: -// rs2_val == -536870913, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x20000001 -TEST_RR_OP(div, x12, x10, x11, 0x0, 0x4000, -0x20000001, x1, 212, x3) - -inst_580: -// rs2_val == -268435457, rs1_val == -4194305 -// opcode: div ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x10000001 -TEST_RR_OP(div, x12, x10, x11, 0x0, -0x400001, -0x10000001, x1, 216, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 55*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/divu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/divu-01.S deleted file mode 100644 index 1519ccfde..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/divu-01.S +++ /dev/null @@ -1,3730 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 07:22:49 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32em.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the divu instruction of the RISC-V M extension for the divu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EM") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*M.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",divu) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs2 == rd != rs1, rs1==x2, rs2==x9, rd==x9, rs1_val != rs2_val and rs1_val > 0 and rs2_val > 0, rs2_val == 4286578687, rs1_val > 0 and rs2_val > 0, rs1_val == 1431655765 -// opcode: divu ; op1:x2; op2:x9; dest:x9; op1val:0x55555555; op2val:0xff7fffff -TEST_RR_OP(divu, x9, x2, x9, 0x0, 0x55555555, 0xff7fffff, x1, 0, x3) - -inst_1: -// rs1 == rd != rs2, rs1==x14, rs2==x12, rd==x14, rs2_val == 2147483647, -// opcode: divu ; op1:x14; op2:x12; dest:x14; op1val:0x13; op2val:0x7fffffff -TEST_RR_OP(divu, x14, x14, x12, 0x0, 0x13, 0x7fffffff, x1, 4, x3) - -inst_2: -// rs1 == rs2 == rd, rs1==x11, rs2==x11, rd==x11, rs2_val == 3221225471, rs1_val == 4294836223 -// opcode: divu ; op1:x11; op2:x11; dest:x11; op1val:0xfffdffff; op2val:0xfffdffff -TEST_RR_OP(divu, x11, x11, x11, 0x1, 0xfffdffff, 0xfffdffff, x1, 8, x3) - -inst_3: -// rs1 == rs2 != rd, rs1==x4, rs2==x4, rd==x15, rs2_val == 3758096383, rs1_val == 4294967263 -// opcode: divu ; op1:x4; op2:x4; dest:x15; op1val:0xffffffdf; op2val:0xffffffdf -TEST_RR_OP(divu, x15, x4, x4, 0x1, 0xffffffdf, 0xffffffdf, x1, 12, x3) - -inst_4: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x9, rs2==x8, rd==x13, rs2_val == 4026531839, -// opcode: divu ; op1:x9; op2:x8; dest:x13; op1val:0xfffe; op2val:0xefffffff -TEST_RR_OP(divu, x13, x9, x8, 0x0, 0xfffe, 0xefffffff, x1, 16, x3) - -inst_5: -// rs1==x12, rs2==x0, rd==x6, rs2_val == 4160749567, rs1_val == 1048576 -// opcode: divu ; op1:x12; op2:x0; dest:x6; op1val:0x100000; op2val:0x0 -TEST_RR_OP(divu, x6, x12, x0, 0xFFFFFFFF, 0x100000, 0x0, x1, 20, x3) - -inst_6: -// rs1==x7, rs2==x13, rd==x12, rs2_val == 4227858431, rs1_val == 32768 -// opcode: divu ; op1:x7; op2:x13; dest:x12; op1val:0x8000; op2val:0xfbffffff -TEST_RR_OP(divu, x12, x7, x13, 0x0, 0x8000, 0xfbffffff, x1, 24, x3) - -inst_7: -// rs1==x10, rs2==x5, rd==x8, rs2_val == 4261412863, rs1_val == 8 -// opcode: divu ; op1:x10; op2:x5; dest:x8; op1val:0x8; op2val:0xfdffffff -TEST_RR_OP(divu, x8, x10, x5, 0x0, 0x8, 0xfdffffff, x1, 28, x6) -RVTEST_SIGBASE( x9,signature_x9_0) - -inst_8: -// rs1==x13, rs2==x7, rd==x3, rs2_val == 4278190079, -// opcode: divu ; op1:x13; op2:x7; dest:x3; op1val:0x12; op2val:0xfeffffff -TEST_RR_OP(divu, x3, x13, x7, 0x0, 0x12, 0xfeffffff, x9, 0, x6) - -inst_9: -// rs1==x3, rs2==x14, rd==x2, rs2_val == 4290772991, rs1_val == 4294967167 -// opcode: divu ; op1:x3; op2:x14; dest:x2; op1val:0xffffff7f; op2val:0xffbfffff -TEST_RR_OP(divu, x2, x3, x14, 0x1, 0xffffff7f, 0xffbfffff, x9, 4, x6) - -inst_10: -// rs1==x5, rs2==x10, rd==x0, rs2_val == 4292870143, rs1_val == 4294443007 -// opcode: divu ; op1:x5; op2:x10; dest:x0; op1val:0xfff7ffff; op2val:0xffdfffff -TEST_RR_OP(divu, x0, x5, x10, 0, 0xfff7ffff, 0xffdfffff, x9, 8, x6) - -inst_11: -// rs1==x15, rs2==x3, rd==x1, rs2_val == 4293918719, -// opcode: divu ; op1:x15; op2:x3; dest:x1; op1val:0xfff7ffff; op2val:0xffefffff -TEST_RR_OP(divu, x1, x15, x3, 0x1, 0xfff7ffff, 0xffefffff, x9, 12, x6) - -inst_12: -// rs1==x8, rs2==x1, rd==x10, rs2_val == 4294443007, rs1_val == 1 -// opcode: divu ; op1:x8; op2:x1; dest:x10; op1val:0x1; op2val:0xfff7ffff -TEST_RR_OP(divu, x10, x8, x1, 0x0, 0x1, 0xfff7ffff, x9, 16, x6) - -inst_13: -// rs1==x1, rs2==x15, rd==x4, rs2_val == 4294705151, -// opcode: divu ; op1:x1; op2:x15; dest:x4; op1val:0x3; op2val:0xfffbffff -TEST_RR_OP(divu, x4, x1, x15, 0x0, 0x3, 0xfffbffff, x9, 20, x6) - -inst_14: -// rs1==x0, rs2==x2, rd==x5, rs2_val == 4294836223, rs1_val == 4294967231 -// opcode: divu ; op1:x0; op2:x2; dest:x5; op1val:0x0; op2val:0xfffdffff -TEST_RR_OP(divu, x5, x0, x2, 0x0, 0x0, 0xfffdffff, x9, 24, x3) - -inst_15: -// rs1==x6, rs2_val == 4294901759, -// opcode: divu ; op1:x6; op2:x12; dest:x7; op1val:0x55555555; op2val:0xfffeffff -TEST_RR_OP(divu, x7, x6, x12, 0x0, 0x55555555, 0xfffeffff, x9, 28, x3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs2==x6, rs2_val == 4294934527, -// opcode: divu ; op1:x14; op2:x6; dest:x13; op1val:0x8000; op2val:0xffff7fff -TEST_RR_OP(divu, x13, x14, x6, 0x0, 0x8000, 0xffff7fff, x1, 0, x3) - -inst_17: -// rs2_val == 4294950911, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xffffbfff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0xffffbfff, x1, 4, x3) - -inst_18: -// rs2_val == 4294959103, rs1_val == 0 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffffdfff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0xffffdfff, x1, 8, x3) - -inst_19: -// rs2_val == 4294963199, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffffefff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0xffffefff, x1, 12, x3) - -inst_20: -// rs2_val == 4294965247, rs1_val == 4292870143 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0xfffff7ff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffdfffff, 0xfffff7ff, x1, 16, x3) - -inst_21: -// rs2_val == 4294966271, rs1_val == 2 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffffbff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0xfffffbff, x1, 20, x3) - -inst_22: -// rs2_val == 4294966783, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xfffffdff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff, 0xfffffdff, x1, 24, x3) - -inst_23: -// rs2_val == 4294967039, rs1_val == 4 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffffeff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0xfffffeff, x1, 28, x3) - -inst_24: -// rs2_val == 4294967167, rs1_val == 536870912 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xffffff7f -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x20000000, 0xffffff7f, x1, 32, x3) - -inst_25: -// rs2_val == 4294967231, rs1_val == 4294963199 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffffefff; op2val:0xffffffbf -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffffefff, 0xffffffbf, x1, 36, x3) - -inst_26: -// rs2_val == 4294967263, rs1_val == 4294959103 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0xffffffdf -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffffdfff, 0xffffffdf, x1, 40, x3) - -inst_27: -// rs2_val == 4294967279, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffffefff; op2val:0xffffffef -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffffefff, 0xffffffef, x1, 44, x3) - -inst_28: -// rs2_val == 4294967287, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xe; op2val:0xfffffff7 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xe, 0xfffffff7, x1, 48, x3) - -inst_29: -// rs2_val == 4294967291, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffffffb -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0xfffffffb, x1, 52, x3) - -inst_30: -// rs2_val == 4294967293, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbf; op2val:0xfffffffd -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffffffbf, 0xfffffffd, x1, 56, x3) - -inst_31: -// rs2_val == 4294967294, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xfffffffe -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x66666667, 0xfffffffe, x1, 60, x3) - -inst_32: -// rs1_val == 2147483647, rs2_val == 1073741824 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x40000000 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x7fffffff, 0x40000000, x1, 64, x3) - -inst_33: -// rs1_val == 3221225471, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffff; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x3fffffff, 0xbfffffff, 0x3, x1, 68, x3) - -inst_34: -// rs1_val == 3758096383, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffff; op2val:0xffdfffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xdfffffff, 0xffdfffff, x1, 72, x3) - -inst_35: -// rs1_val == 4026531839, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x1536c, 0xefffffff, 0xb503, x1, 76, x3) - -inst_36: -// rs1_val == 4160749567, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0xff7fffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xf7ffffff, 0xff7fffff, x1, 80, x3) - -inst_37: -// rs1_val == 4227858431, rs2_val == 536870912 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfbffffff; op2val:0x20000000 -TEST_RR_OP(divu, x12, x10, x11, 0x7, 0xfbffffff, 0x20000000, x1, 84, x3) - -inst_38: -// rs1_val == 4261412863, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffff; op2val:0xfbffffff -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xfdffffff, 0xfbffffff, x1, 88, x3) - -inst_39: -// rs1_val == 4278190079, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfeffffff; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0xfeffffff, 0x66666667, x1, 92, x3) - -inst_40: -// rs1_val == 4286578687, rs2_val == 67108864 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xff7fffff; op2val:0x4000000 -TEST_RR_OP(divu, x12, x10, x11, 0x3f, 0xff7fffff, 0x4000000, x1, 96, x3) - -inst_41: -// rs1_val == 4290772991, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffff; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xffbfffff, 0xaaaaaaa9, x1, 100, x3) - -inst_42: -// rs1_val == 4293918719, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffefffff; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x2aa7ffff, 0xffefffff, 0x6, x1, 104, x3) - -inst_43: -// rs1_val == 4294705151, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x33326666, 0xfffbffff, 0x5, x1, 108, x3) - -inst_44: -// rs1_val == 4294901759, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffeffff; op2val:0x11 -TEST_RR_OP(divu, x12, x10, x11, 0xf0effff, 0xfffeffff, 0x11, x1, 112, x3) - -inst_45: -// rs1_val == 4294934527, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff7fff; op2val:0xfffffffd -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff7fff, 0xfffffffd, x1, 116, x3) - -inst_46: -// rs1_val == 4294950911, rs2_val == 8 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffffbfff; op2val:0x8 -TEST_RR_OP(divu, x12, x10, x11, 0x1ffff7ff, 0xffffbfff, 0x8, x1, 120, x3) - -inst_47: -// rs1_val == 4294965247, rs2_val == 2147483648 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff7ff; op2val:0x80000000 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xfffff7ff, 0x80000000, x1, 124, x3) - -inst_48: -// rs1_val == 4294966271, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffbff; op2val:0xffffffbf -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffffbff, 0xffffffbf, x1, 128, x3) - -inst_49: -// rs1_val == 4294966783, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffdff; op2val:0x7 -TEST_RR_OP(divu, x12, x10, x11, 0x249248db, 0xfffffdff, 0x7, x1, 132, x3) - -inst_50: -// rs1_val == 4294967039, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffeff; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0xfffffeff, 0x66666667, x1, 136, x3) - -inst_51: -// rs1_val == 4294967279, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffef; op2val:0x7 -TEST_RR_OP(divu, x12, x10, x11, 0x24924922, 0xffffffef, 0x7, x1, 140, x3) - -inst_52: -// rs1_val == 4294967287, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0xff7fffff -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xfffffff7, 0xff7fffff, x1, 144, x3) - -inst_53: -// rs1_val == 4294967291, rs2_val == 4 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffb; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x3ffffffe, 0xfffffffb, 0x4, x1, 148, x3) - -inst_54: -// rs1_val == 4294967293, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0xfffffffd, 0x66666665, x1, 152, x3) - -inst_55: -// rs1_val == 4294967294, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0xffdfffff -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xfffffffe, 0xffdfffff, x1, 156, x3) - -inst_56: -// rs2_val == 268435456, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x10000000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xa, 0x10000000, x1, 160, x3) - -inst_57: -// rs2_val == 134217728, rs1_val == 16777216 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x8000000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1000000, 0x8000000, x1, 164, x3) - -inst_58: -// rs2_val == 33554432, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x2000000 -TEST_RR_OP(divu, x12, x10, x11, 0x7f, 0xffffdfff, 0x2000000, x1, 168, x3) - -inst_59: -// rs2_val == 16777216, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1000000 -TEST_RR_OP(divu, x12, x10, x11, 0x55, 0x55555555, 0x1000000, x1, 172, x3) - -inst_60: -// rs2_val == 8388608, rs1_val == 67108864 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x800000 -TEST_RR_OP(divu, x12, x10, x11, 0x8, 0x4000000, 0x800000, x1, 176, x3) - -inst_61: -// rs2_val == 4194304, rs1_val == 262144 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x400000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x40000, 0x400000, x1, 180, x3) - -inst_62: -// rs2_val == 2097152, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x12; op2val:0x200000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x12, 0x200000, x1, 184, x3) - -inst_63: -// rs2_val == 1048576, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x100000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0x100000, x1, 188, x3) - -inst_64: -// rs2_val == 524288, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x80000 -TEST_RR_OP(divu, x12, x10, x11, 0xaaa, 0x55555555, 0x80000, x1, 192, x3) - -inst_65: -// rs2_val == 262144, rs1_val == 32 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x40000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x20, 0x40000, x1, 196, x3) - -inst_66: -// rs2_val == 131072, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x20000 -TEST_RR_OP(divu, x12, x10, x11, 0x1999, 0x33333333, 0x20000, x1, 200, x3) - -inst_67: -// rs2_val == 65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdf; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0xffff, 0xffffffdf, 0x10000, x1, 204, x3) - -inst_68: -// rs2_val == 32768, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x8000 -TEST_RR_OP(divu, x12, x10, x11, 0x1ffff, 0xffffdfff, 0x8000, x1, 208, x3) - -inst_69: -// rs2_val == 16384, rs1_val == 134217728 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x4000 -TEST_RR_OP(divu, x12, x10, x11, 0x2000, 0x8000000, 0x4000, x1, 212, x3) - -inst_70: -// rs2_val == 8192, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x2000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x7, 0x2000, x1, 216, x3) - -inst_71: -// rs2_val == 4096, rs1_val == (2**(xlen)-1) -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0x1000 -TEST_RR_OP(divu, x12, x10, x11, 0xfffff, 0xffffffff, 0x1000, x1, 220, x3) - -inst_72: -// rs2_val == 2048, rs1_val == 131072 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x800 -TEST_RR_OP(divu, x12, x10, x11, 0x40, 0x20000, 0x800, x1, 224, x3) - -inst_73: -// rs2_val == 1024, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x400 -TEST_RR_OP(divu, x12, x10, x11, 0x80, 0x20000, 0x400, x1, 228, x3) - -inst_74: -// rs2_val == 512, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x200 -TEST_RR_OP(divu, x12, x10, x11, 0x800, 0x100000, 0x200, x1, 232, x3) - -inst_75: -// rs2_val == 256, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0x100 -TEST_RR_OP(divu, x12, x10, x11, 0xefffff, 0xefffffff, 0x100, x1, 236, x3) - -inst_76: -// rs2_val == 128, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x80 -TEST_RR_OP(divu, x12, x10, x11, 0x16a, 0xb503, 0x80, x1, 240, x3) - -inst_77: -// rs2_val == 64, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xc; op2val:0x40 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xc, 0x40, x1, 244, x3) - -inst_78: -// rs2_val == 32, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x20 -TEST_RR_OP(divu, x12, x10, x11, 0x1000000, 0x20000000, 0x20, x1, 248, x3) - -inst_79: -// rs2_val == 16, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffeffff; op2val:0x10 -TEST_RR_OP(divu, x12, x10, x11, 0xfffefff, 0xfffeffff, 0x10, x1, 252, x3) - -inst_80: -// rs2_val == 2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbf; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x7fffffdf, 0xffffffbf, 0x2, x1, 256, x3) - -inst_81: -// rs2_val == 1, rs1_val == 524288 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x80000, 0x80000, 0x1, x1, 260, x3) - -inst_82: -// rs1_val == 2147483648, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0xb -TEST_RR_OP(divu, x12, x10, x11, 0xba2e8ba, 0x80000000, 0xb, x1, 264, x3) - -inst_83: -// rs1_val == 1073741824, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x1000 -TEST_RR_OP(divu, x12, x10, x11, 0x40000, 0x40000000, 0x1000, x1, 268, x3) - -inst_84: -// rs1_val == 268435456, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x1000, 0x10000000, 0xffff, x1, 272, x3) - -inst_85: -// rs1_val == 33554432, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2000000, 0x55555556, x1, 276, x3) - -inst_86: -// rs1_val == 8388608, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x800000, 0x800000, 0x1, x1, 280, x3) - -inst_87: -// rs1_val == 4194304, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xffffefff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x400000, 0xffffefff, x1, 284, x3) - -inst_88: -// rs1_val == 2097152, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0xfdffffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x200000, 0xfdffffff, x1, 288, x3) - -inst_89: -// rs1_val == 65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x2000000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x10000, 0x2000000, x1, 292, x3) - -inst_90: -// rs1_val == 16384, rs2_val == (2**(xlen)-1) -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xffffffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4000, 0xffffffff, x1, 296, x3) - -inst_91: -// rs1_val == 8192, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x666, 0x2000, 0x5, x1, 300, x3) - -inst_92: -// rs1_val == 4096, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x11 -TEST_RR_OP(divu, x12, x10, x11, 0xf0, 0x1000, 0x11, x1, 304, x3) - -inst_93: -// rs1_val == 2048, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x800, 0xaaaaaaa9, x1, 308, x3) - -inst_94: -// rs1_val == 1024, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0xffffffef -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x400, 0xffffffef, x1, 312, x3) - -inst_95: -// rs1_val == 512, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0xfffffffd -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x200, 0xfffffffd, x1, 316, x3) - -inst_96: -// rs1_val == 256, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x40000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x100, 0x40000, x1, 320, x3) - -inst_97: -// rs1_val == 128, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0xfffbffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x80, 0xfffbffff, x1, 324, x3) - -inst_98: -// rs1_val == 64, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x40, 0x10000, x1, 328, x3) - -inst_99: -// rs1_val == 16, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0xff7fffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x10, 0xff7fffff, x1, 332, x3) - -inst_100: -// rs1_val==65536 and rs2_val==65536, rs1_val == rs2_val and rs1_val > 0 and rs2_val > 0 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x10000, 0x10000, x1, 336, x3) - -inst_101: -// rs1_val==65536 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x10000, 0x10000, 0x1, x1, 340, x3) - -inst_102: -// rs1_val==65536 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x10000, 0xb505, x1, 344, x3) - -inst_103: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x10000, 0x66666667, x1, 348, x3) - -inst_104: -// rs1_val==65536 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x10000, 0x33333334, x1, 352, x3) - -inst_105: -// rs1_val==65536 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x2aaa, 0x10000, 0x6, x1, 356, x3) - -inst_106: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x10000, 0xaaaaaaab, x1, 360, x3) - -inst_107: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x10000, 0x55555556, x1, 364, x3) - -inst_108: -// rs1_val==65536 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x4000, 0x10000, 0x4, x1, 368, x3) - -inst_109: -// rs1_val==65536 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x10000, 0xfffe, x1, 372, x3) - -inst_110: -// rs1_val==65536 and rs2_val==0, rs2_val == 0 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x10000, 0x0, x1, 376, x3) - -inst_111: -// rs1_val==65536 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x10000, 0xb503, x1, 380, x3) - -inst_112: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x10000, 0x66666665, x1, 384, x3) - -inst_113: -// rs1_val==65536 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x10000, 0x33333332, x1, 388, x3) - -inst_114: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x10000, 0xaaaaaaa9, x1, 392, x3) - -inst_115: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x10000, 0x55555554, x1, 396, x3) - -inst_116: -// rs1_val==65536 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x8000, 0x10000, 0x2, x1, 400, x3) - -inst_117: -// rs1_val==65536 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x10000, 0xffff, x1, 404, x3) - -inst_118: -// rs1_val==65536 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x10000, 0xb504, x1, 408, x3) - -inst_119: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x10000, 0x66666666, x1, 412, x3) - -inst_120: -// rs1_val==65536 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x10000, 0x33333333, x1, 416, x3) - -inst_121: -// rs1_val==65536 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x3333, 0x10000, 0x5, x1, 420, x3) - -inst_122: -// rs1_val==65536 and rs2_val==2863311530, rs2_val == 2863311530 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x10000, 0xaaaaaaaa, x1, 424, x3) - -inst_123: -// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x10000, 0x55555555, x1, 428, x3) - -inst_124: -// rs1_val==65536 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x5555, 0x10000, 0x3, x1, 432, x3) - -inst_125: -// rs1_val==1 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x10000, x1, 436, x3) - -inst_126: -// rs1_val==1 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x1, 0x1, x1, 440, x3) - -inst_127: -// rs1_val==1 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0xb505, x1, 444, x3) - -inst_128: -// rs1_val==1 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x66666667, x1, 448, x3) - -inst_129: -// rs1_val==1 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x33333334, x1, 452, x3) - -inst_130: -// rs1_val==1 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x6, x1, 456, x3) - -inst_131: -// rs1_val==1 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0xaaaaaaab, x1, 460, x3) - -inst_132: -// rs1_val==1 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x55555556, x1, 464, x3) - -inst_133: -// rs1_val==1 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x4, x1, 468, x3) - -inst_134: -// rs1_val==1 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0xfffe, x1, 472, x3) - -inst_135: -// rs1_val==1 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x1, 0x0, x1, 476, x3) - -inst_136: -// rs1_val==1 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0xb503, x1, 480, x3) - -inst_137: -// rs1_val==1 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x66666665, x1, 484, x3) - -inst_138: -// rs1_val==1 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x33333332, x1, 488, x3) - -inst_139: -// rs1_val==1 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0xaaaaaaa9, x1, 492, x3) - -inst_140: -// rs1_val==1 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x55555554, x1, 496, x3) - -inst_141: -// rs1_val==1 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x2, x1, 500, x3) - -inst_142: -// rs1_val==1 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0xffff, x1, 504, x3) - -inst_143: -// rs1_val==1 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0xb504, x1, 508, x3) - -inst_144: -// rs1_val==1 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x66666666, x1, 512, x3) - -inst_145: -// rs1_val==1 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x33333333, x1, 516, x3) - -inst_146: -// rs1_val==1 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x5, x1, 520, x3) - -inst_147: -// rs1_val==1 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0xaaaaaaaa, x1, 524, x3) - -inst_148: -// rs1_val==1 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x55555555, x1, 528, x3) - -inst_149: -// rs1_val==1 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x1, 0x3, x1, 532, x3) - -inst_150: -// rs1_val==46341 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0x10000, x1, 536, x3) - -inst_151: -// rs1_val==46341 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0xb505, 0xb505, 0x1, x1, 540, x3) - -inst_152: -// rs1_val==46341 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xb505, 0xb505, x1, 544, x3) - -inst_153: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0x66666667, x1, 548, x3) - -inst_154: -// rs1_val==46341 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0x33333334, x1, 552, x3) - -inst_155: -// rs1_val==46341 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x1e2b, 0xb505, 0x6, x1, 556, x3) - -inst_156: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0xaaaaaaab, x1, 560, x3) - -inst_157: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0x55555556, x1, 564, x3) - -inst_158: -// rs1_val==46341 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x2d41, 0xb505, 0x4, x1, 568, x3) - -inst_159: -// rs1_val==46341 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0xfffe, x1, 572, x3) - -inst_160: -// rs1_val==46341 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0xb505, 0x0, x1, 576, x3) - -inst_161: -// rs1_val==46341 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xb505, 0xb503, x1, 580, x3) - -inst_162: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0x66666665, x1, 584, x3) - -inst_163: -// rs1_val==46341 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0x33333332, x1, 588, x3) - -inst_164: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0xaaaaaaa9, x1, 592, x3) - -inst_165: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0x55555554, x1, 596, x3) - -inst_166: -// rs1_val==46341 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x5a82, 0xb505, 0x2, x1, 600, x3) - -inst_167: -// rs1_val==46341 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0xffff, x1, 604, x3) - -inst_168: -// rs1_val==46341 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 608, x3) - -inst_169: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0x66666666, x1, 612, x3) - -inst_170: -// rs1_val==46341 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0x33333333, x1, 616, x3) - -inst_171: -// rs1_val==46341 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x2434, 0xb505, 0x5, x1, 620, x3) - -inst_172: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0xaaaaaaaa, x1, 624, x3) - -inst_173: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb505, 0x55555555, x1, 628, x3) - -inst_174: -// rs1_val==46341 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x3c57, 0xb505, 0x3, x1, 632, x3) - -inst_175: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x6666, 0x66666667, 0x10000, x1, 636, x3) - -inst_176: -// rs1_val==1717986919 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x66666667, 0x66666667, 0x1, x1, 640, x3) - -inst_177: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x90d0, 0x66666667, 0xb505, x1, 644, x3) - -inst_178: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666667, 0x66666667, x1, 648, x3) - -inst_179: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666667, 0x33333334, x1, 652, x3) - -inst_180: -// rs1_val==1717986919 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x11111111, 0x66666667, 0x6, x1, 656, x3) - -inst_181: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x66666667, 0xaaaaaaab, x1, 660, x3) - -inst_182: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666667, 0x55555556, x1, 664, x3) - -inst_183: -// rs1_val==1717986919 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x19999999, 0x66666667, 0x4, x1, 668, x3) - -inst_184: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x6667, 0x66666667, 0xfffe, x1, 672, x3) - -inst_185: -// rs1_val==1717986919 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x66666667, 0x0, x1, 676, x3) - -inst_186: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x90d2, 0x66666667, 0xb503, x1, 680, x3) - -inst_187: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666667, 0x66666665, x1, 684, x3) - -inst_188: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0x66666667, 0x33333332, x1, 688, x3) - -inst_189: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x66666667, 0xaaaaaaa9, x1, 692, x3) - -inst_190: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666667, 0x55555554, x1, 696, x3) - -inst_191: -// rs1_val==1717986919 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x33333333, 0x66666667, 0x2, x1, 700, x3) - -inst_192: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x6666, 0x66666667, 0xffff, x1, 704, x3) - -inst_193: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x90d1, 0x66666667, 0xb504, x1, 708, x3) - -inst_194: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 712, x3) - -inst_195: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0x66666667, 0x33333333, x1, 716, x3) - -inst_196: -// rs1_val==1717986919 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x147ae147, 0x66666667, 0x5, x1, 720, x3) - -inst_197: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x66666667, 0xaaaaaaaa, x1, 724, x3) - -inst_198: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666667, 0x55555555, x1, 728, x3) - -inst_199: -// rs1_val==1717986919 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x22222222, 0x66666667, 0x3, x1, 732, x3) - -inst_200: -// rs1_val==858993460 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x3333, 0x33333334, 0x10000, x1, 736, x3) - -inst_201: -// rs1_val==858993460 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x33333334, 0x33333334, 0x1, x1, 740, x3) - -inst_202: -// rs1_val==858993460 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x4868, 0x33333334, 0xb505, x1, 744, x3) - -inst_203: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333334, 0x66666667, x1, 748, x3) - -inst_204: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x33333334, 0x33333334, x1, 752, x3) - -inst_205: -// rs1_val==858993460 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x8888888, 0x33333334, 0x6, x1, 756, x3) - -inst_206: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333334, 0xaaaaaaab, x1, 760, x3) - -inst_207: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333334, 0x55555556, x1, 764, x3) - -inst_208: -// rs1_val==858993460 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0xccccccd, 0x33333334, 0x4, x1, 768, x3) - -inst_209: -// rs1_val==858993460 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x3333, 0x33333334, 0xfffe, x1, 772, x3) - -inst_210: -// rs1_val==858993460 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x33333334, 0x0, x1, 776, x3) - -inst_211: -// rs1_val==858993460 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x4869, 0x33333334, 0xb503, x1, 780, x3) - -inst_212: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333334, 0x66666665, x1, 784, x3) - -inst_213: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x33333334, 0x33333332, x1, 788, x3) - -inst_214: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333334, 0xaaaaaaa9, x1, 792, x3) - -inst_215: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333334, 0x55555554, x1, 796, x3) - -inst_216: -// rs1_val==858993460 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x1999999a, 0x33333334, 0x2, x1, 800, x3) - -inst_217: -// rs1_val==858993460 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x3333, 0x33333334, 0xffff, x1, 804, x3) - -inst_218: -// rs1_val==858993460 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x4868, 0x33333334, 0xb504, x1, 808, x3) - -inst_219: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333334, 0x66666666, x1, 812, x3) - -inst_220: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x33333334, 0x33333333, x1, 816, x3) - -inst_221: -// rs1_val==858993460 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0xa3d70a4, 0x33333334, 0x5, x1, 820, x3) - -inst_222: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333334, 0xaaaaaaaa, x1, 824, x3) - -inst_223: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333334, 0x55555555, x1, 828, x3) - -inst_224: -// rs1_val==858993460 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x11111111, 0x33333334, 0x3, x1, 832, x3) - -inst_225: -// rs1_val==6 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0x10000, x1, 836, x3) - -inst_226: -// rs1_val==6 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x6, 0x6, 0x1, x1, 840, x3) - -inst_227: -// rs1_val==6 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0xb505, x1, 844, x3) - -inst_228: -// rs1_val==6 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0x66666667, x1, 848, x3) - -inst_229: -// rs1_val==6 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0x33333334, x1, 852, x3) - -inst_230: -// rs1_val==6 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x6, 0x6, x1, 856, x3) - -inst_231: -// rs1_val==6 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0xaaaaaaab, x1, 860, x3) - -inst_232: -// rs1_val==6 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0x55555556, x1, 864, x3) - -inst_233: -// rs1_val==6 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x6, 0x4, x1, 868, x3) - -inst_234: -// rs1_val==6 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0xfffe, x1, 872, x3) - -inst_235: -// rs1_val==6 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x6, 0x0, x1, 876, x3) - -inst_236: -// rs1_val==6 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0xb503, x1, 880, x3) - -inst_237: -// rs1_val==6 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0x66666665, x1, 884, x3) - -inst_238: -// rs1_val==6 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0x33333332, x1, 888, x3) - -inst_239: -// rs1_val==6 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0xaaaaaaa9, x1, 892, x3) - -inst_240: -// rs1_val==6 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0x55555554, x1, 896, x3) - -inst_241: -// rs1_val==6 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x3, 0x6, 0x2, x1, 900, x3) - -inst_242: -// rs1_val==6 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0xffff, x1, 904, x3) - -inst_243: -// rs1_val==6 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0xb504, x1, 908, x3) - -inst_244: -// rs1_val==6 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0x66666666, x1, 912, x3) - -inst_245: -// rs1_val==6 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0x33333333, x1, 916, x3) - -inst_246: -// rs1_val==6 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x6, 0x5, x1, 920, x3) - -inst_247: -// rs1_val==6 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0xaaaaaaaa, x1, 924, x3) - -inst_248: -// rs1_val==6 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x6, 0x55555555, x1, 928, x3) - -inst_249: -// rs1_val==6 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0x6, 0x3, x1, 932, x3) - -inst_250: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0xaaaa, 0xaaaaaaab, 0x10000, x1, 936, x3) - -inst_251: -// rs1_val==2863311531 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x1, x1, 940, x3) - -inst_252: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0xf15b, 0xaaaaaaab, 0xb505, x1, 944, x3) - -inst_253: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaab, 0x66666667, x1, 948, x3) - -inst_254: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x3, 0xaaaaaaab, 0x33333334, x1, 952, x3) - -inst_255: -// rs1_val==2863311531 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x1c71c71c, 0xaaaaaaab, 0x6, x1, 956, x3) - -inst_256: -// rs1_val==2863311531 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaab, 0xaaaaaaab, x1, 960, x3) - -inst_257: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaab, 0x55555556, x1, 964, x3) - -inst_258: -// rs1_val==2863311531 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x2aaaaaaa, 0xaaaaaaab, 0x4, x1, 968, x3) - -inst_259: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0xaaac, 0xaaaaaaab, 0xfffe, x1, 972, x3) - -inst_260: -// rs1_val==2863311531 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0xaaaaaaab, 0x0, x1, 976, x3) - -inst_261: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0xf15e, 0xaaaaaaab, 0xb503, x1, 980, x3) - -inst_262: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaab, 0x66666665, x1, 984, x3) - -inst_263: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x3, 0xaaaaaaab, 0x33333332, x1, 988, x3) - -inst_264: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaab, 0xaaaaaaa9, x1, 992, x3) - -inst_265: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0xaaaaaaab, 0x55555554, x1, 996, x3) - -inst_266: -// rs1_val==2863311531 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x55555555, 0xaaaaaaab, 0x2, x1, 1000, x3) - -inst_267: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0xaaab, 0xaaaaaaab, 0xffff, x1, 1004, x3) - -inst_268: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0xf15d, 0xaaaaaaab, 0xb504, x1, 1008, x3) - -inst_269: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaab, 0x66666666, x1, 1012, x3) - -inst_270: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x3, 0xaaaaaaab, 0x33333333, x1, 1016, x3) - -inst_271: -// rs1_val==2863311531 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x22222222, 0xaaaaaaab, 0x5, x1, 1020, x3) - -inst_272: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaab, 0xaaaaaaaa, x1, 1024, x3) - -inst_273: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0xaaaaaaab, 0x55555555, x1, 1028, x3) - -inst_274: -// rs1_val==2863311531 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x38e38e39, 0xaaaaaaab, 0x3, x1, 1032, x3) - -inst_275: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x5555, 0x55555556, 0x10000, x1, 1036, x3) - -inst_276: -// rs1_val==1431655766 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x55555556, 0x55555556, 0x1, x1, 1040, x3) - -inst_277: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x78ad, 0x55555556, 0xb505, x1, 1044, x3) - -inst_278: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555556, 0x66666667, x1, 1048, x3) - -inst_279: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555556, 0x33333334, x1, 1052, x3) - -inst_280: -// rs1_val==1431655766 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0xe38e38e, 0x55555556, 0x6, x1, 1056, x3) - -inst_281: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555556, 0xaaaaaaab, x1, 1060, x3) - -inst_282: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555556, 0x55555556, x1, 1064, x3) - -inst_283: -// rs1_val==1431655766 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x15555555, 0x55555556, 0x4, x1, 1068, x3) - -inst_284: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x5556, 0x55555556, 0xfffe, x1, 1072, x3) - -inst_285: -// rs1_val==1431655766 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x55555556, 0x0, x1, 1076, x3) - -inst_286: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x78af, 0x55555556, 0xb503, x1, 1080, x3) - -inst_287: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555556, 0x66666665, x1, 1084, x3) - -inst_288: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555556, 0x33333332, x1, 1088, x3) - -inst_289: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555556, 0xaaaaaaa9, x1, 1092, x3) - -inst_290: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555556, 0x55555554, x1, 1096, x3) - -inst_291: -// rs1_val==1431655766 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x2aaaaaab, 0x55555556, 0x2, x1, 1100, x3) - -inst_292: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x5555, 0x55555556, 0xffff, x1, 1104, x3) - -inst_293: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x78ae, 0x55555556, 0xb504, x1, 1108, x3) - -inst_294: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555556, 0x66666666, x1, 1112, x3) - -inst_295: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555556, 0x33333333, x1, 1116, x3) - -inst_296: -// rs1_val==1431655766 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x11111111, 0x55555556, 0x5, x1, 1120, x3) - -inst_297: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555556, 0xaaaaaaaa, x1, 1124, x3) - -inst_298: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555556, 0x55555555, x1, 1128, x3) - -inst_299: -// rs1_val==1431655766 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x1c71c71c, 0x55555556, 0x3, x1, 1132, x3) - -inst_300: -// rs1_val==4 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0x10000, x1, 1136, x3) - -inst_301: -// rs1_val==4 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x4, 0x4, 0x1, x1, 1140, x3) - -inst_302: -// rs1_val==4 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0xb505, x1, 1144, x3) - -inst_303: -// rs1_val==4 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0x66666667, x1, 1148, x3) - -inst_304: -// rs1_val==4 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0x33333334, x1, 1152, x3) - -inst_305: -// rs1_val==4 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0x6, x1, 1156, x3) - -inst_306: -// rs1_val==4 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0xaaaaaaab, x1, 1160, x3) - -inst_307: -// rs1_val==4 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0x55555556, x1, 1164, x3) - -inst_308: -// rs1_val==4 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x4, 0x4, x1, 1168, x3) - -inst_309: -// rs1_val==4 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0xfffe, x1, 1172, x3) - -inst_310: -// rs1_val==4 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x4, 0x0, x1, 1176, x3) - -inst_311: -// rs1_val==4 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0xb503, x1, 1180, x3) - -inst_312: -// rs1_val==4 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0x66666665, x1, 1184, x3) - -inst_313: -// rs1_val==4 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0x33333332, x1, 1188, x3) - -inst_314: -// rs1_val==4 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0xaaaaaaa9, x1, 1192, x3) - -inst_315: -// rs1_val==4 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0x55555554, x1, 1196, x3) - -inst_316: -// rs1_val==4 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0x4, 0x2, x1, 1200, x3) - -inst_317: -// rs1_val==4 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0xffff, x1, 1204, x3) - -inst_318: -// rs1_val==4 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0xb504, x1, 1208, x3) - -inst_319: -// rs1_val==4 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0x66666666, x1, 1212, x3) - -inst_320: -// rs1_val==4 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0x33333333, x1, 1216, x3) - -inst_321: -// rs1_val==4 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0x5, x1, 1220, x3) - -inst_322: -// rs1_val==4 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0xaaaaaaaa, x1, 1224, x3) - -inst_323: -// rs1_val==4 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x4, 0x55555555, x1, 1228, x3) - -inst_324: -// rs1_val==4 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x4, 0x3, x1, 1232, x3) - -inst_325: -// rs1_val==65534 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0x10000, x1, 1236, x3) - -inst_326: -// rs1_val==65534 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0xfffe, 0xfffe, 0x1, x1, 1240, x3) - -inst_327: -// rs1_val==65534 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xfffe, 0xb505, x1, 1244, x3) - -inst_328: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0x66666667, x1, 1248, x3) - -inst_329: -// rs1_val==65534 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0x33333334, x1, 1252, x3) - -inst_330: -// rs1_val==65534 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x2aaa, 0xfffe, 0x6, x1, 1256, x3) - -inst_331: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0xaaaaaaab, x1, 1260, x3) - -inst_332: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0x55555556, x1, 1264, x3) - -inst_333: -// rs1_val==65534 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x3fff, 0xfffe, 0x4, x1, 1268, x3) - -inst_334: -// rs1_val==65534 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xfffe, 0xfffe, x1, 1272, x3) - -inst_335: -// rs1_val==65534 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0xfffe, 0x0, x1, 1276, x3) - -inst_336: -// rs1_val==65534 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xfffe, 0xb503, x1, 1280, x3) - -inst_337: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0x66666665, x1, 1284, x3) - -inst_338: -// rs1_val==65534 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0x33333332, x1, 1288, x3) - -inst_339: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0xaaaaaaa9, x1, 1292, x3) - -inst_340: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0x55555554, x1, 1296, x3) - -inst_341: -// rs1_val==65534 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x7fff, 0xfffe, 0x2, x1, 1300, x3) - -inst_342: -// rs1_val==65534 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0xffff, x1, 1304, x3) - -inst_343: -// rs1_val==65534 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xfffe, 0xb504, x1, 1308, x3) - -inst_344: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0x66666666, x1, 1312, x3) - -inst_345: -// rs1_val==65534 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0x33333333, x1, 1316, x3) - -inst_346: -// rs1_val==65534 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x3332, 0xfffe, 0x5, x1, 1320, x3) - -inst_347: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0xaaaaaaaa, x1, 1324, x3) - -inst_348: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xfffe, 0x55555555, x1, 1328, x3) - -inst_349: -// rs1_val==65534 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x5554, 0xfffe, 0x3, x1, 1332, x3) - -inst_350: -// rs1_val==0 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x10000, x1, 1336, x3) - -inst_351: -// rs1_val==0 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x1, x1, 1340, x3) - -inst_352: -// rs1_val==0 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0xb505, x1, 1344, x3) - -inst_353: -// rs1_val==0 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x66666667, x1, 1348, x3) - -inst_354: -// rs1_val==0 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x33333334, x1, 1352, x3) - -inst_355: -// rs1_val==0 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x6, x1, 1356, x3) - -inst_356: -// rs1_val==0 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0xaaaaaaab, x1, 1360, x3) - -inst_357: -// rs1_val==0 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x55555556, x1, 1364, x3) - -inst_358: -// rs1_val==0 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x4, x1, 1368, x3) - -inst_359: -// rs1_val==0 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0xfffe, x1, 1372, x3) - -inst_360: -// rs1_val==0 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x0, 0x0, x1, 1376, x3) - -inst_361: -// rs1_val==0 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0xb503, x1, 1380, x3) - -inst_362: -// rs1_val==0 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x66666665, x1, 1384, x3) - -inst_363: -// rs1_val==0 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x33333332, x1, 1388, x3) - -inst_364: -// rs1_val==0 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0xaaaaaaa9, x1, 1392, x3) - -inst_365: -// rs1_val==0 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x55555554, x1, 1396, x3) - -inst_366: -// rs1_val==0 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x2, x1, 1400, x3) - -inst_367: -// rs1_val==0 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0xffff, x1, 1404, x3) - -inst_368: -// rs1_val==0 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0xb504, x1, 1408, x3) - -inst_369: -// rs1_val==0 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x66666666, x1, 1412, x3) - -inst_370: -// rs1_val==0 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x33333333, x1, 1416, x3) - -inst_371: -// rs1_val==0 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x5, x1, 1420, x3) - -inst_372: -// rs1_val==0 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0xaaaaaaaa, x1, 1424, x3) - -inst_373: -// rs1_val==0 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x55555555, x1, 1428, x3) - -inst_374: -// rs1_val==0 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x0, 0x3, x1, 1432, x3) - -inst_375: -// rs1_val==46339 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0x10000, x1, 1436, x3) - -inst_376: -// rs1_val==46339 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0xb503, 0xb503, 0x1, x1, 1440, x3) - -inst_377: -// rs1_val==46339 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0xb505, x1, 1444, x3) - -inst_378: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0x66666667, x1, 1448, x3) - -inst_379: -// rs1_val==46339 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0x33333334, x1, 1452, x3) - -inst_380: -// rs1_val==46339 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x1e2b, 0xb503, 0x6, x1, 1456, x3) - -inst_381: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0xaaaaaaab, x1, 1460, x3) - -inst_382: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0x55555556, x1, 1464, x3) - -inst_383: -// rs1_val==46339 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x2d40, 0xb503, 0x4, x1, 1468, x3) - -inst_384: -// rs1_val==46339 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0xfffe, x1, 1472, x3) - -inst_385: -// rs1_val==46339 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0xb503, 0x0, x1, 1476, x3) - -inst_386: -// rs1_val==46339 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xb503, 0xb503, x1, 1480, x3) - -inst_387: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0x66666665, x1, 1484, x3) - -inst_388: -// rs1_val==46339 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0x33333332, x1, 1488, x3) - -inst_389: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0xaaaaaaa9, x1, 1492, x3) - -inst_390: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0x55555554, x1, 1496, x3) - -inst_391: -// rs1_val==46339 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x5a81, 0xb503, 0x2, x1, 1500, x3) - -inst_392: -// rs1_val==46339 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0xffff, x1, 1504, x3) - -inst_393: -// rs1_val==46339 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0xb504, x1, 1508, x3) - -inst_394: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0x66666666, x1, 1512, x3) - -inst_395: -// rs1_val==46339 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0x33333333, x1, 1516, x3) - -inst_396: -// rs1_val==46339 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x2433, 0xb503, 0x5, x1, 1520, x3) - -inst_397: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0xaaaaaaaa, x1, 1524, x3) - -inst_398: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb503, 0x55555555, x1, 1528, x3) - -inst_399: -// rs1_val==46339 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x3c56, 0xb503, 0x3, x1, 1532, x3) - -inst_400: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x6666, 0x66666665, 0x10000, x1, 1536, x3) - -inst_401: -// rs1_val==1717986917 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x66666665, 0x66666665, 0x1, x1, 1540, x3) - -inst_402: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x90d0, 0x66666665, 0xb505, x1, 1544, x3) - -inst_403: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x66666665, 0x66666667, x1, 1548, x3) - -inst_404: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666665, 0x33333334, x1, 1552, x3) - -inst_405: -// rs1_val==1717986917 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x11111110, 0x66666665, 0x6, x1, 1556, x3) - -inst_406: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x66666665, 0xaaaaaaab, x1, 1560, x3) - -inst_407: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666665, 0x55555556, x1, 1564, x3) - -inst_408: -// rs1_val==1717986917 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x19999999, 0x66666665, 0x4, x1, 1568, x3) - -inst_409: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x6667, 0x66666665, 0xfffe, x1, 1572, x3) - -inst_410: -// rs1_val==1717986917 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x66666665, 0x0, x1, 1576, x3) - -inst_411: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x90d2, 0x66666665, 0xb503, x1, 1580, x3) - -inst_412: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666665, 0x66666665, x1, 1584, x3) - -inst_413: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0x66666665, 0x33333332, x1, 1588, x3) - -inst_414: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x66666665, 0xaaaaaaa9, x1, 1592, x3) - -inst_415: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666665, 0x55555554, x1, 1596, x3) - -inst_416: -// rs1_val==1717986917 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x33333332, 0x66666665, 0x2, x1, 1600, x3) - -inst_417: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x6666, 0x66666665, 0xffff, x1, 1604, x3) - -inst_418: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x90d1, 0x66666665, 0xb504, x1, 1608, x3) - -inst_419: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x66666665, 0x66666666, x1, 1612, x3) - -inst_420: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666665, 0x33333333, x1, 1616, x3) - -inst_421: -// rs1_val==1717986917 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x147ae147, 0x66666665, 0x5, x1, 1620, x3) - -inst_422: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x66666665, 0xaaaaaaaa, x1, 1624, x3) - -inst_423: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666665, 0x55555555, x1, 1628, x3) - -inst_424: -// rs1_val==1717986917 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x22222221, 0x66666665, 0x3, x1, 1632, x3) - -inst_425: -// rs1_val==858993458 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x3333, 0x33333332, 0x10000, x1, 1636, x3) - -inst_426: -// rs1_val==858993458 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x33333332, 0x33333332, 0x1, x1, 1640, x3) - -inst_427: -// rs1_val==858993458 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x4868, 0x33333332, 0xb505, x1, 1644, x3) - -inst_428: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333332, 0x66666667, x1, 1648, x3) - -inst_429: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333332, 0x33333334, x1, 1652, x3) - -inst_430: -// rs1_val==858993458 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x8888888, 0x33333332, 0x6, x1, 1656, x3) - -inst_431: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333332, 0xaaaaaaab, x1, 1660, x3) - -inst_432: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333332, 0x55555556, x1, 1664, x3) - -inst_433: -// rs1_val==858993458 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0xccccccc, 0x33333332, 0x4, x1, 1668, x3) - -inst_434: -// rs1_val==858993458 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x3333, 0x33333332, 0xfffe, x1, 1672, x3) - -inst_435: -// rs1_val==858993458 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x33333332, 0x0, x1, 1676, x3) - -inst_436: -// rs1_val==858993458 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x4869, 0x33333332, 0xb503, x1, 1680, x3) - -inst_437: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333332, 0x66666665, x1, 1684, x3) - -inst_438: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x33333332, 0x33333332, x1, 1688, x3) - -inst_439: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333332, 0xaaaaaaa9, x1, 1692, x3) - -inst_440: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333332, 0x55555554, x1, 1696, x3) - -inst_441: -// rs1_val==858993458 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x19999999, 0x33333332, 0x2, x1, 1700, x3) - -inst_442: -// rs1_val==858993458 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x3333, 0x33333332, 0xffff, x1, 1704, x3) - -inst_443: -// rs1_val==858993458 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x4868, 0x33333332, 0xb504, x1, 1708, x3) - -inst_444: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333332, 0x66666666, x1, 1712, x3) - -inst_445: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333332, 0x33333333, x1, 1716, x3) - -inst_446: -// rs1_val==858993458 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0xa3d70a3, 0x33333332, 0x5, x1, 1720, x3) - -inst_447: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333332, 0xaaaaaaaa, x1, 1724, x3) - -inst_448: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333332, 0x55555555, x1, 1728, x3) - -inst_449: -// rs1_val==858993458 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x11111110, 0x33333332, 0x3, x1, 1732, x3) - -inst_450: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0xaaaa, 0xaaaaaaa9, 0x10000, x1, 1736, x3) - -inst_451: -// rs1_val==2863311529 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x1, x1, 1740, x3) - -inst_452: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0xf15b, 0xaaaaaaa9, 0xb505, x1, 1744, x3) - -inst_453: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0x66666667, x1, 1748, x3) - -inst_454: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x3, 0xaaaaaaa9, 0x33333334, x1, 1752, x3) - -inst_455: -// rs1_val==2863311529 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x1c71c71c, 0xaaaaaaa9, 0x6, x1, 1756, x3) - -inst_456: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xaaaaaaab, x1, 1760, x3) - -inst_457: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0x55555556, x1, 1764, x3) - -inst_458: -// rs1_val==2863311529 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x2aaaaaaa, 0xaaaaaaa9, 0x4, x1, 1768, x3) - -inst_459: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0xaaac, 0xaaaaaaa9, 0xfffe, x1, 1772, x3) - -inst_460: -// rs1_val==2863311529 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0xaaaaaaa9, 0x0, x1, 1776, x3) - -inst_461: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0xf15e, 0xaaaaaaa9, 0xb503, x1, 1780, x3) - -inst_462: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0x66666665, x1, 1784, x3) - -inst_463: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x3, 0xaaaaaaa9, 0x33333332, x1, 1788, x3) - -inst_464: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaa9, x1, 1792, x3) - -inst_465: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0xaaaaaaa9, 0x55555554, x1, 1796, x3) - -inst_466: -// rs1_val==2863311529 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x55555554, 0xaaaaaaa9, 0x2, x1, 1800, x3) - -inst_467: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0xaaab, 0xaaaaaaa9, 0xffff, x1, 1804, x3) - -inst_468: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0xf15d, 0xaaaaaaa9, 0xb504, x1, 1808, x3) - -inst_469: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0x66666666, x1, 1812, x3) - -inst_470: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x3, 0xaaaaaaa9, 0x33333333, x1, 1816, x3) - -inst_471: -// rs1_val==1431655764 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x15555555, 0x55555554, 0x4, x1, 1820, x3) - -inst_472: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x5556, 0x55555554, 0xfffe, x1, 1824, x3) - -inst_473: -// rs1_val==1431655764 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x55555554, 0x0, x1, 1828, x3) - -inst_474: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x78af, 0x55555554, 0xb503, x1, 1832, x3) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555554, 0x66666665, x1, 1836, x3) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555554, 0x33333332, x1, 1840, x3) - -inst_477: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555554, 0xaaaaaaa9, x1, 1844, x3) - -inst_478: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555554, 0x55555554, x1, 1848, x3) - -inst_479: -// rs1_val==1431655764 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x2aaaaaaa, 0x55555554, 0x2, x1, 1852, x3) - -inst_480: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x5555, 0x55555554, 0xffff, x1, 1856, x3) - -inst_481: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x78ae, 0x55555554, 0xb504, x1, 1860, x3) - -inst_482: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555554, 0x66666666, x1, 1864, x3) - -inst_483: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555554, 0x33333333, x1, 1868, x3) - -inst_484: -// rs1_val==1431655764 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x11111110, 0x55555554, 0x5, x1, 1872, x3) - -inst_485: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555554, 0xaaaaaaaa, x1, 1876, x3) - -inst_486: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555554, 0x55555555, x1, 1880, x3) - -inst_487: -// rs1_val==1431655764 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x1c71c71c, 0x55555554, 0x3, x1, 1884, x3) - -inst_488: -// rs1_val==2 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0x10000, x1, 1888, x3) - -inst_489: -// rs1_val==2 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0x2, 0x1, x1, 1892, x3) - -inst_490: -// rs1_val==2 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0xb505, x1, 1896, x3) - -inst_491: -// rs1_val==2 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0x66666667, x1, 1900, x3) - -inst_492: -// rs1_val==2 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0x33333334, x1, 1904, x3) - -inst_493: -// rs1_val==2 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0x6, x1, 1908, x3) - -inst_494: -// rs1_val==2 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0xaaaaaaab, x1, 1912, x3) - -inst_495: -// rs1_val==2 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0x55555556, x1, 1916, x3) - -inst_496: -// rs1_val==2 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0x4, x1, 1920, x3) - -inst_497: -// rs1_val==2 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0xfffe, x1, 1924, x3) - -inst_498: -// rs1_val==2 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x2, 0x0, x1, 1928, x3) - -inst_499: -// rs1_val==2 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0xb503, x1, 1932, x3) - -inst_500: -// rs1_val==2 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0x66666665, x1, 1936, x3) - -inst_501: -// rs1_val==2 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0x33333332, x1, 1940, x3) - -inst_502: -// rs1_val==2 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0xaaaaaaa9, x1, 1944, x3) - -inst_503: -// rs1_val==2 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0x55555554, x1, 1948, x3) - -inst_504: -// rs1_val==2 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x2, 0x2, x1, 1952, x3) - -inst_505: -// rs1_val==2 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0xffff, x1, 1956, x3) - -inst_506: -// rs1_val==2 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0xb504, x1, 1960, x3) - -inst_507: -// rs1_val==2 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0x66666666, x1, 1964, x3) - -inst_508: -// rs1_val==2 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0x33333333, x1, 1968, x3) - -inst_509: -// rs1_val==2 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0x5, x1, 1972, x3) - -inst_510: -// rs1_val==2 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0xaaaaaaaa, x1, 1976, x3) - -inst_511: -// rs1_val==2 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0x55555555, x1, 1980, x3) - -inst_512: -// rs1_val==2 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x2, 0x3, x1, 1984, x3) - -inst_513: -// rs1_val==65535 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff, 0x10000, x1, 1988, x3) - -inst_514: -// rs1_val==65535 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0xffff, 0xffff, 0x1, x1, 1992, x3) - -inst_515: -// rs1_val==65535 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xffff, 0xb505, x1, 1996, x3) - -inst_516: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff, 0x66666667, x1, 2000, x3) - -inst_517: -// rs1_val==65535 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff, 0x33333334, x1, 2004, x3) - -inst_518: -// rs1_val==65535 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x2aaa, 0xffff, 0x6, x1, 2008, x3) - -inst_519: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff, 0xaaaaaaab, x1, 2012, x3) - -inst_520: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff, 0x55555556, x1, 2016, x3) - -inst_521: -// rs1_val==65535 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x3fff, 0xffff, 0x4, x1, 2020, x3) - -inst_522: -// rs1_val==65535 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xffff, 0xfffe, x1, 2024, x3) - -inst_523: -// rs1_val==65535 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0xffff, 0x0, x1, 2028, x3) - -inst_524: -// rs1_val==65535 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xffff, 0xb503, x1, 2032, x3) - -inst_525: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff, 0x66666665, x1, 2036, x3) - -inst_526: -// rs1_val==65535 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff, 0x33333332, x1, 2040, x3) - -inst_527: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff, 0xaaaaaaa9, x1, 2044, x3) -RVTEST_SIGBASE( x1,signature_x1_3) - -inst_528: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff, 0x55555554, x1, 0, x3) - -inst_529: -// rs1_val==65535 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x7fff, 0xffff, 0x2, x1, 4, x3) - -inst_530: -// rs1_val==65535 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xffff, 0xffff, x1, 8, x3) - -inst_531: -// rs1_val==65535 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xffff, 0xb504, x1, 12, x3) - -inst_532: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff, 0x66666666, x1, 16, x3) - -inst_533: -// rs1_val==65535 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff, 0x33333333, x1, 20, x3) - -inst_534: -// rs1_val==65535 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x3333, 0xffff, 0x5, x1, 24, x3) - -inst_535: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff, 0xaaaaaaaa, x1, 28, x3) - -inst_536: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xffff, 0x55555555, x1, 32, x3) - -inst_537: -// rs1_val==65535 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x5555, 0xffff, 0x3, x1, 36, x3) - -inst_538: -// rs1_val==46340 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0x10000, x1, 40, x3) - -inst_539: -// rs1_val==46340 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0xb504, 0xb504, 0x1, x1, 44, x3) - -inst_540: -// rs1_val==46340 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0xb505, x1, 48, x3) - -inst_541: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0x66666667, x1, 52, x3) - -inst_542: -// rs1_val==46340 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0x33333334, x1, 56, x3) - -inst_543: -// rs1_val==46340 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x1e2b, 0xb504, 0x6, x1, 60, x3) - -inst_544: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0xaaaaaaab, x1, 64, x3) - -inst_545: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0x55555556, x1, 68, x3) - -inst_546: -// rs1_val==46340 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x2d41, 0xb504, 0x4, x1, 72, x3) - -inst_547: -// rs1_val==46340 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0xfffe, x1, 76, x3) - -inst_548: -// rs1_val==46340 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0xb504, 0x0, x1, 80, x3) - -inst_549: -// rs1_val==46340 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xb504, 0xb503, x1, 84, x3) - -inst_550: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0x66666665, x1, 88, x3) - -inst_551: -// rs1_val==46340 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0x33333332, x1, 92, x3) - -inst_552: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0xaaaaaaa9, x1, 96, x3) - -inst_553: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0x55555554, x1, 100, x3) - -inst_554: -// rs1_val==46340 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x5a82, 0xb504, 0x2, x1, 104, x3) - -inst_555: -// rs1_val==46340 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0xffff, x1, 108, x3) - -inst_556: -// rs1_val==46340 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xb504, 0xb504, x1, 112, x3) - -inst_557: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0x66666666, x1, 116, x3) - -inst_558: -// rs1_val==46340 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0x33333333, x1, 120, x3) - -inst_559: -// rs1_val==46340 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x2434, 0xb504, 0x5, x1, 124, x3) - -inst_560: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0xaaaaaaaa, x1, 128, x3) - -inst_561: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xb504, 0x55555555, x1, 132, x3) - -inst_562: -// rs1_val==46340 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x3c56, 0xb504, 0x3, x1, 136, x3) - -inst_563: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x6666, 0x66666666, 0x10000, x1, 140, x3) - -inst_564: -// rs1_val==1717986918 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x66666666, 0x66666666, 0x1, x1, 144, x3) - -inst_565: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x90d0, 0x66666666, 0xb505, x1, 148, x3) - -inst_566: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x66666666, 0x66666667, x1, 152, x3) - -inst_567: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666666, 0x33333334, x1, 156, x3) - -inst_568: -// rs1_val==1717986918 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x11111111, 0x66666666, 0x6, x1, 160, x3) - -inst_569: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x66666666, 0xaaaaaaab, x1, 164, x3) - -inst_570: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666666, 0x55555556, x1, 168, x3) - -inst_571: -// rs1_val==1717986918 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x19999999, 0x66666666, 0x4, x1, 172, x3) - -inst_572: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x6667, 0x66666666, 0xfffe, x1, 176, x3) - -inst_573: -// rs1_val==1717986918 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x66666666, 0x0, x1, 180, x3) - -inst_574: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x90d2, 0x66666666, 0xb503, x1, 184, x3) - -inst_575: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666666, 0x66666665, x1, 188, x3) - -inst_576: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0x66666666, 0x33333332, x1, 192, x3) - -inst_577: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x66666666, 0xaaaaaaa9, x1, 196, x3) - -inst_578: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666666, 0x55555554, x1, 200, x3) - -inst_579: -// rs1_val==1717986918 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x33333333, 0x66666666, 0x2, x1, 204, x3) - -inst_580: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x6666, 0x66666666, 0xffff, x1, 208, x3) - -inst_581: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x90d1, 0x66666666, 0xb504, x1, 212, x3) - -inst_582: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666666, 0x66666666, x1, 216, x3) - -inst_583: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0x66666666, 0x33333333, x1, 220, x3) - -inst_584: -// rs1_val==1717986918 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x147ae147, 0x66666666, 0x5, x1, 224, x3) - -inst_585: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x66666666, 0xaaaaaaaa, x1, 228, x3) - -inst_586: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x66666666, 0x55555555, x1, 232, x3) - -inst_587: -// rs1_val==1717986918 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x22222222, 0x66666666, 0x3, x1, 236, x3) - -inst_588: -// rs1_val==858993459 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x3333, 0x33333333, 0x10000, x1, 240, x3) - -inst_589: -// rs1_val==858993459 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x33333333, 0x33333333, 0x1, x1, 244, x3) - -inst_590: -// rs1_val==858993459 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x4868, 0x33333333, 0xb505, x1, 248, x3) - -inst_591: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333333, 0x66666667, x1, 252, x3) - -inst_592: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333333, 0x33333334, x1, 256, x3) - -inst_593: -// rs1_val==858993459 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x8888888, 0x33333333, 0x6, x1, 260, x3) - -inst_594: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333333, 0xaaaaaaab, x1, 264, x3) - -inst_595: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333333, 0x55555556, x1, 268, x3) - -inst_596: -// rs1_val==858993459 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0xccccccc, 0x33333333, 0x4, x1, 272, x3) - -inst_597: -// rs1_val==858993459 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x3333, 0x33333333, 0xfffe, x1, 276, x3) - -inst_598: -// rs1_val==858993459 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x33333333, 0x0, x1, 280, x3) - -inst_599: -// rs1_val==2863311529 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x38e38e38, 0xaaaaaaa9, 0x3, x1, 284, x3) - -inst_600: -// rs1_val==858993459 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x4869, 0x33333333, 0xb503, x1, 288, x3) - -inst_601: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333333, 0x66666665, x1, 292, x3) - -inst_602: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 296, x3) - -inst_603: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333333, 0xaaaaaaa9, x1, 300, x3) - -inst_604: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333333, 0x55555554, x1, 304, x3) - -inst_605: -// rs1_val==858993459 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x19999999, 0x33333333, 0x2, x1, 308, x3) - -inst_606: -// rs1_val==858993459 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x3333, 0x33333333, 0xffff, x1, 312, x3) - -inst_607: -// rs1_val==858993459 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x4868, 0x33333333, 0xb504, x1, 316, x3) - -inst_608: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333333, 0x66666666, x1, 320, x3) - -inst_609: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x33333333, 0x33333333, x1, 324, x3) - -inst_610: -// rs1_val==858993459 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0xa3d70a3, 0x33333333, 0x5, x1, 328, x3) - -inst_611: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333333, 0xaaaaaaaa, x1, 332, x3) - -inst_612: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x33333333, 0x55555555, x1, 336, x3) - -inst_613: -// rs1_val==858993459 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x11111111, 0x33333333, 0x3, x1, 340, x3) - -inst_614: -// rs1_val==5 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0x10000, x1, 344, x3) - -inst_615: -// rs1_val==5 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x5, 0x5, 0x1, x1, 348, x3) - -inst_616: -// rs1_val==5 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0xb505, x1, 352, x3) - -inst_617: -// rs1_val==5 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0x66666667, x1, 356, x3) - -inst_618: -// rs1_val==5 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0x33333334, x1, 360, x3) - -inst_619: -// rs1_val==5 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0x6, x1, 364, x3) - -inst_620: -// rs1_val==5 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0xaaaaaaab, x1, 368, x3) - -inst_621: -// rs1_val==5 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0x55555556, x1, 372, x3) - -inst_622: -// rs1_val==5 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x5, 0x4, x1, 376, x3) - -inst_623: -// rs1_val==5 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0xfffe, x1, 380, x3) - -inst_624: -// rs1_val==5 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x5, 0x0, x1, 384, x3) - -inst_625: -// rs1_val==5 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0xb503, x1, 388, x3) - -inst_626: -// rs1_val==5 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0x66666665, x1, 392, x3) - -inst_627: -// rs1_val==5 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0x33333332, x1, 396, x3) - -inst_628: -// rs1_val==5 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0xaaaaaaa9, x1, 400, x3) - -inst_629: -// rs1_val==5 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0x55555554, x1, 404, x3) - -inst_630: -// rs1_val==5 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0x5, 0x2, x1, 408, x3) - -inst_631: -// rs1_val==5 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0xffff, x1, 412, x3) - -inst_632: -// rs1_val==5 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0xb504, x1, 416, x3) - -inst_633: -// rs1_val==5 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0x66666666, x1, 420, x3) - -inst_634: -// rs1_val==5 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0x33333333, x1, 424, x3) - -inst_635: -// rs1_val==5 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x5, 0x5, x1, 428, x3) - -inst_636: -// rs1_val==5 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0xaaaaaaaa, x1, 432, x3) - -inst_637: -// rs1_val==5 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x5, 0x55555555, x1, 436, x3) - -inst_638: -// rs1_val==5 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x5, 0x3, x1, 440, x3) - -inst_639: -// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0xaaaa, 0xaaaaaaaa, 0x10000, x1, 444, x3) - -inst_640: -// rs1_val==2863311530 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x1, x1, 448, x3) - -inst_641: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0xf15b, 0xaaaaaaaa, 0xb505, x1, 452, x3) - -inst_642: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0x66666667, x1, 456, x3) - -inst_643: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x3, 0xaaaaaaaa, 0x33333334, x1, 460, x3) - -inst_644: -// rs1_val==2863311530 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x1c71c71c, 0xaaaaaaaa, 0x6, x1, 464, x3) - -inst_645: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaab, x1, 468, x3) - -inst_646: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0x55555556, x1, 472, x3) - -inst_647: -// rs1_val==2863311530 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x2aaaaaaa, 0xaaaaaaaa, 0x4, x1, 476, x3) - -inst_648: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0xaaac, 0xaaaaaaaa, 0xfffe, x1, 480, x3) - -inst_649: -// rs1_val==2863311530 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0xaaaaaaaa, 0x0, x1, 484, x3) - -inst_650: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0xf15e, 0xaaaaaaaa, 0xb503, x1, 488, x3) - -inst_651: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0x66666665, x1, 492, x3) - -inst_652: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x3, 0xaaaaaaaa, 0x33333332, x1, 496, x3) - -inst_653: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0xaaaaaaa9, x1, 500, x3) - -inst_654: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0xaaaaaaaa, 0x55555554, x1, 504, x3) - -inst_655: -// rs1_val==2863311530 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x55555555, 0xaaaaaaaa, 0x2, x1, 508, x3) - -inst_656: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0xaaab, 0xaaaaaaaa, 0xffff, x1, 512, x3) - -inst_657: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0xf15d, 0xaaaaaaaa, 0xb504, x1, 516, x3) - -inst_658: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0x66666666, x1, 520, x3) - -inst_659: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x3, 0xaaaaaaaa, 0x33333333, x1, 524, x3) - -inst_660: -// rs1_val==2863311530 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x22222222, 0xaaaaaaaa, 0x5, x1, 528, x3) - -inst_661: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0xaaaaaaaa, x1, 532, x3) - -inst_662: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x2, 0xaaaaaaaa, 0x55555555, x1, 536, x3) - -inst_663: -// rs1_val==2863311530 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x38e38e38, 0xaaaaaaaa, 0x3, x1, 540, x3) - -inst_664: -// rs1_val==1431655765 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x5555, 0x55555555, 0x10000, x1, 544, x3) - -inst_665: -// rs1_val==1431655765 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x55555555, 0x55555555, 0x1, x1, 548, x3) - -inst_666: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x78ad, 0x55555555, 0xb505, x1, 552, x3) - -inst_667: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555555, 0x66666667, x1, 556, x3) - -inst_668: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555555, 0x33333334, x1, 560, x3) - -inst_669: -// rs1_val==1431655765 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0xe38e38e, 0x55555555, 0x6, x1, 564, x3) - -inst_670: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555555, 0xaaaaaaab, x1, 568, x3) - -inst_671: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555555, 0x55555556, x1, 572, x3) - -inst_672: -// rs1_val==1431655765 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x15555555, 0x55555555, 0x4, x1, 576, x3) - -inst_673: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x5556, 0x55555555, 0xfffe, x1, 580, x3) - -inst_674: -// rs1_val==1431655765 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x55555555, 0x0, x1, 584, x3) - -inst_675: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x78af, 0x55555555, 0xb503, x1, 588, x3) - -inst_676: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555555, 0x66666665, x1, 592, x3) - -inst_677: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555555, 0x33333332, x1, 596, x3) - -inst_678: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555555, 0xaaaaaaa9, x1, 600, x3) - -inst_679: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 604, x3) - -inst_680: -// rs1_val==1431655765 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x2aaaaaaa, 0x55555555, 0x2, x1, 608, x3) - -inst_681: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x5555, 0x55555555, 0xffff, x1, 612, x3) - -inst_682: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x78ae, 0x55555555, 0xb504, x1, 616, x3) - -inst_683: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555555, 0x66666666, x1, 620, x3) - -inst_684: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555555, 0x33333333, x1, 624, x3) - -inst_685: -// rs1_val==1431655765 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x11111111, 0x55555555, 0x5, x1, 628, x3) - -inst_686: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555555, 0xaaaaaaaa, x1, 632, x3) - -inst_687: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555555, 0x55555555, x1, 636, x3) - -inst_688: -// rs1_val==1431655765 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x1c71c71c, 0x55555555, 0x3, x1, 640, x3) - -inst_689: -// rs1_val==3 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0x10000, x1, 644, x3) - -inst_690: -// rs1_val==3 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x3, 0x3, 0x1, x1, 648, x3) - -inst_691: -// rs1_val==3 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0xb505, x1, 652, x3) - -inst_692: -// rs1_val==3 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0x66666667, x1, 656, x3) - -inst_693: -// rs1_val==3 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0x33333334, x1, 660, x3) - -inst_694: -// rs1_val==3 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0x6, x1, 664, x3) - -inst_695: -// rs1_val==3 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0xaaaaaaab, x1, 668, x3) - -inst_696: -// rs1_val==3 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0x55555556, x1, 672, x3) - -inst_697: -// rs1_val==3 and rs2_val==4, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0x4, x1, 676, x3) - -inst_698: -// rs1_val==3 and rs2_val==65534, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xfffe -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0xfffe, x1, 680, x3) - -inst_699: -// rs1_val==3 and rs2_val==0, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(divu, x12, x10, x11, 0xFFFFFFFF, 0x3, 0x0, x1, 684, x3) - -inst_700: -// rs1_val==3 and rs2_val==46339, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0xb503, x1, 688, x3) - -inst_701: -// rs1_val==3 and rs2_val==1717986917, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0x66666665, x1, 692, x3) - -inst_702: -// rs1_val==3 and rs2_val==858993458, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0x33333332, x1, 696, x3) - -inst_703: -// rs1_val==3 and rs2_val==2863311529, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaa9 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0xaaaaaaa9, x1, 700, x3) - -inst_704: -// rs1_val==3 and rs2_val==1431655764, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0x55555554, x1, 704, x3) - -inst_705: -// rs1_val==3 and rs2_val==2, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x3, 0x2, x1, 708, x3) - -inst_706: -// rs1_val==3 and rs2_val==65535, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0xffff, x1, 712, x3) - -inst_707: -// rs1_val==3 and rs2_val==46340, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0xb504, x1, 716, x3) - -inst_708: -// rs1_val==3 and rs2_val==1717986918, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0x66666666, x1, 720, x3) - -inst_709: -// rs1_val==3 and rs2_val==858993459, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0x33333333, x1, 724, x3) - -inst_710: -// rs1_val==3 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0x5, x1, 728, x3) - -inst_711: -// rs1_val==3 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0xaaaaaaaa, x1, 732, x3) - -inst_712: -// rs1_val==3 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x3, 0x55555555, x1, 736, x3) - -inst_713: -// rs1_val==3 and rs2_val==3, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x3, 0x3, x1, 740, x3) - -inst_714: -// rs1_val==2863311529 and rs2_val==5, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x5 -TEST_RR_OP(divu, x12, x10, x11, 0x22222221, 0xaaaaaaa9, 0x5, x1, 744, x3) - -inst_715: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xaaaaaaaa, x1, 748, x3) - -inst_716: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555555 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0x55555555, x1, 752, x3) - -inst_717: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x10000 -TEST_RR_OP(divu, x12, x10, x11, 0x5555, 0x55555554, 0x10000, x1, 756, x3) - -inst_718: -// rs1_val==1431655764 and rs2_val==1, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 -TEST_RR_OP(divu, x12, x10, x11, 0x55555554, 0x55555554, 0x1, x1, 760, x3) - -inst_719: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(divu, x12, x10, x11, 0x78ad, 0x55555554, 0xb505, x1, 764, x3) - -inst_720: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555554, 0x66666667, x1, 768, x3) - -inst_721: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0x55555554, 0x33333334, x1, 772, x3) - -inst_722: -// rs1_val==1431655764 and rs2_val==6, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(divu, x12, x10, x11, 0xe38e38e, 0x55555554, 0x6, x1, 776, x3) - -inst_723: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaab -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555554, 0xaaaaaaab, x1, 780, x3) - -inst_724: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x55555554, 0x55555556, x1, 784, x3) - -inst_725: -// rs2_val == 3221225471, rs1_val == 4294836223 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xfffdffff; op2val:0xbfffffff -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xfffdffff, 0xbfffffff, x1, 788, x3) - -inst_726: -// rs2_val == 3758096383, rs1_val == 4294967263 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdf; op2val:0xdfffffff -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xffffffdf, 0xdfffffff, x1, 792, x3) - -inst_727: -// rs2_val == 4160749567, rs1_val == 1048576 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0xf7ffffff -TEST_RR_OP(divu, x12, x10, x11, 0x0, 0x100000, 0xf7ffffff, x1, 796, x3) - -inst_728: -// rs2_val == 4294836223, rs1_val == 4294967231 -// opcode: divu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbf; op2val:0xfffdffff -TEST_RR_OP(divu, x12, x10, x11, 0x1, 0xffffffbf, 0xfffdffff, x1, 800, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x9_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_3: - .fill 201*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/mul-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/mul-01.S deleted file mode 100644 index 8177ccfe9..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/mul-01.S +++ /dev/null @@ -1,3010 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 07:22:49 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32em.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the mul instruction of the RISC-V M extension for the mul covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EM") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*M.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",mul) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs2 == rd != rs1, rs1==x6, rs2==x10, rd==x10, rs1_val != rs2_val, rs1_val==6 and rs2_val==-1431655765, rs1_val > 0 and rs2_val < 0 -// opcode: mul ; op1:x6; op2:x10; dest:x10; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(mul, x10, x6, x10, 0x2, 0x6, -0x55555555, x2, 0, x11) - -inst_1: -// rs1 == rd != rs2, rs1==x7, rs2==x1, rd==x7, rs2_val == 2147483647, rs1_val == 1431655765, rs2_val == (2**(xlen-1)-1), rs1_val > 0 and rs2_val > 0 -// opcode: mul ; op1:x7; op2:x1; dest:x7; op1val:0x55555555; op2val:0x7fffffff -TEST_RR_OP(mul, x7, x7, x1, 0x2aaaaaab, 0x55555555, 0x7fffffff, x2, 4, x11) - -inst_2: -// rs1 == rs2 == rd, rs1==x14, rs2==x14, rd==x14, rs2_val == -1073741825, rs1_val == 134217728 -// opcode: mul ; op1:x14; op2:x14; dest:x14; op1val:0x8000000; op2val:0x8000000 -TEST_RR_OP(mul, x14, x14, x14, 0x0, 0x8000000, 0x8000000, x2, 8, x11) - -inst_3: -// rs1 == rs2 != rd, rs1==x15, rs2==x15, rd==x1, rs2_val == -536870913, rs1_val == 8 -// opcode: mul ; op1:x15; op2:x15; dest:x1; op1val:0x8; op2val:0x8 -TEST_RR_OP(mul, x1, x15, x15, 0x40, 0x8, 0x8, x2, 12, x11) - -inst_4: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x3, rs2==x9, rd==x4, rs2_val == -268435457, rs1_val == -257, rs1_val < 0 and rs2_val < 0 -// opcode: mul ; op1:x3; op2:x9; dest:x4; op1val:-0x101; op2val:-0x10000001 -TEST_RR_OP(mul, x4, x3, x9, 0x10000101, -0x101, -0x10000001, x2, 16, x11) - -inst_5: -// rs1==x5, rs2==x0, rd==x8, rs2_val == -134217729, rs1_val == 4 -// opcode: mul ; op1:x5; op2:x0; dest:x8; op1val:0x4; op2val:0x0 -TEST_RR_OP(mul, x8, x5, x0, 0x0, 0x4, 0x0, x2, 20, x11) - -inst_6: -// rs1==x12, rs2==x13, rd==x15, rs2_val == -67108865, rs1_val == -524289 -// opcode: mul ; op1:x12; op2:x13; dest:x15; op1val:-0x80001; op2val:-0x4000001 -TEST_RR_OP(mul, x15, x12, x13, 0x4080001, -0x80001, -0x4000001, x2, 24, x3) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_7: -// rs1==x9, rs2==x4, rd==x11, rs2_val == -33554433, -// opcode: mul ; op1:x9; op2:x4; dest:x11; op1val:0x9; op2val:-0x2000001 -TEST_RR_OP(mul, x11, x9, x4, 0xedfffff7, 0x9, -0x2000001, x1, 0, x3) - -inst_8: -// rs1==x10, rs2==x5, rd==x6, rs2_val == -16777217, rs1_val == 0 -// opcode: mul ; op1:x10; op2:x5; dest:x6; op1val:0x0; op2val:-0x1000001 -TEST_RR_OP(mul, x6, x10, x5, 0x0, 0x0, -0x1000001, x1, 4, x3) - -inst_9: -// rs1==x13, rs2==x8, rd==x0, rs2_val == -8388609, -// opcode: mul ; op1:x13; op2:x8; dest:x0; op1val:0x9; op2val:-0x800001 -TEST_RR_OP(mul, x0, x13, x8, 0, 0x9, -0x800001, x1, 8, x3) - -inst_10: -// rs1==x0, rs2==x6, rd==x5, rs2_val == -4194305, rs1_val == 32 -// opcode: mul ; op1:x0; op2:x6; dest:x5; op1val:0x0; op2val:-0x400001 -TEST_RR_OP(mul, x5, x0, x6, 0x0, 0x0, -0x400001, x1, 12, x10) - -inst_11: -// rs1==x8, rs2==x2, rd==x13, rs2_val == -2097153, -// opcode: mul ; op1:x8; op2:x2; dest:x13; op1val:0x33333333; op2val:-0x200001 -TEST_RR_OP(mul, x13, x8, x2, 0x666ccccd, 0x33333333, -0x200001, x1, 16, x10) - -inst_12: -// rs1==x4, rs2==x11, rd==x3, rs2_val == -1048577, -// opcode: mul ; op1:x4; op2:x11; dest:x3; op1val:0x0; op2val:-0x100001 -TEST_RR_OP(mul, x3, x4, x11, 0x0, 0x0, -0x100001, x1, 20, x10) - -inst_13: -// rs1==x2, rs2==x3, rd==x9, rs2_val == -524289, rs1_val == -16777217 -// opcode: mul ; op1:x2; op2:x3; dest:x9; op1val:-0x1000001; op2val:-0x80001 -TEST_RR_OP(mul, x9, x2, x3, 0x1080001, -0x1000001, -0x80001, x1, 24, x10) - -inst_14: -// rs1==x11, rs2==x7, rd==x12, rs2_val == -262145, -// opcode: mul ; op1:x11; op2:x7; dest:x12; op1val:0x66666666; op2val:-0x40001 -TEST_RR_OP(mul, x12, x11, x7, 0x1999a, 0x66666666, -0x40001, x1, 28, x10) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_15: -// rs1==x1, rs2==x12, rd==x2, rs2_val == -131073, rs1_val == 128 -// opcode: mul ; op1:x1; op2:x12; dest:x2; op1val:0x80; op2val:-0x20001 -TEST_RR_OP(mul, x2, x1, x12, 0xfeffff80, 0x80, -0x20001, x3, 0, x4) - -inst_16: -// rs2_val == -65537, rs1_val == -1431655766 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x10001 -TEST_RR_OP(mul, x12, x10, x11, 0xaaab5556, -0x55555556, -0x10001, x3, 4, x4) - -inst_17: -// rs2_val == -32769, rs1_val == -1048577 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0x8001 -TEST_RR_OP(mul, x12, x10, x11, 0x108001, -0x100001, -0x8001, x3, 8, x4) - -inst_18: -// rs2_val == -16385, rs1_val == 16 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:-0x4001 -TEST_RR_OP(mul, x12, x10, x11, 0xfffbfff0, 0x10, -0x4001, x3, 12, x4) - -inst_19: -// rs2_val == -8193, rs1_val == -134217729 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x2001 -TEST_RR_OP(mul, x12, x10, x11, 0x8002001, -0x8000001, -0x2001, x3, 16, x4) - -inst_20: -// rs2_val == -4097, rs1_val == -33554433 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x1001 -TEST_RR_OP(mul, x12, x10, x11, 0x2001001, -0x2000001, -0x1001, x3, 20, x4) - -inst_21: -// rs2_val == -2049, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x801 -TEST_RR_OP(mul, x12, x10, x11, 0xffffc7f9, 0x7, -0x801, x3, 24, x4) - -inst_22: -// rs2_val == -1025, rs1_val == 268435456 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0x401 -TEST_RR_OP(mul, x12, x10, x11, 0xf0000000, 0x10000000, -0x401, x3, 28, x4) - -inst_23: -// rs2_val == -513, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x201 -TEST_RR_OP(mul, x12, x10, x11, 0xcccccb99, 0x66666667, -0x201, x3, 32, x4) - -inst_24: -// rs2_val == -257, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x4; op2val:-0x101 -TEST_RR_OP(mul, x12, x10, x11, 0x404, -0x4, -0x101, x3, 36, x4) - -inst_25: -// rs2_val == -129, rs1_val == 32768 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:-0x81 -TEST_RR_OP(mul, x12, x10, x11, 0xffbf8000, 0x8000, -0x81, x3, 40, x4) - -inst_26: -// rs2_val == -65, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0x41 -TEST_RR_OP(mul, x12, x10, x11, 0x4100041, -0x100001, -0x41, x3, 44, x4) - -inst_27: -// rs2_val == -33, rs1_val == 536870912 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x21 -TEST_RR_OP(mul, x12, x10, x11, 0xe0000000, 0x20000000, -0x21, x3, 48, x4) - -inst_28: -// rs2_val == -17, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x11 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaa5, -0x55555555, -0x11, x3, 52, x4) - -inst_29: -// rs2_val == -9, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x9 -TEST_RR_OP(mul, x12, x10, x11, 0x80000009, 0x7fffffff, -0x9, x3, 56, x4) - -inst_30: -// rs2_val == -5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x5 -TEST_RR_OP(mul, x12, x10, x11, 0xffffffe2, 0x6, -0x5, x3, 60, x4) - -inst_31: -// rs2_val == -3, rs1_val == -17 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x33, -0x11, -0x3, x3, 64, x4) - -inst_32: -// rs2_val == -2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x2 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, -0x2, x3, 68, x4) - -inst_33: -// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0, rs2_val == 262144 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x40000 -TEST_RR_OP(mul, x12, x10, x11, 0xfffc0000, -0x40000001, 0x40000, x3, 72, x4) - -inst_34: -// rs1_val == -536870913, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0x5ffffffb, -0x20000001, 0x5, x3, 76, x4) - -inst_35: -// rs1_val == -268435457, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x4aaaaaaa, -0x10000001, 0x55555556, x3, 80, x4) - -inst_36: -// rs1_val == -67108865, rs2_val == 65536 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x10000 -TEST_RR_OP(mul, x12, x10, x11, 0xffff0000, -0x4000001, 0x10000, x3, 84, x4) - -inst_37: -// rs1_val == -8388609, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:0x3fffffff -TEST_RR_OP(mul, x12, x10, x11, 0xc0800001, -0x800001, 0x3fffffff, x3, 88, x4) - -inst_38: -// rs1_val == -4194305, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0xffcccccc, -0x400001, 0x33333334, x3, 92, x4) - -inst_39: -// rs1_val == -2097153, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x20001 -TEST_RR_OP(mul, x12, x10, x11, 0x220001, -0x200001, -0x20001, x3, 96, x4) - -inst_40: -// rs1_val == -262145, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x4001 -TEST_RR_OP(mul, x12, x10, x11, 0x44001, -0x40001, -0x4001, x3, 100, x4) - -inst_41: -// rs1_val == -131073, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:-0x80001 -TEST_RR_OP(mul, x12, x10, x11, 0xa0001, -0x20001, -0x80001, x3, 104, x4) - -inst_42: -// rs1_val == -65537, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x1001 -TEST_RR_OP(mul, x12, x10, x11, 0x10011001, -0x10001, -0x1001, x3, 108, x4) - -inst_43: -// rs1_val == -32769, rs2_val == 131072 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x20000 -TEST_RR_OP(mul, x12, x10, x11, 0xfffe0000, -0x8001, 0x20000, x3, 112, x4) - -inst_44: -// rs1_val == -16385, rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x80000000 -TEST_RR_OP(mul, x12, x10, x11, 0x80000000, -0x4001, -0x80000000, x3, 116, x4) - -inst_45: -// rs1_val == -8193, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x200001 -TEST_RR_OP(mul, x12, x10, x11, 0x202001, -0x2001, -0x200001, x3, 120, x4) - -inst_46: -// rs1_val == -4097, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x1 -TEST_RR_OP(mul, x12, x10, x11, 0x1001, -0x1001, -0x1, x3, 124, x4) - -inst_47: -// rs1_val == -2049, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0xaac, -0x801, 0x55555554, x3, 128, x4) - -inst_48: -// rs1_val == -1025, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffccc, -0x401, 0x33333334, x3, 132, x4) - -inst_49: -// rs1_val == -513, rs2_val == 2 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffbfe, -0x201, 0x2, x3, 136, x4) - -inst_50: -// rs1_val == -129, rs2_val == 1024 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x400 -TEST_RR_OP(mul, x12, x10, x11, 0xfffdfc00, -0x81, 0x400, x3, 140, x4) - -inst_51: -// rs1_val == -65, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xffd209fc, -0x41, 0xb504, x3, 144, x4) - -inst_52: -// rs1_val == -33, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x5 -TEST_RR_OP(mul, x12, x10, x11, 0xa5, -0x21, -0x5, x3, 148, x4) - -inst_53: -// rs1_val == -9, rs2_val == 8 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x8 -TEST_RR_OP(mul, x12, x10, x11, 0xffffffb8, -0x9, 0x8, x3, 152, x4) - -inst_54: -// rs1_val == -5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffff1, -0x5, 0x3, x3, 156, x4) - -inst_55: -// rs1_val == -3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x8 -TEST_RR_OP(mul, x12, x10, x11, 0x18, -0x3, -0x8, x3, 160, x4) - -inst_56: -// rs1_val == -2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x8 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffff0, -0x2, 0x8, x3, 164, x4) - -inst_57: -// rs2_val == 1073741824, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x40000000 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x33333334, 0x40000000, x3, 168, x4) - -inst_58: -// rs2_val == 536870912, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x20000000 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x10, 0x20000000, x3, 172, x4) - -inst_59: -// rs2_val == 268435456, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x10000000 -TEST_RR_OP(mul, x12, x10, x11, 0xf0000000, -0x4001, 0x10000000, x3, 176, x4) - -inst_60: -// rs2_val == 134217728, rs1_val == 1048576 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x8000000 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x100000, 0x8000000, x3, 180, x4) - -inst_61: -// rs2_val == 67108864, rs1_val == 256 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x4000000 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x100, 0x4000000, x3, 184, x4) - -inst_62: -// rs2_val == 33554432, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000000 -TEST_RR_OP(mul, x12, x10, x11, 0xec000000, -0xa, 0x2000000, x3, 188, x4) - -inst_63: -// rs2_val == 16777216, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x1000000 -TEST_RR_OP(mul, x12, x10, x11, 0xff000000, -0x40001, 0x1000000, x3, 192, x4) - -inst_64: -// rs2_val == 8388608, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x800000 -TEST_RR_OP(mul, x12, x10, x11, 0x99800000, 0x33333333, 0x800000, x3, 196, x4) - -inst_65: -// rs2_val == 4194304, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x400000 -TEST_RR_OP(mul, x12, x10, x11, 0x1800000, 0x6, 0x400000, x3, 200, x4) - -inst_66: -// rs2_val == 2097152, rs1_val == 4096 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x200000 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x1000, 0x200000, x3, 204, x4) - -inst_67: -// rs2_val == 1048576, rs1_val == 16777216 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x100000 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x1000000, 0x100000, x3, 208, x4) - -inst_68: -// rs2_val == 524288, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x80000 -TEST_RR_OP(mul, x12, x10, x11, 0xfff80000, -0x40000001, 0x80000, x3, 212, x4) - -inst_69: -// rs2_val == 32768, rs1_val == 1024 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x8000 -TEST_RR_OP(mul, x12, x10, x11, 0x2000000, 0x400, 0x8000, x3, 216, x4) - -inst_70: -// rs2_val == 16384, rs1_val == 524288 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x4000 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x80000, 0x4000, x3, 220, x4) - -inst_71: -// rs2_val == 8192, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x2000 -TEST_RR_OP(mul, x12, x10, x11, 0x20000, 0x10, 0x2000, x3, 224, x4) - -inst_72: -// rs2_val == 4096, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x1000 -TEST_RR_OP(mul, x12, x10, x11, 0xfffff000, 0x7fffffff, 0x1000, x3, 228, x4) - -inst_73: -// rs2_val == 2048, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x800 -TEST_RR_OP(mul, x12, x10, x11, 0xfa57e000, -0xb504, 0x800, x3, 232, x4) - -inst_74: -// rs2_val == 512, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x200 -TEST_RR_OP(mul, x12, x10, x11, 0xa00, 0x5, 0x200, x3, 236, x4) - -inst_75: -// rs2_val == 256, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x100 -TEST_RR_OP(mul, x12, x10, x11, 0xfffff600, -0xa, 0x100, x3, 240, x4) - -inst_76: -// rs2_val == 128, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x80 -TEST_RR_OP(mul, x12, x10, x11, 0x20000, 0x400, 0x80, x3, 244, x4) - -inst_77: -// rs2_val == 64, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x40 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x40, x3, 248, x4) - -inst_78: -// rs2_val == 32, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x20 -TEST_RR_OP(mul, x12, x10, x11, 0xc0, 0x6, 0x20, x3, 252, x4) - -inst_79: -// rs2_val == 16, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10 -TEST_RR_OP(mul, x12, x10, x11, 0x40, 0x4, 0x10, x3, 256, x4) - -inst_80: -// rs2_val == 4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x4000000, 0x1000000, 0x4, x3, 260, x4) - -inst_81: -// rs2_val == 1, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x1 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffffb, -0x5, 0x1, x3, 264, x4) - -inst_82: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x9 -TEST_RR_OP(mul, x12, x10, x11, 0x80000000, -0x80000000, 0x9, x3, 268, x4) - -inst_83: -// rs1_val == 1073741824, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x100000 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x40000000, 0x100000, x3, 272, x4) - -inst_84: -// rs1_val == 67108864, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0x101 -TEST_RR_OP(mul, x12, x10, x11, 0xfc000000, 0x4000000, -0x101, x3, 276, x4) - -inst_85: -// rs1_val == 33554432, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x6000000, 0x2000000, 0x3, x3, 280, x4) - -inst_86: -// rs1_val == 8388608, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x20001 -TEST_RR_OP(mul, x12, x10, x11, 0xff800000, 0x800000, -0x20001, x3, 284, x4) - -inst_87: -// rs1_val == 4194304, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x8000001 -TEST_RR_OP(mul, x12, x10, x11, 0xffc00000, 0x400000, -0x8000001, x3, 288, x4) - -inst_88: -// rs1_val == 2097152, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x2000 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x200000, 0x2000, x3, 292, x4) - -inst_89: -// rs1_val == 262144, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0x140000, 0x40000, 0x5, x3, 296, x4) - -inst_90: -// rs1_val == 131072, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x6a080000, 0x20000, 0xb504, x3, 300, x4) - -inst_91: -// rs1_val == 65536, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10 -TEST_RR_OP(mul, x12, x10, x11, 0x100000, 0x10000, 0x10, x3, 304, x4) - -inst_92: -// rs1_val == 16384, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x2000 -TEST_RR_OP(mul, x12, x10, x11, 0x8000000, 0x4000, 0x2000, x3, 308, x4) - -inst_93: -// rs1_val == 8192, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x80 -TEST_RR_OP(mul, x12, x10, x11, 0x100000, 0x2000, 0x80, x3, 312, x4) - -inst_94: -// rs1_val == 2048, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x2000000 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x800, 0x2000000, x3, 316, x4) - -inst_95: -// rs1_val == 512, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x7 -TEST_RR_OP(mul, x12, x10, x11, 0xfffff200, 0x200, -0x7, x3, 320, x4) - -inst_96: -// rs1_val == 64, rs2_val == 1431655765 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x55555540, 0x40, 0x55555555, x3, 324, x4) - -inst_97: -// rs1_val == 2, rs1_val==2 and rs2_val==6 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0xc, 0x2, 0x6, x3, 328, x4) - -inst_98: -// rs1_val == 1, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x21 -TEST_RR_OP(mul, x12, x10, x11, 0xffffffdf, 0x1, -0x21, x3, 332, x4) - -inst_99: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x80001219, 0xb505, 0xb505, x3, 336, x4) - -inst_100: -// rs1_val==46341 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x800157f1, 0xb505, -0xb503, x3, 340, x4) - -inst_101: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x6666d303, 0xb505, 0x66666667, x3, 344, x4) - -inst_102: -// rs1_val==46341 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0x3333c404, 0xb505, 0x33333334, x3, 348, x4) - -inst_103: -// rs1_val==46341 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x43e1e, 0xb505, 0x6, x3, 352, x4) - -inst_104: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x3c57, 0xb505, -0x55555555, x3, 356, x4) - -inst_105: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x78ae, 0xb505, 0x55555556, x3, 360, x4) - -inst_106: -// rs1_val==46341 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x2d414, 0xb505, 0x4, x3, 364, x4) - -inst_107: -// rs1_val==46341 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x7ffea80f, 0xb505, 0xb503, x3, 368, x4) - -inst_108: -// rs1_val==46341 and rs2_val==0, rs2_val == 0 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0xb505, 0x0, x3, 372, x4) - -inst_109: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0x666568f9, 0xb505, 0x66666665, x3, 376, x4) - -inst_110: -// rs1_val==46341 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0x333259fa, 0xb505, 0x33333332, x3, 380, x4) - -inst_111: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0xffff0ea4, 0xb505, 0x55555554, x3, 384, x4) - -inst_112: -// rs1_val==46341 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0x16a0a, 0xb505, 0x2, x3, 388, x4) - -inst_113: -// rs1_val==46341 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x7fff5d14, 0xb505, 0xb504, x3, 392, x4) - -inst_114: -// rs1_val==46341 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x8000a2ec, 0xb505, -0xb504, x3, 396, x4) - -inst_115: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0x66661dfe, 0xb505, 0x66666666, x3, 400, x4) - -inst_116: -// rs1_val==46341 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0x33330eff, 0xb505, 0x33333333, x3, 404, x4) - -inst_117: -// rs1_val==46341 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0x38919, 0xb505, 0x5, x3, 408, x4) - -inst_118: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xffff8752, 0xb505, -0x55555556, x3, 412, x4) - -inst_119: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xffffc3a9, 0xb505, 0x55555555, x3, 416, x4) - -inst_120: -// rs1_val==46341 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x21f0f, 0xb505, 0x3, x3, 420, x4) - -inst_121: -// rs1_val==-46339 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x800157f1, -0xb503, 0xb505, x3, 424, x4) - -inst_122: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x7ffd3e09, -0xb503, -0xb503, x3, 428, x4) - -inst_123: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x6665f9cb, -0xb503, 0x66666667, x3, 432, x4) - -inst_124: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0x3332a264, -0xb503, 0x33333334, x3, 436, x4) - -inst_125: -// rs1_val==-46339 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0xfffbc1ee, -0xb503, 0x6, x3, 440, x4) - -inst_126: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x555518ff, -0xb503, -0x55555555, x3, 444, x4) - -inst_127: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaa31fe, -0xb503, 0x55555556, x3, 448, x4) - -inst_128: -// rs1_val==-46339 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0xfffd2bf4, -0xb503, 0x4, x3, 452, x4) - -inst_129: -// rs1_val==-46339 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x8002c1f7, -0xb503, 0xb503, x3, 456, x4) - -inst_130: -// rs1_val==-46339 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, -0xb503, 0x0, x3, 460, x4) - -inst_131: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0x666763d1, -0xb503, 0x66666665, x3, 464, x4) - -inst_132: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0x33340c6a, -0xb503, 0x33333332, x3, 468, x4) - -inst_133: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0xaaab9c04, -0xb503, 0x55555554, x3, 472, x4) - -inst_134: -// rs1_val==-46339 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0xfffe95fa, -0xb503, 0x2, x3, 476, x4) - -inst_135: -// rs1_val==-46339 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x80020cf4, -0xb503, 0xb504, x3, 480, x4) - -inst_136: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x7ffdf30c, -0xb503, -0xb504, x3, 484, x4) - -inst_137: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0x6666aece, -0xb503, 0x66666666, x3, 488, x4) - -inst_138: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0x33335767, -0xb503, 0x33333333, x3, 492, x4) - -inst_139: -// rs1_val==-46339 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0xfffc76f1, -0xb503, 0x5, x3, 496, x4) - -inst_140: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x5555ce02, -0xb503, -0x55555556, x3, 500, x4) - -inst_141: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaae701, -0xb503, 0x55555555, x3, 504, x4) - -inst_142: -// rs1_val==-46339 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0xfffde0f7, -0xb503, 0x3, x3, 508, x4) - -inst_143: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x6666d303, 0x66666667, 0xb505, x3, 512, x4) - -inst_144: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x6665f9cb, 0x66666667, -0xb503, x3, 516, x4) - -inst_145: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0xd70a3d71, 0x66666667, 0x66666667, x3, 520, x4) - -inst_146: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0x1eb851ec, 0x66666667, 0x33333334, x3, 524, x4) - -inst_147: -// rs1_val==1717986919 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x6666666a, 0x66666667, 0x6, x3, 528, x4) - -inst_148: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xcccccccd, 0x66666667, -0x55555555, x3, 532, x4) - -inst_149: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x9999999a, 0x66666667, 0x55555556, x3, 536, x4) - -inst_150: -// rs1_val==1717986919 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x9999999c, 0x66666667, 0x4, x3, 540, x4) - -inst_151: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x999a0635, 0x66666667, 0xb503, x3, 544, x4) - -inst_152: -// rs1_val==1717986919 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x66666667, 0x0, x3, 548, x4) - -inst_153: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0xa3d70a3, 0x66666667, 0x66666665, x3, 552, x4) - -inst_154: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0x51eb851e, 0x66666667, 0x33333332, x3, 556, x4) - -inst_155: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0xcccccccc, 0x66666667, 0x55555554, x3, 560, x4) - -inst_156: -// rs1_val==1717986919 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0xccccccce, 0x66666667, 0x2, x3, 564, x4) - -inst_157: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x6c9c, 0x66666667, 0xb504, x3, 568, x4) - -inst_158: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xffff9364, 0x66666667, -0xb504, x3, 572, x4) - -inst_159: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0x70a3d70a, 0x66666667, 0x66666666, x3, 576, x4) - -inst_160: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0xb851eb85, 0x66666667, 0x33333333, x3, 580, x4) - -inst_161: -// rs1_val==1717986919 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0x3, 0x66666667, 0x5, x3, 584, x4) - -inst_162: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x66666666, 0x66666667, -0x55555556, x3, 588, x4) - -inst_163: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x33333333, 0x66666667, 0x55555555, x3, 592, x4) - -inst_164: -// rs1_val==1717986919 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x33333335, 0x66666667, 0x3, x3, 596, x4) - -inst_165: -// rs1_val==858993460 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x3333c404, 0x33333334, 0xb505, x3, 600, x4) - -inst_166: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x3332a264, 0x33333334, -0xb503, x3, 604, x4) - -inst_167: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x1eb851ec, 0x33333334, 0x66666667, x3, 608, x4) - -inst_168: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0x28f5c290, 0x33333334, 0x33333334, x3, 612, x4) - -inst_169: -// rs1_val==858993460 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x33333338, 0x33333334, 0x6, x3, 616, x4) - -inst_170: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xbbbbbbbc, 0x33333334, -0x55555555, x3, 620, x4) - -inst_171: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x77777778, 0x33333334, 0x55555556, x3, 624, x4) - -inst_172: -// rs1_val==858993460 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0xccccccd0, 0x33333334, 0x4, x3, 628, x4) - -inst_173: -// rs1_val==858993460 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0xcccd5d9c, 0x33333334, 0xb503, x3, 632, x4) - -inst_174: -// rs1_val==858993460 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x33333334, 0x0, x3, 636, x4) - -inst_175: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0xb851eb84, 0x33333334, 0x66666665, x3, 640, x4) - -inst_176: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0xc28f5c28, 0x33333334, 0x33333332, x3, 644, x4) - -inst_177: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0x11111110, 0x33333334, 0x55555554, x3, 648, x4) - -inst_178: -// rs1_val==858993460 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0x66666668, 0x33333334, 0x2, x3, 652, x4) - -inst_179: -// rs1_val==858993460 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x90d0, 0x33333334, 0xb504, x3, 656, x4) - -inst_180: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xffff6f30, 0x33333334, -0xb504, x3, 660, x4) - -inst_181: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0xeb851eb8, 0x33333334, 0x66666666, x3, 664, x4) - -inst_182: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0xf5c28f5c, 0x33333334, 0x33333333, x3, 668, x4) - -inst_183: -// rs1_val==858993460 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0x4, 0x33333334, 0x5, x3, 672, x4) - -inst_184: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x88888888, 0x33333334, -0x55555556, x3, 676, x4) - -inst_185: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x44444444, 0x33333334, 0x55555555, x3, 680, x4) - -inst_186: -// rs1_val==858993460 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x9999999c, 0x33333334, 0x3, x3, 684, x4) - -inst_187: -// rs1_val==6 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x43e1e, 0x6, 0xb505, x3, 688, x4) - -inst_188: -// rs1_val==6 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0xfffbc1ee, 0x6, -0xb503, x3, 692, x4) - -inst_189: -// rs1_val==6 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x6666666a, 0x6, 0x66666667, x3, 696, x4) - -inst_190: -// rs1_val==6 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0x33333338, 0x6, 0x33333334, x3, 700, x4) - -inst_191: -// rs1_val==6 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x24, 0x6, 0x6, x3, 704, x4) - -inst_192: -// rs1_val==6 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x4, 0x6, 0x55555556, x3, 708, x4) - -inst_193: -// rs1_val==6 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x18, 0x6, 0x4, x3, 712, x4) - -inst_194: -// rs1_val==6 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x43e12, 0x6, 0xb503, x3, 716, x4) - -inst_195: -// rs1_val==6 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x6, 0x0, x3, 720, x4) - -inst_196: -// rs1_val==6 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0x6666665e, 0x6, 0x66666665, x3, 724, x4) - -inst_197: -// rs1_val==6 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0x3333332c, 0x6, 0x33333332, x3, 728, x4) - -inst_198: -// rs1_val==6 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffff8, 0x6, 0x55555554, x3, 732, x4) - -inst_199: -// rs1_val==6 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0xc, 0x6, 0x2, x3, 736, x4) - -inst_200: -// rs1_val==6 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x43e18, 0x6, 0xb504, x3, 740, x4) - -inst_201: -// rs1_val==6 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xfffbc1e8, 0x6, -0xb504, x3, 744, x4) - -inst_202: -// rs1_val==6 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0x66666664, 0x6, 0x66666666, x3, 748, x4) - -inst_203: -// rs1_val==6 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0x33333332, 0x6, 0x33333333, x3, 752, x4) - -inst_204: -// rs1_val==6 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0x1e, 0x6, 0x5, x3, 756, x4) - -inst_205: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffffc, 0x6, -0x55555556, x3, 760, x4) - -inst_206: -// rs1_val==6 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffffe, 0x6, 0x55555555, x3, 764, x4) - -inst_207: -// rs1_val==6 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x12, 0x6, 0x3, x3, 768, x4) - -inst_208: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x3c57, -0x55555555, 0xb505, x3, 772, x4) - -inst_209: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x555518ff, -0x55555555, -0xb503, x3, 776, x4) - -inst_210: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0xcccccccd, -0x55555555, 0x66666667, x3, 780, x4) - -inst_211: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0xbbbbbbbc, -0x55555555, 0x33333334, x3, 784, x4) - -inst_212: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x2, -0x55555555, 0x6, x3, 788, x4) - -inst_213: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x38e38e39, -0x55555555, -0x55555555, x3, 792, x4) - -inst_214: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x71c71c72, -0x55555555, 0x55555556, x3, 796, x4) - -inst_215: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaac, -0x55555555, 0x4, x3, 800, x4) - -inst_216: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaae701, -0x55555555, 0xb503, x3, 804, x4) - -inst_217: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, -0x55555555, 0x0, x3, 808, x4) - -inst_218: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0x77777777, -0x55555555, 0x66666665, x3, 812, x4) - -inst_219: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0x66666666, -0x55555555, 0x33333332, x3, 816, x4) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0x1c71c71c, -0x55555555, 0x55555554, x3, 820, x4) - -inst_221: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0x55555556, -0x55555555, 0x2, x3, 824, x4) - -inst_222: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x555591ac, -0x55555555, 0xb504, x3, 828, x4) - -inst_223: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaa6e54, -0x55555555, -0xb504, x3, 832, x4) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0x22222222, -0x55555555, 0x66666666, x3, 836, x4) - -inst_225: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0x11111111, -0x55555555, 0x33333333, x3, 840, x4) - -inst_226: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0x55555557, -0x55555555, 0x5, x3, 844, x4) - -inst_227: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x8e38e38e, -0x55555555, -0x55555556, x3, 848, x4) - -inst_228: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xc71c71c7, -0x55555555, 0x55555555, x3, 852, x4) - -inst_229: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x1, -0x55555555, 0x3, x3, 856, x4) - -inst_230: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x78ae, 0x55555556, 0xb505, x3, 860, x4) - -inst_231: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaa31fe, 0x55555556, -0xb503, x3, 864, x4) - -inst_232: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x9999999a, 0x55555556, 0x66666667, x3, 868, x4) - -inst_233: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0x77777778, 0x55555556, 0x33333334, x3, 872, x4) - -inst_234: -// rs1_val==1431655766 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x4, 0x55555556, 0x6, x3, 876, x4) - -inst_235: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x71c71c72, 0x55555556, -0x55555555, x3, 880, x4) - -inst_236: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xe38e38e4, 0x55555556, 0x55555556, x3, 884, x4) - -inst_237: -// rs1_val==1431655766 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x55555558, 0x55555556, 0x4, x3, 888, x4) - -inst_238: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x5555ce02, 0x55555556, 0xb503, x3, 892, x4) - -inst_239: -// rs1_val==1431655766 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x55555556, 0x0, x3, 896, x4) - -inst_240: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0xeeeeeeee, 0x55555556, 0x66666665, x3, 900, x4) - -inst_241: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0xcccccccc, 0x55555556, 0x33333332, x3, 904, x4) - -inst_242: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0x38e38e38, 0x55555556, 0x55555554, x3, 908, x4) - -inst_243: -// rs1_val==1431655766 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaac, 0x55555556, 0x2, x3, 912, x4) - -inst_244: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xaaab2358, 0x55555556, 0xb504, x3, 916, x4) - -inst_245: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x5554dca8, 0x55555556, -0xb504, x3, 920, x4) - -inst_246: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0x44444444, 0x55555556, 0x66666666, x3, 924, x4) - -inst_247: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0x22222222, 0x55555556, 0x33333333, x3, 928, x4) - -inst_248: -// rs1_val==1431655766 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaae, 0x55555556, 0x5, x3, 932, x4) - -inst_249: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x1c71c71c, 0x55555556, -0x55555556, x3, 936, x4) - -inst_250: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x8e38e38e, 0x55555556, 0x55555555, x3, 940, x4) - -inst_251: -// rs1_val==1431655766 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x2, 0x55555556, 0x3, x3, 944, x4) - -inst_252: -// rs1_val==4 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x2d414, 0x4, 0xb505, x3, 948, x4) - -inst_253: -// rs1_val==4 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0xfffd2bf4, 0x4, -0xb503, x3, 952, x4) - -inst_254: -// rs1_val==4 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x9999999c, 0x4, 0x66666667, x3, 956, x4) - -inst_255: -// rs1_val==4 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0xccccccd0, 0x4, 0x33333334, x3, 960, x4) - -inst_256: -// rs1_val==4 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x18, 0x4, 0x6, x3, 964, x4) - -inst_257: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaac, 0x4, -0x55555555, x3, 968, x4) - -inst_258: -// rs1_val==4 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x55555558, 0x4, 0x55555556, x3, 972, x4) - -inst_259: -// rs1_val==4 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x10, 0x4, 0x4, x3, 976, x4) - -inst_260: -// rs1_val==4 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x2d40c, 0x4, 0xb503, x3, 980, x4) - -inst_261: -// rs1_val==4 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x4, 0x0, x3, 984, x4) - -inst_262: -// rs1_val==4 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0x99999994, 0x4, 0x66666665, x3, 988, x4) - -inst_263: -// rs1_val==4 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0xccccccc8, 0x4, 0x33333332, x3, 992, x4) - -inst_264: -// rs1_val==4 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0x55555550, 0x4, 0x55555554, x3, 996, x4) - -inst_265: -// rs1_val==4 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0x8, 0x4, 0x2, x3, 1000, x4) - -inst_266: -// rs1_val==4 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x2d410, 0x4, 0xb504, x3, 1004, x4) - -inst_267: -// rs1_val==4 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xfffd2bf0, 0x4, -0xb504, x3, 1008, x4) - -inst_268: -// rs1_val==4 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0x99999998, 0x4, 0x66666666, x3, 1012, x4) - -inst_269: -// rs1_val==4 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0xcccccccc, 0x4, 0x33333333, x3, 1016, x4) - -inst_270: -// rs1_val==4 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0x14, 0x4, 0x5, x3, 1020, x4) - -inst_271: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaa8, 0x4, -0x55555556, x3, 1024, x4) - -inst_272: -// rs1_val==4 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x55555554, 0x4, 0x55555555, x3, 1028, x4) - -inst_273: -// rs1_val==4 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0xc, 0x4, 0x3, x3, 1032, x4) - -inst_274: -// rs1_val==46339 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x7ffea80f, 0xb503, 0xb505, x3, 1036, x4) - -inst_275: -// rs1_val==46339 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x8002c1f7, 0xb503, -0xb503, x3, 1040, x4) - -inst_276: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x999a0635, 0xb503, 0x66666667, x3, 1044, x4) - -inst_277: -// rs1_val==46339 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0xcccd5d9c, 0xb503, 0x33333334, x3, 1048, x4) - -inst_278: -// rs1_val==46339 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x43e12, 0xb503, 0x6, x3, 1052, x4) - -inst_279: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaae701, 0xb503, -0x55555555, x3, 1056, x4) - -inst_280: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x5555ce02, 0xb503, 0x55555556, x3, 1060, x4) - -inst_281: -// rs1_val==46339 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x2d40c, 0xb503, 0x4, x3, 1064, x4) - -inst_282: -// rs1_val==46339 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x7ffd3e09, 0xb503, 0xb503, x3, 1068, x4) - -inst_283: -// rs1_val==46339 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0xb503, 0x0, x3, 1072, x4) - -inst_284: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0x99989c2f, 0xb503, 0x66666665, x3, 1076, x4) - -inst_285: -// rs1_val==46339 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0xcccbf396, 0xb503, 0x33333332, x3, 1080, x4) - -inst_286: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0x555463fc, 0xb503, 0x55555554, x3, 1084, x4) - -inst_287: -// rs1_val==46339 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0x16a06, 0xb503, 0x2, x3, 1088, x4) - -inst_288: -// rs1_val==46339 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x7ffdf30c, 0xb503, 0xb504, x3, 1092, x4) - -inst_289: -// rs1_val==46339 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x80020cf4, 0xb503, -0xb504, x3, 1096, x4) - -inst_290: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0x99995132, 0xb503, 0x66666666, x3, 1100, x4) - -inst_291: -// rs1_val==46339 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0xcccca899, 0xb503, 0x33333333, x3, 1104, x4) - -inst_292: -// rs1_val==46339 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0x3890f, 0xb503, 0x5, x3, 1108, x4) - -inst_293: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaa31fe, 0xb503, -0x55555556, x3, 1112, x4) - -inst_294: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x555518ff, 0xb503, 0x55555555, x3, 1116, x4) - -inst_295: -// rs1_val==46339 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x21f09, 0xb503, 0x3, x3, 1120, x4) - -inst_296: -// rs1_val==0 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0xb505, x3, 1124, x4) - -inst_297: -// rs1_val==0 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, -0xb503, x3, 1128, x4) - -inst_298: -// rs1_val==0 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x66666667, x3, 1132, x4) - -inst_299: -// rs1_val==0 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x33333334, x3, 1136, x4) - -inst_300: -// rs1_val==0 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x6, x3, 1140, x4) - -inst_301: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, -0x55555555, x3, 1144, x4) - -inst_302: -// rs1_val==0 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x55555556, x3, 1148, x4) - -inst_303: -// rs1_val==0 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x4, x3, 1152, x4) - -inst_304: -// rs1_val==0 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0xb503, x3, 1156, x4) - -inst_305: -// rs1_val==0 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x0, x3, 1160, x4) - -inst_306: -// rs1_val==0 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x66666665, x3, 1164, x4) - -inst_307: -// rs1_val==0 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x33333332, x3, 1168, x4) - -inst_308: -// rs1_val==0 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x55555554, x3, 1172, x4) - -inst_309: -// rs1_val==0 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x2, x3, 1176, x4) - -inst_310: -// rs1_val==0 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0xb504, x3, 1180, x4) - -inst_311: -// rs1_val==0 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, -0xb504, x3, 1184, x4) - -inst_312: -// rs1_val==0 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x66666666, x3, 1188, x4) - -inst_313: -// rs1_val==0 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x33333333, x3, 1192, x4) - -inst_314: -// rs1_val==0 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x5, x3, 1196, x4) - -inst_315: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, -0x55555556, x3, 1200, x4) - -inst_316: -// rs1_val==0 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x55555555, x3, 1204, x4) - -inst_317: -// rs1_val==0 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x0, 0x3, x3, 1208, x4) - -inst_318: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x666568f9, 0x66666665, 0xb505, x3, 1212, x4) - -inst_319: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x666763d1, 0x66666665, -0xb503, x3, 1216, x4) - -inst_320: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0xa3d70a3, 0x66666665, 0x66666667, x3, 1220, x4) - -inst_321: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0xb851eb84, 0x66666665, 0x33333334, x3, 1224, x4) - -inst_322: -// rs1_val==1717986917 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x6666665e, 0x66666665, 0x6, x3, 1228, x4) - -inst_323: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x77777777, 0x66666665, -0x55555555, x3, 1232, x4) - -inst_324: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xeeeeeeee, 0x66666665, 0x55555556, x3, 1236, x4) - -inst_325: -// rs1_val==1717986917 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x99999994, 0x66666665, 0x4, x3, 1240, x4) - -inst_326: -// rs1_val==858993459 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x33333333, 0x0, x3, 1244, x4) - -inst_327: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0x51eb851f, 0x33333333, 0x66666665, x3, 1248, x4) - -inst_328: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0x8f5c28f6, 0x33333333, 0x33333332, x3, 1252, x4) - -inst_329: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0xbbbbbbbc, 0x33333333, 0x55555554, x3, 1256, x4) - -inst_330: -// rs1_val==858993459 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0x66666666, 0x33333333, 0x2, x3, 1260, x4) - -inst_331: -// rs1_val==858993459 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xffffdbcc, 0x33333333, 0xb504, x3, 1264, x4) - -inst_332: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x2434, 0x33333333, -0xb504, x3, 1268, x4) - -inst_333: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0x851eb852, 0x33333333, 0x66666666, x3, 1272, x4) - -inst_334: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0xc28f5c29, 0x33333333, 0x33333333, x3, 1276, x4) - -inst_335: -// rs1_val==858993459 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0xffffffff, 0x33333333, 0x5, x3, 1280, x4) - -inst_336: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xddddddde, 0x33333333, -0x55555556, x3, 1284, x4) - -inst_337: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xeeeeeeef, 0x33333333, 0x55555555, x3, 1288, x4) - -inst_338: -// rs1_val==858993459 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x99999999, 0x33333333, 0x3, x3, 1292, x4) - -inst_339: -// rs1_val==5 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x38919, 0x5, 0xb505, x3, 1296, x4) - -inst_340: -// rs1_val==5 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0xfffc76f1, 0x5, -0xb503, x3, 1300, x4) - -inst_341: -// rs1_val==5 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x3, 0x5, 0x66666667, x3, 1304, x4) - -inst_342: -// rs1_val==5 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0x4, 0x5, 0x33333334, x3, 1308, x4) - -inst_343: -// rs1_val==5 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x1e, 0x5, 0x6, x3, 1312, x4) - -inst_344: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x55555557, 0x5, -0x55555555, x3, 1316, x4) - -inst_345: -// rs1_val==5 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaae, 0x5, 0x55555556, x3, 1320, x4) - -inst_346: -// rs1_val==5 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x14, 0x5, 0x4, x3, 1324, x4) - -inst_347: -// rs1_val==5 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x3890f, 0x5, 0xb503, x3, 1328, x4) - -inst_348: -// rs1_val==5 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x5, 0x0, x3, 1332, x4) - -inst_349: -// rs1_val==5 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffff9, 0x5, 0x66666665, x3, 1336, x4) - -inst_350: -// rs1_val==5 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffffa, 0x5, 0x33333332, x3, 1340, x4) - -inst_351: -// rs1_val==5 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaa4, 0x5, 0x55555554, x3, 1344, x4) - -inst_352: -// rs1_val==5 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0xa, 0x5, 0x2, x3, 1348, x4) - -inst_353: -// rs1_val==5 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x38914, 0x5, 0xb504, x3, 1352, x4) - -inst_354: -// rs1_val==5 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xfffc76ec, 0x5, -0xb504, x3, 1356, x4) - -inst_355: -// rs1_val==5 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffffe, 0x5, 0x66666666, x3, 1360, x4) - -inst_356: -// rs1_val==5 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0xffffffff, 0x5, 0x33333333, x3, 1364, x4) - -inst_357: -// rs1_val==5 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0x19, 0x5, 0x5, x3, 1368, x4) - -inst_358: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x55555552, 0x5, -0x55555556, x3, 1372, x4) - -inst_359: -// rs1_val==5 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaa9, 0x5, 0x55555555, x3, 1376, x4) - -inst_360: -// rs1_val==5 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0xf, 0x5, 0x3, x3, 1380, x4) - -inst_361: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0xffff8752, -0x55555556, 0xb505, x3, 1384, x4) - -inst_362: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x5555ce02, -0x55555556, -0xb503, x3, 1388, x4) - -inst_363: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x66666666, -0x55555556, 0x66666667, x3, 1392, x4) - -inst_364: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0x88888888, -0x55555556, 0x33333334, x3, 1396, x4) - -inst_365: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffffc, -0x55555556, 0x6, x3, 1400, x4) - -inst_366: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x8e38e38e, -0x55555556, -0x55555555, x3, 1404, x4) - -inst_367: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x1c71c71c, -0x55555556, 0x55555556, x3, 1408, x4) - -inst_368: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x4, x3, 1412, x4) - -inst_369: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaa31fe, -0x55555556, 0xb503, x3, 1416, x4) - -inst_370: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, -0x55555556, 0x0, x3, 1420, x4) - -inst_371: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0x11111112, -0x55555556, 0x66666665, x3, 1424, x4) - -inst_372: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0x33333334, -0x55555556, 0x33333332, x3, 1428, x4) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0xc71c71c8, -0x55555556, 0x55555554, x3, 1432, x4) - -inst_374: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0x55555554, -0x55555556, 0x2, x3, 1436, x4) - -inst_375: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x5554dca8, -0x55555556, 0xb504, x3, 1440, x4) - -inst_376: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xaaab2358, -0x55555556, -0xb504, x3, 1444, x4) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0xbbbbbbbc, -0x55555556, 0x66666666, x3, 1448, x4) - -inst_378: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0xddddddde, -0x55555556, 0x33333333, x3, 1452, x4) - -inst_379: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0x55555552, -0x55555556, 0x5, x3, 1456, x4) - -inst_380: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xe38e38e4, -0x55555556, -0x55555556, x3, 1460, x4) - -inst_381: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x71c71c72, -0x55555556, 0x55555555, x3, 1464, x4) - -inst_382: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffffe, -0x55555556, 0x3, x3, 1468, x4) - -inst_383: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0xffffc3a9, 0x55555555, 0xb505, x3, 1472, x4) - -inst_384: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaae701, 0x55555555, -0xb503, x3, 1476, x4) - -inst_385: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x33333333, 0x55555555, 0x66666667, x3, 1480, x4) - -inst_386: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0x44444444, 0x55555555, 0x33333334, x3, 1484, x4) - -inst_387: -// rs1_val==1431655765 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffffe, 0x55555555, 0x6, x3, 1488, x4) - -inst_388: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xc71c71c7, 0x55555555, -0x55555555, x3, 1492, x4) - -inst_389: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x8e38e38e, 0x55555555, 0x55555556, x3, 1496, x4) - -inst_390: -// rs1_val==1431655765 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x55555554, 0x55555555, 0x4, x3, 1500, x4) - -inst_391: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x555518ff, 0x55555555, 0xb503, x3, 1504, x4) - -inst_392: -// rs1_val==1431655765 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x55555555, 0x0, x3, 1508, x4) - -inst_393: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0x88888889, 0x55555555, 0x66666665, x3, 1512, x4) - -inst_394: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0x9999999a, 0x55555555, 0x33333332, x3, 1516, x4) - -inst_395: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0xe38e38e4, 0x55555555, 0x55555554, x3, 1520, x4) - -inst_396: -// rs1_val==1431655765 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaaa, 0x55555555, 0x2, x3, 1524, x4) - -inst_397: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaa6e54, 0x55555555, 0xb504, x3, 1528, x4) - -inst_398: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x555591ac, 0x55555555, -0xb504, x3, 1532, x4) - -inst_399: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0xddddddde, 0x55555555, 0x66666666, x3, 1536, x4) - -inst_400: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0xeeeeeeef, 0x55555555, 0x33333333, x3, 1540, x4) - -inst_401: -// rs1_val==1431655765 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaa9, 0x55555555, 0x5, x3, 1544, x4) - -inst_402: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x71c71c72, 0x55555555, -0x55555556, x3, 1548, x4) - -inst_403: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x38e38e39, 0x55555555, 0x55555555, x3, 1552, x4) - -inst_404: -// rs1_val==1431655765 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0xffffffff, 0x55555555, 0x3, x3, 1556, x4) - -inst_405: -// rs1_val==3 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x21f0f, 0x3, 0xb505, x3, 1560, x4) - -inst_406: -// rs1_val==3 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0xfffde0f7, 0x3, -0xb503, x3, 1564, x4) - -inst_407: -// rs1_val==3 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x33333335, 0x3, 0x66666667, x3, 1568, x4) - -inst_408: -// rs1_val==3 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0x9999999c, 0x3, 0x33333334, x3, 1572, x4) - -inst_409: -// rs1_val==3 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x12, 0x3, 0x6, x3, 1576, x4) - -inst_410: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x1, 0x3, -0x55555555, x3, 1580, x4) - -inst_411: -// rs1_val==3 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x2, 0x3, 0x55555556, x3, 1584, x4) - -inst_412: -// rs1_val==3 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0xc, 0x3, 0x4, x3, 1588, x4) - -inst_413: -// rs1_val==3 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x21f09, 0x3, 0xb503, x3, 1592, x4) - -inst_414: -// rs1_val==3 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x3, 0x0, x3, 1596, x4) - -inst_415: -// rs1_val==3 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0x3333332f, 0x3, 0x66666665, x3, 1600, x4) - -inst_416: -// rs1_val==3 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0x99999996, 0x3, 0x33333332, x3, 1604, x4) - -inst_417: -// rs1_val==3 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffffc, 0x3, 0x55555554, x3, 1608, x4) - -inst_418: -// rs1_val==3 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0x6, 0x3, 0x2, x3, 1612, x4) - -inst_419: -// rs1_val==3 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x21f0c, 0x3, 0xb504, x3, 1616, x4) - -inst_420: -// rs1_val==3 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xfffde0f4, 0x3, -0xb504, x3, 1620, x4) - -inst_421: -// rs1_val==3 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0x33333332, 0x3, 0x66666666, x3, 1624, x4) - -inst_422: -// rs1_val==3 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0x99999999, 0x3, 0x33333333, x3, 1628, x4) - -inst_423: -// rs1_val==3 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0xf, 0x3, 0x5, x3, 1632, x4) - -inst_424: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffffe, 0x3, -0x55555556, x3, 1636, x4) - -inst_425: -// rs1_val==3 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xffffffff, 0x3, 0x55555555, x3, 1640, x4) - -inst_426: -// rs1_val==3 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x9, 0x3, 0x3, x3, 1644, x4) - -inst_427: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x99989c2f, 0x66666665, 0xb503, x3, 1648, x4) - -inst_428: -// rs1_val==1717986917 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x66666665, 0x0, x3, 1652, x4) - -inst_429: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0x3d70a3d9, 0x66666665, 0x66666665, x3, 1656, x4) - -inst_430: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0xeb851eba, 0x66666665, 0x33333332, x3, 1660, x4) - -inst_431: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0x22222224, 0x66666665, 0x55555554, x3, 1664, x4) - -inst_432: -// rs1_val==1717986917 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0xccccccca, 0x66666665, 0x2, x3, 1668, x4) - -inst_433: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xffff0294, 0x66666665, 0xb504, x3, 1672, x4) - -inst_434: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xfd6c, 0x66666665, -0xb504, x3, 1676, x4) - -inst_435: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0xa3d70a3e, 0x66666665, 0x66666666, x3, 1680, x4) - -inst_436: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0x51eb851f, 0x66666665, 0x33333333, x3, 1684, x4) - -inst_437: -// rs1_val==1717986917 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffff9, 0x66666665, 0x5, x3, 1688, x4) - -inst_438: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x11111112, 0x66666665, -0x55555556, x3, 1692, x4) - -inst_439: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x88888889, 0x66666665, 0x55555555, x3, 1696, x4) - -inst_440: -// rs1_val==1717986917 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x3333332f, 0x66666665, 0x3, x3, 1700, x4) - -inst_441: -// rs1_val==858993458 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x333259fa, 0x33333332, 0xb505, x3, 1704, x4) - -inst_442: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x33340c6a, 0x33333332, -0xb503, x3, 1708, x4) - -inst_443: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x51eb851e, 0x33333332, 0x66666667, x3, 1712, x4) - -inst_444: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0xc28f5c28, 0x33333332, 0x33333334, x3, 1716, x4) - -inst_445: -// rs1_val==858993458 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x3333332c, 0x33333332, 0x6, x3, 1720, x4) - -inst_446: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x66666666, 0x33333332, -0x55555555, x3, 1724, x4) - -inst_447: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xcccccccc, 0x33333332, 0x55555556, x3, 1728, x4) - -inst_448: -// rs1_val==858993458 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0xccccccc8, 0x33333332, 0x4, x3, 1732, x4) - -inst_449: -// rs1_val==858993458 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0xcccbf396, 0x33333332, 0xb503, x3, 1736, x4) - -inst_450: -// rs1_val==858993458 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x33333332, 0x0, x3, 1740, x4) - -inst_451: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0xeb851eba, 0x33333332, 0x66666665, x3, 1744, x4) - -inst_452: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0x5c28f5c4, 0x33333332, 0x33333332, x3, 1748, x4) - -inst_453: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0x66666668, 0x33333332, 0x55555554, x3, 1752, x4) - -inst_454: -// rs1_val==858993458 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0x66666664, 0x33333332, 0x2, x3, 1756, x4) - -inst_455: -// rs1_val==858993458 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xffff26c8, 0x33333332, 0xb504, x3, 1760, x4) - -inst_456: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xd938, 0x33333332, -0xb504, x3, 1764, x4) - -inst_457: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0x1eb851ec, 0x33333332, 0x66666666, x3, 1768, x4) - -inst_458: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0x8f5c28f6, 0x33333332, 0x33333333, x3, 1772, x4) - -inst_459: -// rs1_val==858993458 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffffa, 0x33333332, 0x5, x3, 1776, x4) - -inst_460: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x33333334, 0x33333332, -0x55555556, x3, 1780, x4) - -inst_461: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x9999999a, 0x33333332, 0x55555555, x3, 1784, x4) - -inst_462: -// rs1_val==858993458 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x99999996, 0x33333332, 0x3, x3, 1788, x4) - -inst_463: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0xffff0ea4, 0x55555554, 0xb505, x3, 1792, x4) - -inst_464: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0xaaab9c04, 0x55555554, -0xb503, x3, 1796, x4) - -inst_465: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0xcccccccc, 0x55555554, 0x66666667, x3, 1800, x4) - -inst_466: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0x11111110, 0x55555554, 0x33333334, x3, 1804, x4) - -inst_467: -// rs1_val==1431655764 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffff8, 0x55555554, 0x6, x3, 1808, x4) - -inst_468: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x1c71c71c, 0x55555554, -0x55555555, x3, 1812, x4) - -inst_469: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x38e38e38, 0x55555554, 0x55555556, x3, 1816, x4) - -inst_470: -// rs1_val==1431655764 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x3, 1820, x4) - -inst_471: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x555463fc, 0x55555554, 0xb503, x3, 1824, x4) - -inst_472: -// rs1_val==1431655764 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x55555554, 0x0, x3, 1828, x4) - -inst_473: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0x22222224, 0x55555554, 0x66666665, x3, 1832, x4) - -inst_474: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0x66666668, 0x55555554, 0x33333332, x3, 1836, x4) - -inst_475: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0x8e38e390, 0x55555554, 0x55555554, x3, 1840, x4) - -inst_476: -// rs1_val==1431655764 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaa8, 0x55555554, 0x2, x3, 1844, x4) - -inst_477: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xaaa9b950, 0x55555554, 0xb504, x3, 1848, x4) - -inst_478: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x555646b0, 0x55555554, -0xb504, x3, 1852, x4) - -inst_479: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0x77777778, 0x55555554, 0x66666666, x3, 1856, x4) - -inst_480: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0xbbbbbbbc, 0x55555554, 0x33333333, x3, 1860, x4) - -inst_481: -// rs1_val==1431655764 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaa4, 0x55555554, 0x5, x3, 1864, x4) - -inst_482: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xc71c71c8, 0x55555554, -0x55555556, x3, 1868, x4) - -inst_483: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xe38e38e4, 0x55555554, 0x55555555, x3, 1872, x4) - -inst_484: -// rs1_val==1431655764 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffffc, 0x55555554, 0x3, x3, 1876, x4) - -inst_485: -// rs1_val==2 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x16a0a, 0x2, 0xb505, x3, 1880, x4) - -inst_486: -// rs1_val==2 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0xfffe95fa, 0x2, -0xb503, x3, 1884, x4) - -inst_487: -// rs1_val==2 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0xccccccce, 0x2, 0x66666667, x3, 1888, x4) - -inst_488: -// rs1_val==2 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0x66666668, 0x2, 0x33333334, x3, 1892, x4) - -inst_489: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x55555556, 0x2, -0x55555555, x3, 1896, x4) - -inst_490: -// rs1_val==2 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaac, 0x2, 0x55555556, x3, 1900, x4) - -inst_491: -// rs1_val==2 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x8, 0x2, 0x4, x3, 1904, x4) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x16a06, 0x2, 0xb503, x3, 1908, x4) - -inst_493: -// rs1_val==2 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x2, 0x0, x3, 1912, x4) - -inst_494: -// rs1_val==2 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0xccccccca, 0x2, 0x66666665, x3, 1916, x4) - -inst_495: -// rs1_val==2 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0x66666664, 0x2, 0x33333332, x3, 1920, x4) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaa8, 0x2, 0x55555554, x3, 1924, x4) - -inst_497: -// rs1_val==2 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0x4, 0x2, 0x2, x3, 1928, x4) - -inst_498: -// rs1_val==2 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x16a08, 0x2, 0xb504, x3, 1932, x4) - -inst_499: -// rs1_val==2 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xfffe95f8, 0x2, -0xb504, x3, 1936, x4) - -inst_500: -// rs1_val==2 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0xcccccccc, 0x2, 0x66666666, x3, 1940, x4) - -inst_501: -// rs1_val==2 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0x66666666, 0x2, 0x33333333, x3, 1944, x4) - -inst_502: -// rs1_val==2 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0xa, 0x2, 0x5, x3, 1948, x4) - -inst_503: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x55555554, 0x2, -0x55555556, x3, 1952, x4) - -inst_504: -// rs1_val==2 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaaaaaa, 0x2, 0x55555555, x3, 1956, x4) - -inst_505: -// rs1_val==2 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x6, 0x2, 0x3, x3, 1960, x4) - -inst_506: -// rs1_val==46340 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x7fff5d14, 0xb504, 0xb505, x3, 1964, x4) - -inst_507: -// rs1_val==46340 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x80020cf4, 0xb504, -0xb503, x3, 1968, x4) - -inst_508: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x6c9c, 0xb504, 0x66666667, x3, 1972, x4) - -inst_509: -// rs1_val==46340 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0x90d0, 0xb504, 0x33333334, x3, 1976, x4) - -inst_510: -// rs1_val==46340 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x43e18, 0xb504, 0x6, x3, 1980, x4) - -inst_511: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x555591ac, 0xb504, -0x55555555, x3, 1984, x4) - -inst_512: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xaaab2358, 0xb504, 0x55555556, x3, 1988, x4) - -inst_513: -// rs1_val==46340 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x2d410, 0xb504, 0x4, x3, 1992, x4) - -inst_514: -// rs1_val==46340 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x7ffdf30c, 0xb504, 0xb503, x3, 1996, x4) - -inst_515: -// rs1_val==46340 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0xb504, 0x0, x3, 2000, x4) - -inst_516: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0xffff0294, 0xb504, 0x66666665, x3, 2004, x4) - -inst_517: -// rs1_val==46340 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0xffff26c8, 0xb504, 0x33333332, x3, 2008, x4) - -inst_518: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0xaaa9b950, 0xb504, 0x55555554, x3, 2012, x4) - -inst_519: -// rs1_val==46340 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0x16a08, 0xb504, 0x2, x3, 2016, x4) - -inst_520: -// rs1_val==46340 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x7ffea810, 0xb504, 0xb504, x3, 2020, x4) - -inst_521: -// rs1_val==46340 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x800157f0, 0xb504, -0xb504, x3, 2024, x4) - -inst_522: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0xffffb798, 0xb504, 0x66666666, x3, 2028, x4) - -inst_523: -// rs1_val==46340 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0xffffdbcc, 0xb504, 0x33333333, x3, 2032, x4) - -inst_524: -// rs1_val==46340 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0x38914, 0xb504, 0x5, x3, 2036, x4) - -inst_525: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x5554dca8, 0xb504, -0x55555556, x3, 2040, x4) - -inst_526: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaa6e54, 0xb504, 0x55555555, x3, 2044, x4) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_527: -// rs1_val==46340 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x21f0c, 0xb504, 0x3, x3, 0, x4) - -inst_528: -// rs1_val==-46340 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x8000a2ec, -0xb504, 0xb505, x3, 4, x4) - -inst_529: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x7ffdf30c, -0xb504, -0xb503, x3, 8, x4) - -inst_530: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0xffff9364, -0xb504, 0x66666667, x3, 12, x4) - -inst_531: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0xffff6f30, -0xb504, 0x33333334, x3, 16, x4) - -inst_532: -// rs1_val==-46340 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0xfffbc1e8, -0xb504, 0x6, x3, 20, x4) - -inst_533: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xaaaa6e54, -0xb504, -0x55555555, x3, 24, x4) - -inst_534: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x5554dca8, -0xb504, 0x55555556, x3, 28, x4) - -inst_535: -// rs1_val==-46340 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0xfffd2bf0, -0xb504, 0x4, x3, 32, x4) - -inst_536: -// rs1_val==-46340 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x80020cf4, -0xb504, 0xb503, x3, 36, x4) - -inst_537: -// rs1_val==-46340 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, -0xb504, 0x0, x3, 40, x4) - -inst_538: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0xfd6c, -0xb504, 0x66666665, x3, 44, x4) - -inst_539: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0xd938, -0xb504, 0x33333332, x3, 48, x4) - -inst_540: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0x555646b0, -0xb504, 0x55555554, x3, 52, x4) - -inst_541: -// rs1_val==-46340 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0xfffe95f8, -0xb504, 0x2, x3, 56, x4) - -inst_542: -// rs1_val==-46340 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x800157f0, -0xb504, 0xb504, x3, 60, x4) - -inst_543: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x7ffea810, -0xb504, -0xb504, x3, 64, x4) - -inst_544: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0x4868, -0xb504, 0x66666666, x3, 68, x4) - -inst_545: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0x2434, -0xb504, 0x33333333, x3, 72, x4) - -inst_546: -// rs1_val==-46340 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0xfffc76ec, -0xb504, 0x5, x3, 76, x4) - -inst_547: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xaaab2358, -0xb504, -0x55555556, x3, 80, x4) - -inst_548: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x555591ac, -0xb504, 0x55555555, x3, 84, x4) - -inst_549: -// rs1_val==-46340 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0xfffde0f4, -0xb504, 0x3, x3, 88, x4) - -inst_550: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x66661dfe, 0x66666666, 0xb505, x3, 92, x4) - -inst_551: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x6666aece, 0x66666666, -0xb503, x3, 96, x4) - -inst_552: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0x70a3d70a, 0x66666666, 0x66666667, x3, 100, x4) - -inst_553: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0xeb851eb8, 0x66666666, 0x33333334, x3, 104, x4) - -inst_554: -// rs1_val==1717986918 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x66666664, 0x66666666, 0x6, x3, 108, x4) - -inst_555: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x22222222, 0x66666666, -0x55555555, x3, 112, x4) - -inst_556: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x44444444, 0x66666666, 0x55555556, x3, 116, x4) - -inst_557: -// rs1_val==1717986918 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0x99999998, 0x66666666, 0x4, x3, 120, x4) - -inst_558: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x99995132, 0x66666666, 0xb503, x3, 124, x4) - -inst_559: -// rs1_val==1717986918 and rs2_val==0, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(mul, x12, x10, x11, 0x0, 0x66666666, 0x0, x3, 128, x4) - -inst_560: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(mul, x12, x10, x11, 0xa3d70a3e, 0x66666666, 0x66666665, x3, 132, x4) - -inst_561: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(mul, x12, x10, x11, 0x1eb851ec, 0x66666666, 0x33333332, x3, 136, x4) - -inst_562: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(mul, x12, x10, x11, 0x77777778, 0x66666666, 0x55555554, x3, 140, x4) - -inst_563: -// rs1_val==1717986918 and rs2_val==2, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(mul, x12, x10, x11, 0xcccccccc, 0x66666666, 0x2, x3, 144, x4) - -inst_564: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0xffffb798, 0x66666666, 0xb504, x3, 148, x4) - -inst_565: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(mul, x12, x10, x11, 0x4868, 0x66666666, -0xb504, x3, 152, x4) - -inst_566: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(mul, x12, x10, x11, 0xa3d70a4, 0x66666666, 0x66666666, x3, 156, x4) - -inst_567: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(mul, x12, x10, x11, 0x851eb852, 0x66666666, 0x33333333, x3, 160, x4) - -inst_568: -// rs1_val==1717986918 and rs2_val==5, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffffe, 0x66666666, 0x5, x3, 164, x4) - -inst_569: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0xbbbbbbbc, 0x66666666, -0x55555556, x3, 168, x4) - -inst_570: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0xddddddde, 0x66666666, 0x55555555, x3, 172, x4) - -inst_571: -// rs1_val==1717986918 and rs2_val==3, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(mul, x12, x10, x11, 0x33333332, 0x66666666, 0x3, x3, 176, x4) - -inst_572: -// rs1_val==858993459 and rs2_val==46341, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(mul, x12, x10, x11, 0x33330eff, 0x33333333, 0xb505, x3, 180, x4) - -inst_573: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0x33335767, 0x33333333, -0xb503, x3, 184, x4) - -inst_574: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(mul, x12, x10, x11, 0xb851eb85, 0x33333333, 0x66666667, x3, 188, x4) - -inst_575: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(mul, x12, x10, x11, 0xf5c28f5c, 0x33333333, 0x33333334, x3, 192, x4) - -inst_576: -// rs1_val==858993459 and rs2_val==6, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(mul, x12, x10, x11, 0x33333332, 0x33333333, 0x6, x3, 196, x4) - -inst_577: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(mul, x12, x10, x11, 0x11111111, 0x33333333, -0x55555555, x3, 200, x4) - -inst_578: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(mul, x12, x10, x11, 0x22222222, 0x33333333, 0x55555556, x3, 204, x4) - -inst_579: -// rs1_val==858993459 and rs2_val==4, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(mul, x12, x10, x11, 0xcccccccc, 0x33333333, 0x4, x3, 208, x4) - -inst_580: -// rs1_val==858993459 and rs2_val==46339, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(mul, x12, x10, x11, 0xcccca899, 0x33333333, 0xb503, x3, 212, x4) - -inst_581: -// rs2_val == -1073741825, rs1_val == 134217728 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0x40000001 -TEST_RR_OP(mul, x12, x10, x11, 0xf8000000, 0x8000000, -0x40000001, x3, 216, x4) - -inst_582: -// rs2_val == -536870913, rs1_val == 8 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x20000001 -TEST_RR_OP(mul, x12, x10, x11, 0xfffffff8, 0x8, -0x20000001, x3, 220, x4) - -inst_583: -// rs2_val == -8388609, -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x800001 -TEST_RR_OP(mul, x12, x10, x11, 0xfb7ffff7, 0x9, -0x800001, x3, 224, x4) - -inst_584: -// rs2_val == -4194305, rs1_val == 32 -// opcode: mul ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x400001 -TEST_RR_OP(mul, x12, x10, x11, 0xf7ffffe0, 0x20, -0x400001, x3, 228, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 58*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/mulh-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/mulh-01.S deleted file mode 100644 index 8de953dbe..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/mulh-01.S +++ /dev/null @@ -1,3040 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 07:22:49 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32em.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the mulh instruction of the RISC-V M extension for the mulh covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EM") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*M.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",mulh) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs2 == rd != rs1, rs1==x8, rs2==x5, rd==x5, rs1_val != rs2_val, rs1_val == 4, rs2_val == -67108865, rs1_val > 0 and rs2_val < 0 -// opcode: mulh ; op1:x8; op2:x5; dest:x5; op1val:0x4; op2val:-0x4000001 -TEST_RR_OP(mulh, x5, x8, x5, 0xffffffff, 0x4, -0x4000001, x2, 0, x3) - -inst_1: -// rs1 == rd != rs2, rs1==x13, rs2==x6, rd==x13, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: mulh ; op1:x13; op2:x6; dest:x13; op1val:0xb504; op2val:0x7fffffff -TEST_RR_OP(mulh, x13, x13, x6, 0x5a81, 0xb504, 0x7fffffff, x2, 4, x3) - -inst_2: -// rs1 == rs2 == rd, rs1==x14, rs2==x14, rd==x14, rs2_val == -1073741825, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: mulh ; op1:x14; op2:x14; dest:x14; op1val:0x7fffffff; op2val:0x7fffffff -TEST_RR_OP(mulh, x14, x14, x14, 0x3fffffff, 0x7fffffff, 0x7fffffff, x2, 8, x3) - -inst_3: -// rs1 == rs2 != rd, rs1==x4, rs2==x4, rd==x1, rs2_val == -536870913, rs1_val < 0 and rs2_val < 0, rs1_val == -4194305 -// opcode: mulh ; op1:x4; op2:x4; dest:x1; op1val:-0x400001; op2val:-0x400001 -TEST_RR_OP(mulh, x1, x4, x4, 0x1000, -0x400001, -0x400001, x2, 12, x3) - -inst_4: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x13, rd==x7, rs2_val == -268435457, -// opcode: mulh ; op1:x10; op2:x13; dest:x7; op1val:0x33333333; op2val:-0x10000001 -TEST_RR_OP(mulh, x7, x10, x13, 0xfccccccc, 0x33333333, -0x10000001, x2, 16, x3) - -inst_5: -// rs1==x11, rs2==x12, rd==x15, rs2_val == -134217729, -// opcode: mulh ; op1:x11; op2:x12; dest:x15; op1val:0xb505; op2val:-0x8000001 -TEST_RR_OP(mulh, x15, x11, x12, 0xfffffa57, 0xb505, -0x8000001, x2, 20, x3) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_6: -// rs1==x0, rs2==x15, rd==x10, rs2_val == -33554433, rs1_val == -4097 -// opcode: mulh ; op1:x0; op2:x15; dest:x10; op1val:0x0; op2val:-0x2000001 -TEST_RR_OP(mulh, x10, x0, x15, 0x0, 0x0, -0x2000001, x5, 0, x11) - -inst_7: -// rs1==x6, rs2==x2, rd==x8, rs2_val == -16777217, rs1_val == -134217729 -// opcode: mulh ; op1:x6; op2:x2; dest:x8; op1val:-0x8000001; op2val:-0x1000001 -TEST_RR_OP(mulh, x8, x6, x2, 0x80000, -0x8000001, -0x1000001, x5, 4, x11) - -inst_8: -// rs1==x2, rs2==x0, rd==x9, rs2_val == -8388609, rs1_val == 256 -// opcode: mulh ; op1:x2; op2:x0; dest:x9; op1val:0x100; op2val:0x0 -TEST_RR_OP(mulh, x9, x2, x0, 0x0, 0x100, 0x0, x5, 8, x11) - -inst_9: -// rs1==x9, rs2==x3, rd==x2, rs2_val == -4194305, -// opcode: mulh ; op1:x9; op2:x3; dest:x2; op1val:0x7fffffff; op2val:-0x400001 -TEST_RR_OP(mulh, x2, x9, x3, 0xffdfffff, 0x7fffffff, -0x400001, x5, 12, x11) - -inst_10: -// rs1==x15, rs2==x7, rd==x4, rs2_val == -2097153, -// opcode: mulh ; op1:x15; op2:x7; dest:x4; op1val:0x7fffffff; op2val:-0x200001 -TEST_RR_OP(mulh, x4, x15, x7, 0xffefffff, 0x7fffffff, -0x200001, x5, 16, x11) - -inst_11: -// rs1==x1, rs2==x8, rd==x12, rs2_val == -1048577, rs1_val == -1073741825 -// opcode: mulh ; op1:x1; op2:x8; dest:x12; op1val:-0x40000001; op2val:-0x100001 -TEST_RR_OP(mulh, x12, x1, x8, 0x40000, -0x40000001, -0x100001, x5, 20, x11) - -inst_12: -// rs1==x3, rs2==x10, rd==x11, rs2_val == -524289, -// opcode: mulh ; op1:x3; op2:x10; dest:x11; op1val:0x66666665; op2val:-0x80001 -TEST_RR_OP(mulh, x11, x3, x10, 0xfffccccc, 0x66666665, -0x80001, x5, 24, x4) -RVTEST_SIGBASE( x2,signature_x2_2) - -inst_13: -// rs1==x12, rs2==x9, rd==x6, rs2_val == -262145, -// opcode: mulh ; op1:x12; op2:x9; dest:x6; op1val:-0xb504; op2val:-0x40001 -TEST_RR_OP(mulh, x6, x12, x9, 0x2, -0xb504, -0x40001, x2, 0, x4) - -inst_14: -// rs1==x7, rs2==x1, rd==x3, rs2_val == -131073, rs1_val == 33554432 -// opcode: mulh ; op1:x7; op2:x1; dest:x3; op1val:0x2000000; op2val:-0x20001 -TEST_RR_OP(mulh, x3, x7, x1, 0xfffffbff, 0x2000000, -0x20001, x2, 4, x4) - -inst_15: -// rs1==x5, rs2==x11, rd==x0, rs2_val == -65537, -// opcode: mulh ; op1:x5; op2:x11; dest:x0; op1val:0x66666667; op2val:-0x10001 -TEST_RR_OP(mulh, x0, x5, x11, 0, 0x66666667, -0x10001, x2, 8, x4) - -inst_16: -// rs2_val == -32769, rs1_val == 1073741824 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x8001 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffdfff, 0x40000000, -0x8001, x2, 12, x4) - -inst_17: -// rs2_val == -16385, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x4001 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffff333, 0x33333333, -0x4001, x2, 16, x4) - -inst_18: -// rs2_val == -8193, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x2001 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffff999, 0x33333332, -0x2001, x2, 20, x4) - -inst_19: -// rs2_val == -4097, rs1_val == 8 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x1001 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x8, -0x1001, x2, 24, x4) - -inst_20: -// rs2_val == -2049, rs1_val == 0 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x801 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, -0x801, x2, 28, x4) - -inst_21: -// rs2_val == -1025, rs1_val == 32768 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:-0x401 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x8000, -0x401, x2, 32, x4) - -inst_22: -// rs2_val == -513, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x201 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x9, -0x201, x2, 36, x4) - -inst_23: -// rs2_val == -257, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x101 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x8, -0x101, x2, 40, x4) - -inst_24: -// rs2_val == -129, rs1_val == 268435456 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0x81 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffff7, 0x10000000, -0x81, x2, 44, x4) - -inst_25: -// rs2_val == -65, rs1_val == -32769 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x41 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0x8001, -0x41, x2, 48, x4) - -inst_26: -// rs2_val == -33, rs1_val == 1 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x21 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x1, -0x21, x2, 52, x4) - -inst_27: -// rs2_val == -17, rs1_val == 2048 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:-0x11 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x800, -0x11, x2, 56, x4) - -inst_28: -// rs2_val == -9, rs1_val == 2097152 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x9 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x200000, -0x9, x2, 60, x4) - -inst_29: -// rs2_val == -5, rs1_val == -8193 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0x2001, -0x5, x2, 64, x4) - -inst_30: -// rs2_val == -3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0x2001, -0x3, x2, 68, x4) - -inst_31: -// rs2_val == -2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, -0x2, x2, 72, x4) - -inst_32: -// rs1_val == -536870913, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x16a0, -0x20000001, -0xb503, x2, 76, x4) - -inst_33: -// rs1_val == -268435457, rs1_val < 0 and rs2_val > 0 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffff4af, -0x10000001, 0xb504, x2, 80, x4) - -inst_34: -// rs1_val == -67108865, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x2d4, -0x4000001, -0xb503, x2, 84, x4) - -inst_35: -// rs1_val == -33554433, rs2_val == 1431655765 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xff555555, -0x2000001, 0x55555555, x2, 88, x4) - -inst_36: -// rs1_val == -16777217, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x200001 -TEST_RR_OP(mulh, x12, x10, x11, 0x2000, -0x1000001, -0x200001, x2, 92, x4) - -inst_37: -// rs1_val == -8388609, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0xffe66666, -0x800001, 0x33333332, x2, 96, x4) - -inst_38: -// rs1_val == -2097153, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0xa -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0x200001, -0xa, x2, 100, x4) - -inst_39: -// rs1_val == -1048577, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0x100001, 0x5, x2, 104, x4) - -inst_40: -// rs1_val == -524289, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0x80001, -0x4, x2, 108, x4) - -inst_41: -// rs1_val == -262145, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffeaaaa, -0x40001, 0x55555556, x2, 112, x4) - -inst_42: -// rs1_val == -131073, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:-0x100001 -TEST_RR_OP(mulh, x12, x10, x11, 0x20, -0x20001, -0x100001, x2, 116, x4) - -inst_43: -// rs1_val == -65537, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffaaaa, -0x10001, 0x55555554, x2, 120, x4) - -inst_44: -// rs1_val == -16385, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x1 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0x4001, -0x1, x2, 124, x4) - -inst_45: -// rs1_val == -2049, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffd55, -0x801, 0x55555554, x2, 128, x4) - -inst_46: -// rs1_val == -1025, rs2_val == 4 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0x401, 0x4, x2, 132, x4) - -inst_47: -// rs1_val == -513, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x1000001 -TEST_RR_OP(mulh, x12, x10, x11, 0x2, -0x201, -0x1000001, x2, 136, x4) - -inst_48: -// rs1_val == -257, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0x101, -0x5, x2, 140, x4) - -inst_49: -// rs1_val == -129, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffd5, -0x81, 0x55555555, x2, 144, x4) - -inst_50: -// rs1_val == -65, rs2_val == -1431655766 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x15, -0x41, -0x55555556, x2, 148, x4) - -inst_51: -// rs1_val == -33, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0x21, -0x4, x2, 152, x4) - -inst_52: -// rs1_val == -17, rs2_val == 8388608 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x800000 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0x11, 0x800000, x2, 156, x4) - -inst_53: -// rs1_val == -9, rs2_val == 128 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x80 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0x9, 0x80, x2, 160, x4) - -inst_54: -// rs1_val == -5, rs2_val == 65536 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x10000 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0x5, 0x10000, x2, 164, x4) - -inst_55: -// rs1_val == -3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x4001 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0x3, -0x4001, x2, 168, x4) - -inst_56: -// rs1_val == -2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x9 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0x2, -0x9, x2, 172, x4) - -inst_57: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:-0x80000000 -TEST_RR_OP(mulh, x12, x10, x11, 0x20000000, -0x40000000, -0x80000000, x2, 176, x4) - -inst_58: -// rs2_val == 1073741824, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40000000 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x4, 0x40000000, x2, 180, x4) - -inst_59: -// rs2_val == 536870912, rs1_val == 1024 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x20000000 -TEST_RR_OP(mulh, x12, x10, x11, 0x80, 0x400, 0x20000000, x2, 184, x4) - -inst_60: -// rs2_val == 268435456, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x10000000 -TEST_RR_OP(mulh, x12, x10, x11, 0xffbfffff, -0x4000001, 0x10000000, x2, 188, x4) - -inst_61: -// rs2_val == 134217728, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x8000000 -TEST_RR_OP(mulh, x12, x10, x11, 0xfff7ffff, -0x1000001, 0x8000000, x2, 192, x4) - -inst_62: -// rs2_val == 67108864, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4000000 -TEST_RR_OP(mulh, x12, x10, x11, 0xcccccc, 0x33333334, 0x4000000, x2, 196, x4) - -inst_63: -// rs2_val == 33554432, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x2000000 -TEST_RR_OP(mulh, x12, x10, x11, 0x200000, 0x10000000, 0x2000000, x2, 200, x4) - -inst_64: -// rs2_val == 16777216, rs1_val == 1431655765 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1000000 -TEST_RR_OP(mulh, x12, x10, x11, 0x555555, 0x55555555, 0x1000000, x2, 204, x4) - -inst_65: -// rs2_val == 4194304, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x400000 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x400000, x2, 208, x4) - -inst_66: -// rs2_val == 2097152, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x200000 -TEST_RR_OP(mulh, x12, x10, x11, 0x16, 0xb503, 0x200000, x2, 212, x4) - -inst_67: -// rs2_val == 1048576, rs1_val == 2 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x100000 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x100000, x2, 216, x4) - -inst_68: -// rs2_val == 524288, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x80000 -TEST_RR_OP(mulh, x12, x10, x11, 0x3ffff, 0x7fffffff, 0x80000, x2, 220, x4) - -inst_69: -// rs2_val == 262144, rs1_val == 512 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x40000 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x200, 0x40000, x2, 224, x4) - -inst_70: -// rs2_val == 131072, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0x5, 0x20000, x2, 228, x4) - -inst_71: -// rs2_val == 32768, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x8000 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x5, 0x8000, x2, 232, x4) - -inst_72: -// rs2_val == 16384, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x4000 -TEST_RR_OP(mulh, x12, x10, x11, 0xfff, 0x3fffffff, 0x4000, x2, 236, x4) - -inst_73: -// rs2_val == 8192, rs1_val == 1048576 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x2000 -TEST_RR_OP(mulh, x12, x10, x11, 0x2, 0x100000, 0x2000, x2, 240, x4) - -inst_74: -// rs2_val == 4096, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x1000 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0x81, 0x1000, x2, 244, x4) - -inst_75: -// rs2_val == 2048, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x800 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffe00, -0x40000000, 0x800, x2, 248, x4) - -inst_76: -// rs2_val == 1024, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x400 -TEST_RR_OP(mulh, x12, x10, x11, 0x40, 0x10000000, 0x400, x2, 252, x4) - -inst_77: -// rs2_val == 512, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x200 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x6, 0x200, x2, 256, x4) - -inst_78: -// rs2_val == 256, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x100 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x100000, 0x100, x2, 260, x4) - -inst_79: -// rs2_val == 64, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x40 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0x7, 0x40, x2, 264, x4) - -inst_80: -// rs2_val == 32, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x20 -TEST_RR_OP(mulh, x12, x10, x11, 0xc, 0x66666666, 0x20, x2, 268, x4) - -inst_81: -// rs2_val == 16, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x10 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0x1000001, 0x10, x2, 272, x4) - -inst_82: -// rs2_val == 8, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x8 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0x41, 0x8, x2, 276, x4) - -inst_83: -// rs2_val == 2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0x100001, 0x2, x2, 280, x4) - -inst_84: -// rs2_val == 1, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x1 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3fffffff, 0x1, x2, 284, x4) - -inst_85: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x5a81, -0x80000000, -0xb503, x2, 288, x4) - -inst_86: -// rs1_val == 536870912, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x20000000, 0x3, x2, 292, x4) - -inst_87: -// rs1_val == 134217728, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x20 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x8000000, 0x20, x2, 296, x4) - -inst_88: -// rs1_val == 67108864, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x4000000, -0x3, x2, 300, x4) - -inst_89: -// rs1_val == 16777216, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xffaaaaaa, 0x1000000, -0x55555555, x2, 304, x4) - -inst_90: -// rs1_val == 8388608, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xffd55555, 0x800000, -0x55555556, x2, 308, x4) - -inst_91: -// rs1_val == 4194304, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x20000000 -TEST_RR_OP(mulh, x12, x10, x11, 0x80000, 0x400000, 0x20000000, x2, 312, x4) - -inst_92: -// rs1_val == 524288, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x1000 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x80000, 0x1000, x2, 316, x4) - -inst_93: -// rs1_val == 262144, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x200 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x40000, 0x200, x2, 320, x4) - -inst_94: -// rs1_val == 131072, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x20000, 0x2, x2, 324, x4) - -inst_95: -// rs1_val == 65536, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1000000 -TEST_RR_OP(mulh, x12, x10, x11, 0x100, 0x10000, 0x1000000, x2, 328, x4) - -inst_96: -// rs1_val == 16384, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x40000001 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffefff, 0x4000, -0x40000001, x2, 332, x4) - -inst_97: -// rs1_val == 8192, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x2000001 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffbf, 0x2000, -0x2000001, x2, 336, x4) - -inst_98: -// rs1_val == 4096, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffaaa, 0x1000, -0x55555555, x2, 340, x4) - -inst_99: -// rs1_val == 128, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x3fffffff -TEST_RR_OP(mulh, x12, x10, x11, 0x1f, 0x80, 0x3fffffff, x2, 344, x4) - -inst_100: -// rs1_val == 64, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x19, 0x40, 0x66666665, x2, 348, x4) - -inst_101: -// rs1_val == 32, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x40000000 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffff8, 0x20, -0x40000000, x2, 352, x4) - -inst_102: -// rs1_val == 16, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x40000 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x10, 0x40000, x2, 356, x4) - -inst_103: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 360, x4) - -inst_104: -// rs1_val==46341 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0xb505, -0xb503, x2, 364, x4) - -inst_105: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x4868, 0xb505, 0x66666667, x2, 368, x4) - -inst_106: -// rs1_val==46341 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x2434, 0xb505, 0x33333334, x2, 372, x4) - -inst_107: -// rs1_val==46341 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 376, x4) - -inst_108: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, 0xb505, -0x55555555, x2, 380, x4) - -inst_109: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c57, 0xb505, 0x55555556, x2, 384, x4) - -inst_110: -// rs1_val==46341 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 388, x4) - -inst_111: -// rs1_val==46341 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 392, x4) - -inst_112: -// rs1_val==46341 and rs2_val==0, rs2_val == 0 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 396, x4) - -inst_113: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x4868, 0xb505, 0x66666665, x2, 400, x4) - -inst_114: -// rs1_val==46341 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x2434, 0xb505, 0x33333332, x2, 404, x4) - -inst_115: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0xb505, 0x55555554, x2, 408, x4) - -inst_116: -// rs1_val==46341 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 412, x4) - -inst_117: -// rs1_val==46341 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 416, x4) - -inst_118: -// rs1_val==46341 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0xb505, -0xb504, x2, 420, x4) - -inst_119: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x4868, 0xb505, 0x66666666, x2, 424, x4) - -inst_120: -// rs1_val==46341 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x2434, 0xb505, 0x33333333, x2, 428, x4) - -inst_121: -// rs1_val==46341 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 432, x4) - -inst_122: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a8, 0xb505, -0x55555556, x2, 436, x4) - -inst_123: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0xb505, 0x55555555, x2, 440, x4) - -inst_124: -// rs1_val==46341 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 444, x4) - -inst_125: -// rs1_val==-46339 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb503, 0xb505, x2, 448, x4) - -inst_126: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0xb503, -0xb503, x2, 452, x4) - -inst_127: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffb798, -0xb503, 0x66666667, x2, 456, x4) - -inst_128: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffdbcc, -0xb503, 0x33333334, x2, 460, x4) - -inst_129: -// rs1_val==-46339 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb503, 0x6, x2, 464, x4) - -inst_130: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, -0xb503, -0x55555555, x2, 468, x4) - -inst_131: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, -0xb503, 0x55555556, x2, 472, x4) - -inst_132: -// rs1_val==-46339 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb503, 0x4, x2, 476, x4) - -inst_133: -// rs1_val==-46339 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb503, 0xb503, x2, 480, x4) - -inst_134: -// rs1_val==-46339 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0xb503, 0x0, x2, 484, x4) - -inst_135: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffb798, -0xb503, 0x66666665, x2, 488, x4) - -inst_136: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffdbcc, -0xb503, 0x33333332, x2, 492, x4) - -inst_137: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, -0xb503, 0x55555554, x2, 496, x4) - -inst_138: -// rs1_val==-46339 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb503, 0x2, x2, 500, x4) - -inst_139: -// rs1_val==-46339 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb503, 0xb504, x2, 504, x4) - -inst_140: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0xb503, -0xb504, x2, 508, x4) - -inst_141: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffb798, -0xb503, 0x66666666, x2, 512, x4) - -inst_142: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffdbcc, -0xb503, 0x33333333, x2, 516, x4) - -inst_143: -// rs1_val==-46339 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb503, 0x5, x2, 520, x4) - -inst_144: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, -0xb503, -0x55555556, x2, 524, x4) - -inst_145: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, -0xb503, 0x55555555, x2, 528, x4) - -inst_146: -// rs1_val==-46339 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb503, 0x3, x2, 532, x4) - -inst_147: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x4868, 0x66666667, 0xb505, x2, 536, x4) - -inst_148: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffb798, 0x66666667, -0xb503, x2, 540, x4) - -inst_149: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x28f5c28f, 0x66666667, 0x66666667, x2, 544, x4) - -inst_150: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae148, 0x66666667, 0x33333334, x2, 548, x4) - -inst_151: -// rs1_val==1717986919 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x2, 0x66666667, 0x6, x2, 552, x4) - -inst_152: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xdddddddd, 0x66666667, -0x55555555, x2, 556, x4) - -inst_153: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222222, 0x66666667, 0x55555556, x2, 560, x4) - -inst_154: -// rs1_val==1717986919 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x66666667, 0x4, x2, 564, x4) - -inst_155: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x4867, 0x66666667, 0xb503, x2, 568, x4) - -inst_156: -// rs1_val==1717986919 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 572, x4) - -inst_157: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x28f5c28f, 0x66666667, 0x66666665, x2, 576, x4) - -inst_158: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae147, 0x66666667, 0x33333332, x2, 580, x4) - -inst_159: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222221, 0x66666667, 0x55555554, x2, 584, x4) - -inst_160: -// rs1_val==1717986919 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 588, x4) - -inst_161: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x4868, 0x66666667, 0xb504, x2, 592, x4) - -inst_162: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffb797, 0x66666667, -0xb504, x2, 596, x4) - -inst_163: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x28f5c28f, 0x66666667, 0x66666666, x2, 600, x4) - -inst_164: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae147, 0x66666667, 0x33333333, x2, 604, x4) - -inst_165: -// rs1_val==1717986919 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x2, 0x66666667, 0x5, x2, 608, x4) - -inst_166: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xdddddddd, 0x66666667, -0x55555556, x2, 612, x4) - -inst_167: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222222, 0x66666667, 0x55555555, x2, 616, x4) - -inst_168: -// rs1_val==1717986919 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x66666667, 0x3, x2, 620, x4) - -inst_169: -// rs1_val==858993460 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x2434, 0x33333334, 0xb505, x2, 624, x4) - -inst_170: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffdbcc, 0x33333334, -0xb503, x2, 628, x4) - -inst_171: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae148, 0x33333334, 0x66666667, x2, 632, x4) - -inst_172: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0xa3d70a4, 0x33333334, 0x33333334, x2, 636, x4) - -inst_173: -// rs1_val==858993460 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x33333334, 0x6, x2, 640, x4) - -inst_174: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xeeeeeeee, 0x33333334, -0x55555555, x2, 644, x4) - -inst_175: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111111, 0x33333334, 0x55555556, x2, 648, x4) - -inst_176: -// rs1_val==858993460 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 652, x4) - -inst_177: -// rs1_val==858993460 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x2433, 0x33333334, 0xb503, x2, 656, x4) - -inst_178: -// rs1_val==858993460 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 660, x4) - -inst_179: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae147, 0x33333334, 0x66666665, x2, 664, x4) - -inst_180: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0xa3d70a3, 0x33333334, 0x33333332, x2, 668, x4) - -inst_181: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111111, 0x33333334, 0x55555554, x2, 672, x4) - -inst_182: -// rs1_val==858993460 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 676, x4) - -inst_183: -// rs1_val==858993460 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x2434, 0x33333334, 0xb504, x2, 680, x4) - -inst_184: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffdbcb, 0x33333334, -0xb504, x2, 684, x4) - -inst_185: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae147, 0x33333334, 0x66666666, x2, 688, x4) - -inst_186: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0xa3d70a3, 0x33333334, 0x33333333, x2, 692, x4) - -inst_187: -// rs1_val==858993460 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x33333334, 0x5, x2, 696, x4) - -inst_188: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xeeeeeeee, 0x33333334, -0x55555556, x2, 700, x4) - -inst_189: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111111, 0x33333334, 0x55555555, x2, 704, x4) - -inst_190: -// rs1_val==858993460 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 708, x4) - -inst_191: -// rs1_val==6 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x6, 0xb505, x2, 712, x4) - -inst_192: -// rs1_val==6 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x6, -0xb503, x2, 716, x4) - -inst_193: -// rs1_val==6 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x2, 0x6, 0x66666667, x2, 720, x4) - -inst_194: -// rs1_val==6 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 724, x4) - -inst_195: -// rs1_val==6 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x6, 0x6, x2, 728, x4) - -inst_196: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffffe, 0x6, -0x55555555, x2, 732, x4) - -inst_197: -// rs1_val==6 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x2, 0x6, 0x55555556, x2, 736, x4) - -inst_198: -// rs1_val==6 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x6, 0x4, x2, 740, x4) - -inst_199: -// rs1_val==6 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x6, 0xb503, x2, 744, x4) - -inst_200: -// rs1_val==6 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x6, 0x0, x2, 748, x4) - -inst_201: -// rs1_val==6 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x2, 0x6, 0x66666665, x2, 752, x4) - -inst_202: -// rs1_val==6 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 756, x4) - -inst_203: -// rs1_val==6 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 760, x4) - -inst_204: -// rs1_val==6 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x6, 0x2, x2, 764, x4) - -inst_205: -// rs1_val==6 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x6, 0xb504, x2, 768, x4) - -inst_206: -// rs1_val==6 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x6, -0xb504, x2, 772, x4) - -inst_207: -// rs1_val==6 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x2, 0x6, 0x66666666, x2, 776, x4) - -inst_208: -// rs1_val==6 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 780, x4) - -inst_209: -// rs1_val==6 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x6, 0x5, x2, 784, x4) - -inst_210: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffffd, 0x6, -0x55555556, x2, 788, x4) - -inst_211: -// rs1_val==6 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 792, x4) - -inst_212: -// rs1_val==6 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x6, 0x3, x2, 796, x4) - -inst_213: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, -0x55555555, 0xb505, x2, 800, x4) - -inst_214: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, -0x55555555, -0xb503, x2, 804, x4) - -inst_215: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0xdddddddd, -0x55555555, 0x66666667, x2, 808, x4) - -inst_216: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0xeeeeeeee, -0x55555555, 0x33333334, x2, 812, x4) - -inst_217: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffffe, -0x55555555, 0x6, x2, 816, x4) - -inst_218: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x1c71c71c, -0x55555555, -0x55555555, x2, 820, x4) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xe38e38e3, -0x55555555, 0x55555556, x2, 824, x4) - -inst_220: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffffe, -0x55555555, 0x4, x2, 828, x4) - -inst_221: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, -0x55555555, 0xb503, x2, 832, x4) - -inst_222: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0x55555555, 0x0, x2, 836, x4) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0xddddddde, -0x55555555, 0x66666665, x2, 840, x4) - -inst_224: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x33333332, x2, 844, x4) - -inst_225: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0xe38e38e4, -0x55555555, 0x55555554, x2, 848, x4) - -inst_226: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0x55555555, 0x2, x2, 852, x4) - -inst_227: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, -0x55555555, 0xb504, x2, 856, x4) - -inst_228: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, -0x55555555, -0xb504, x2, 860, x4) - -inst_229: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0xddddddde, -0x55555555, 0x66666666, x2, 864, x4) - -inst_230: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x33333333, x2, 868, x4) - -inst_231: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffffe, -0x55555555, 0x5, x2, 872, x4) - -inst_232: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x1c71c71c, -0x55555555, -0x55555556, x2, 876, x4) - -inst_233: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xe38e38e3, -0x55555555, 0x55555555, x2, 880, x4) - -inst_234: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0x55555555, 0x3, x2, 884, x4) - -inst_235: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c57, 0x55555556, 0xb505, x2, 888, x4) - -inst_236: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, 0x55555556, -0xb503, x2, 892, x4) - -inst_237: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222222, 0x55555556, 0x66666667, x2, 896, x4) - -inst_238: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111111, 0x55555556, 0x33333334, x2, 900, x4) - -inst_239: -// rs1_val==1431655766 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x2, 0x55555556, 0x6, x2, 904, x4) - -inst_240: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xe38e38e3, 0x55555556, -0x55555555, x2, 908, x4) - -inst_241: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x1c71c71c, 0x55555556, 0x55555556, x2, 912, x4) - -inst_242: -// rs1_val==1431655766 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x55555556, 0x4, x2, 916, x4) - -inst_243: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0x55555556, 0xb503, x2, 920, x4) - -inst_244: -// rs1_val==1431655766 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 924, x4) - -inst_245: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222221, 0x55555556, 0x66666665, x2, 928, x4) - -inst_246: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111110, 0x55555556, 0x33333332, x2, 932, x4) - -inst_247: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x1c71c71c, 0x55555556, 0x55555554, x2, 936, x4) - -inst_248: -// rs1_val==1431655766 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 940, x4) - -inst_249: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0x55555556, 0xb504, x2, 944, x4) - -inst_250: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, 0x55555556, -0xb504, x2, 948, x4) - -inst_251: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222222, 0x55555556, 0x66666666, x2, 952, x4) - -inst_252: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111111, 0x55555556, 0x33333333, x2, 956, x4) - -inst_253: -// rs1_val==1431655766 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x55555556, 0x5, x2, 960, x4) - -inst_254: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xe38e38e3, 0x55555556, -0x55555556, x2, 964, x4) - -inst_255: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x1c71c71c, 0x55555556, 0x55555555, x2, 968, x4) - -inst_256: -// rs1_val==1431655766 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x55555556, 0x3, x2, 972, x4) - -inst_257: -// rs1_val==4 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x4, 0xb505, x2, 976, x4) - -inst_258: -// rs1_val==4 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x4, -0xb503, x2, 980, x4) - -inst_259: -// rs1_val==4 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 984, x4) - -inst_260: -// rs1_val==4 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x4, 0x33333334, x2, 988, x4) - -inst_261: -// rs1_val==4 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x4, 0x6, x2, 992, x4) - -inst_262: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffffe, 0x4, -0x55555555, x2, 996, x4) - -inst_263: -// rs1_val==4 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 1000, x4) - -inst_264: -// rs1_val==4 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x4, 0x4, x2, 1004, x4) - -inst_265: -// rs1_val==4 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x4, 0xb503, x2, 1008, x4) - -inst_266: -// rs1_val==4 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x4, 0x0, x2, 1012, x4) - -inst_267: -// rs1_val==4 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 1016, x4) - -inst_268: -// rs1_val==4 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x4, 0x33333332, x2, 1020, x4) - -inst_269: -// rs1_val==4 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 1024, x4) - -inst_270: -// rs1_val==4 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x4, 0x2, x2, 1028, x4) - -inst_271: -// rs1_val==4 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x4, 0xb504, x2, 1032, x4) - -inst_272: -// rs1_val==4 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x4, -0xb504, x2, 1036, x4) - -inst_273: -// rs1_val==4 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 1040, x4) - -inst_274: -// rs1_val==4 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x4, 0x33333333, x2, 1044, x4) - -inst_275: -// rs1_val==4 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x4, 0x5, x2, 1048, x4) - -inst_276: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffffe, 0x4, -0x55555556, x2, 1052, x4) - -inst_277: -// rs1_val==4 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1056, x4) - -inst_278: -// rs1_val==4 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1060, x4) - -inst_279: -// rs1_val==46339 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb503, 0xb505, x2, 1064, x4) - -inst_280: -// rs1_val==46339 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0xb503, -0xb503, x2, 1068, x4) - -inst_281: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x4867, 0xb503, 0x66666667, x2, 1072, x4) - -inst_282: -// rs1_val==46339 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x2433, 0xb503, 0x33333334, x2, 1076, x4) - -inst_283: -// rs1_val==46339 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1080, x4) - -inst_284: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, 0xb503, -0x55555555, x2, 1084, x4) - -inst_285: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0xb503, 0x55555556, x2, 1088, x4) - -inst_286: -// rs1_val==46339 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1092, x4) - -inst_287: -// rs1_val==46339 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1096, x4) - -inst_288: -// rs1_val==46339 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1100, x4) - -inst_289: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x4867, 0xb503, 0x66666665, x2, 1104, x4) - -inst_290: -// rs1_val==46339 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x2433, 0xb503, 0x33333332, x2, 1108, x4) - -inst_291: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0xb503, 0x55555554, x2, 1112, x4) - -inst_292: -// rs1_val==46339 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1116, x4) - -inst_293: -// rs1_val==46339 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb503, 0xb504, x2, 1120, x4) - -inst_294: -// rs1_val==46339 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x2, 1124, x4) - -inst_295: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x4867, 0xb503, 0x66666666, x2, 1128, x4) - -inst_296: -// rs1_val==46339 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x2433, 0xb503, 0x33333333, x2, 1132, x4) - -inst_297: -// rs1_val==46339 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1136, x4) - -inst_298: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, 0xb503, -0x55555556, x2, 1140, x4) - -inst_299: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0xb503, 0x55555555, x2, 1144, x4) - -inst_300: -// rs1_val==46339 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1148, x4) - -inst_301: -// rs1_val==0 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0xb505, x2, 1152, x4) - -inst_302: -// rs1_val==0 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, -0xb503, x2, 1156, x4) - -inst_303: -// rs1_val==0 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x66666667, x2, 1160, x4) - -inst_304: -// rs1_val==0 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x33333334, x2, 1164, x4) - -inst_305: -// rs1_val==0 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x6, x2, 1168, x4) - -inst_306: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, -0x55555555, x2, 1172, x4) - -inst_307: -// rs1_val==0 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x55555556, x2, 1176, x4) - -inst_308: -// rs1_val==0 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x4, x2, 1180, x4) - -inst_309: -// rs1_val==0 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0xb503, x2, 1184, x4) - -inst_310: -// rs1_val==0 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1188, x4) - -inst_311: -// rs1_val==0 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x66666665, x2, 1192, x4) - -inst_312: -// rs1_val==0 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x33333332, x2, 1196, x4) - -inst_313: -// rs1_val==0 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x55555554, x2, 1200, x4) - -inst_314: -// rs1_val==0 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x2, x2, 1204, x4) - -inst_315: -// rs1_val==0 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0xb504, x2, 1208, x4) - -inst_316: -// rs1_val==0 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, -0xb504, x2, 1212, x4) - -inst_317: -// rs1_val==0 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x66666666, x2, 1216, x4) - -inst_318: -// rs1_val==0 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x33333333, x2, 1220, x4) - -inst_319: -// rs1_val==0 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x5, x2, 1224, x4) - -inst_320: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, -0x55555556, x2, 1228, x4) - -inst_321: -// rs1_val==0 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x55555555, x2, 1232, x4) - -inst_322: -// rs1_val==0 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x0, 0x3, x2, 1236, x4) - -inst_323: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x4868, 0x66666665, 0xb505, x2, 1240, x4) - -inst_324: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffb798, 0x66666665, -0xb503, x2, 1244, x4) - -inst_325: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x28f5c28f, 0x66666665, 0x66666667, x2, 1248, x4) - -inst_326: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae147, 0x66666665, 0x33333334, x2, 1252, x4) - -inst_327: -// rs1_val==1717986917 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x2, 0x66666665, 0x6, x2, 1256, x4) - -inst_328: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xddddddde, 0x66666665, -0x55555555, x2, 1260, x4) - -inst_329: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222221, 0x66666665, 0x55555556, x2, 1264, x4) - -inst_330: -// rs1_val==1717986917 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x66666665, 0x4, x2, 1268, x4) - -inst_331: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x4867, 0x66666665, 0xb503, x2, 1272, x4) - -inst_332: -// rs1_val==1717986917 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1276, x4) - -inst_333: -// rs1_val==858993459 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 1280, x4) - -inst_334: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae147, 0x33333333, 0x66666665, x2, 1284, x4) - -inst_335: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0xa3d70a3, 0x33333333, 0x33333332, x2, 1288, x4) - -inst_336: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111110, 0x33333333, 0x55555554, x2, 1292, x4) - -inst_337: -// rs1_val==858993459 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 1296, x4) - -inst_338: -// rs1_val==858993459 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x2433, 0x33333333, 0xb504, x2, 1300, x4) - -inst_339: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffdbcc, 0x33333333, -0xb504, x2, 1304, x4) - -inst_340: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae147, 0x33333333, 0x66666666, x2, 1308, x4) - -inst_341: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0xa3d70a3, 0x33333333, 0x33333333, x2, 1312, x4) - -inst_342: -// rs1_val==858993459 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 1316, x4) - -inst_343: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xeeeeeeee, 0x33333333, -0x55555556, x2, 1320, x4) - -inst_344: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111110, 0x33333333, 0x55555555, x2, 1324, x4) - -inst_345: -// rs1_val==858993459 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 1328, x4) - -inst_346: -// rs1_val==5 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x5, 0xb505, x2, 1332, x4) - -inst_347: -// rs1_val==5 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x5, -0xb503, x2, 1336, x4) - -inst_348: -// rs1_val==5 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x2, 0x5, 0x66666667, x2, 1340, x4) - -inst_349: -// rs1_val==5 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 1344, x4) - -inst_350: -// rs1_val==5 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x5, 0x6, x2, 1348, x4) - -inst_351: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffffe, 0x5, -0x55555555, x2, 1352, x4) - -inst_352: -// rs1_val==5 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 1356, x4) - -inst_353: -// rs1_val==5 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x5, 0x4, x2, 1360, x4) - -inst_354: -// rs1_val==5 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x5, 0xb503, x2, 1364, x4) - -inst_355: -// rs1_val==5 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x5, 0x0, x2, 1368, x4) - -inst_356: -// rs1_val==5 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 1372, x4) - -inst_357: -// rs1_val==5 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x5, 0x33333332, x2, 1376, x4) - -inst_358: -// rs1_val==5 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 1380, x4) - -inst_359: -// rs1_val==5 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x5, 0x2, x2, 1384, x4) - -inst_360: -// rs1_val==5 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x5, 0xb504, x2, 1388, x4) - -inst_361: -// rs1_val==5 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x5, -0xb504, x2, 1392, x4) - -inst_362: -// rs1_val==5 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 1396, x4) - -inst_363: -// rs1_val==5 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x5, 0x33333333, x2, 1400, x4) - -inst_364: -// rs1_val==5 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x5, 0x5, x2, 1404, x4) - -inst_365: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffffe, 0x5, -0x55555556, x2, 1408, x4) - -inst_366: -// rs1_val==5 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 1412, x4) - -inst_367: -// rs1_val==5 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x5, 0x3, x2, 1416, x4) - -inst_368: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a8, -0x55555556, 0xb505, x2, 1420, x4) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, -0x55555556, -0xb503, x2, 1424, x4) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0xdddddddd, -0x55555556, 0x66666667, x2, 1428, x4) - -inst_371: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0xeeeeeeee, -0x55555556, 0x33333334, x2, 1432, x4) - -inst_372: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffffd, -0x55555556, 0x6, x2, 1436, x4) - -inst_373: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x1c71c71c, -0x55555556, -0x55555555, x2, 1440, x4) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xe38e38e3, -0x55555556, 0x55555556, x2, 1444, x4) - -inst_375: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffffe, -0x55555556, 0x4, x2, 1448, x4) - -inst_376: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, -0x55555556, 0xb503, x2, 1452, x4) - -inst_377: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0x55555556, 0x0, x2, 1456, x4) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0xddddddde, -0x55555556, 0x66666665, x2, 1460, x4) - -inst_379: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x33333332, x2, 1464, x4) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0xe38e38e3, -0x55555556, 0x55555554, x2, 1468, x4) - -inst_381: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0x55555556, 0x2, x2, 1472, x4) - -inst_382: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, -0x55555556, 0xb504, x2, 1476, x4) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, -0x55555556, -0xb504, x2, 1480, x4) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0xdddddddd, -0x55555556, 0x66666666, x2, 1484, x4) - -inst_385: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0xeeeeeeee, -0x55555556, 0x33333333, x2, 1488, x4) - -inst_386: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffffe, -0x55555556, 0x5, x2, 1492, x4) - -inst_387: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x1c71c71c, -0x55555556, -0x55555556, x2, 1496, x4) - -inst_388: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xe38e38e3, -0x55555556, 0x55555555, x2, 1500, x4) - -inst_389: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffffe, -0x55555556, 0x3, x2, 1504, x4) - -inst_390: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0x55555555, 0xb505, x2, 1508, x4) - -inst_391: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, 0x55555555, -0xb503, x2, 1512, x4) - -inst_392: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222222, 0x55555555, 0x66666667, x2, 1516, x4) - -inst_393: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111111, 0x55555555, 0x33333334, x2, 1520, x4) - -inst_394: -// rs1_val==1431655765 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x55555555, 0x6, x2, 1524, x4) - -inst_395: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xe38e38e3, 0x55555555, -0x55555555, x2, 1528, x4) - -inst_396: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x1c71c71c, 0x55555555, 0x55555556, x2, 1532, x4) - -inst_397: -// rs1_val==1431655765 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x55555555, 0x4, x2, 1536, x4) - -inst_398: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0x55555555, 0xb503, x2, 1540, x4) - -inst_399: -// rs1_val==1431655765 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 1544, x4) - -inst_400: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222221, 0x55555555, 0x66666665, x2, 1548, x4) - -inst_401: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111110, 0x55555555, 0x33333332, x2, 1552, x4) - -inst_402: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x1c71c71b, 0x55555555, 0x55555554, x2, 1556, x4) - -inst_403: -// rs1_val==1431655765 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 1560, x4) - -inst_404: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0x55555555, 0xb504, x2, 1564, x4) - -inst_405: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, 0x55555555, -0xb504, x2, 1568, x4) - -inst_406: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222221, 0x55555555, 0x66666666, x2, 1572, x4) - -inst_407: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111110, 0x55555555, 0x33333333, x2, 1576, x4) - -inst_408: -// rs1_val==1431655765 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x55555555, 0x5, x2, 1580, x4) - -inst_409: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xe38e38e3, 0x55555555, -0x55555556, x2, 1584, x4) - -inst_410: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x1c71c71c, 0x55555555, 0x55555555, x2, 1588, x4) - -inst_411: -// rs1_val==1431655765 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 1592, x4) - -inst_412: -// rs1_val==3 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3, 0xb505, x2, 1596, x4) - -inst_413: -// rs1_val==3 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x3, -0xb503, x2, 1600, x4) - -inst_414: -// rs1_val==3 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 1604, x4) - -inst_415: -// rs1_val==3 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3, 0x33333334, x2, 1608, x4) - -inst_416: -// rs1_val==3 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3, 0x6, x2, 1612, x4) - -inst_417: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x3, -0x55555555, x2, 1616, x4) - -inst_418: -// rs1_val==3 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 1620, x4) - -inst_419: -// rs1_val==3 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3, 0x4, x2, 1624, x4) - -inst_420: -// rs1_val==3 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3, 0xb503, x2, 1628, x4) - -inst_421: -// rs1_val==3 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3, 0x0, x2, 1632, x4) - -inst_422: -// rs1_val==3 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 1636, x4) - -inst_423: -// rs1_val==3 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3, 0x33333332, x2, 1640, x4) - -inst_424: -// rs1_val==3 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3, 0x55555554, x2, 1644, x4) - -inst_425: -// rs1_val==3 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3, 0x2, x2, 1648, x4) - -inst_426: -// rs1_val==3 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3, 0xb504, x2, 1652, x4) - -inst_427: -// rs1_val==3 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x3, -0xb504, x2, 1656, x4) - -inst_428: -// rs1_val==3 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 1660, x4) - -inst_429: -// rs1_val==3 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3, 0x33333333, x2, 1664, x4) - -inst_430: -// rs1_val==3 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3, 0x5, x2, 1668, x4) - -inst_431: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xfffffffe, 0x3, -0x55555556, x2, 1672, x4) - -inst_432: -// rs1_val==3 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3, 0x55555555, x2, 1676, x4) - -inst_433: -// rs1_val==3 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x3, 0x3, x2, 1680, x4) - -inst_434: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x28f5c28e, 0x66666665, 0x66666665, x2, 1684, x4) - -inst_435: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae146, 0x66666665, 0x33333332, x2, 1688, x4) - -inst_436: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222221, 0x66666665, 0x55555554, x2, 1692, x4) - -inst_437: -// rs1_val==1717986917 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1696, x4) - -inst_438: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x4867, 0x66666665, 0xb504, x2, 1700, x4) - -inst_439: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffb798, 0x66666665, -0xb504, x2, 1704, x4) - -inst_440: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x28f5c28e, 0x66666665, 0x66666666, x2, 1708, x4) - -inst_441: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae147, 0x66666665, 0x33333333, x2, 1712, x4) - -inst_442: -// rs1_val==1717986917 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x66666665, 0x5, x2, 1716, x4) - -inst_443: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xddddddde, 0x66666665, -0x55555556, x2, 1720, x4) - -inst_444: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222221, 0x66666665, 0x55555555, x2, 1724, x4) - -inst_445: -// rs1_val==1717986917 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x66666665, 0x3, x2, 1728, x4) - -inst_446: -// rs1_val==858993458 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x2434, 0x33333332, 0xb505, x2, 1732, x4) - -inst_447: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffdbcc, 0x33333332, -0xb503, x2, 1736, x4) - -inst_448: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae147, 0x33333332, 0x66666667, x2, 1740, x4) - -inst_449: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0xa3d70a3, 0x33333332, 0x33333334, x2, 1744, x4) - -inst_450: -// rs1_val==858993458 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x33333332, 0x6, x2, 1748, x4) - -inst_451: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xeeeeeeef, 0x33333332, -0x55555555, x2, 1752, x4) - -inst_452: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111110, 0x33333332, 0x55555556, x2, 1756, x4) - -inst_453: -// rs1_val==858993458 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1760, x4) - -inst_454: -// rs1_val==858993458 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x2433, 0x33333332, 0xb503, x2, 1764, x4) - -inst_455: -// rs1_val==858993458 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1768, x4) - -inst_456: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae146, 0x33333332, 0x66666665, x2, 1772, x4) - -inst_457: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0xa3d70a3, 0x33333332, 0x33333332, x2, 1776, x4) - -inst_458: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111110, 0x33333332, 0x55555554, x2, 1780, x4) - -inst_459: -// rs1_val==858993458 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1784, x4) - -inst_460: -// rs1_val==858993458 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x2433, 0x33333332, 0xb504, x2, 1788, x4) - -inst_461: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffdbcc, 0x33333332, -0xb504, x2, 1792, x4) - -inst_462: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae147, 0x33333332, 0x66666666, x2, 1796, x4) - -inst_463: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0xa3d70a3, 0x33333332, 0x33333333, x2, 1800, x4) - -inst_464: -// rs1_val==858993458 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1804, x4) - -inst_465: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xeeeeeeef, 0x33333332, -0x55555556, x2, 1808, x4) - -inst_466: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111110, 0x33333332, 0x55555555, x2, 1812, x4) - -inst_467: -// rs1_val==858993458 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1816, x4) - -inst_468: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0x55555554, 0xb505, x2, 1820, x4) - -inst_469: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, 0x55555554, -0xb503, x2, 1824, x4) - -inst_470: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222221, 0x55555554, 0x66666667, x2, 1828, x4) - -inst_471: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111111, 0x55555554, 0x33333334, x2, 1832, x4) - -inst_472: -// rs1_val==1431655764 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x55555554, 0x6, x2, 1836, x4) - -inst_473: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xe38e38e4, 0x55555554, -0x55555555, x2, 1840, x4) - -inst_474: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x1c71c71c, 0x55555554, 0x55555556, x2, 1844, x4) - -inst_475: -// rs1_val==1431655764 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x55555554, 0x4, x2, 1848, x4) - -inst_476: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0x55555554, 0xb503, x2, 1852, x4) - -inst_477: -// rs1_val==1431655764 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1856, x4) - -inst_478: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222221, 0x55555554, 0x66666665, x2, 1860, x4) - -inst_479: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111110, 0x55555554, 0x33333332, x2, 1864, x4) - -inst_480: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x1c71c71b, 0x55555554, 0x55555554, x2, 1868, x4) - -inst_481: -// rs1_val==1431655764 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1872, x4) - -inst_482: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0x55555554, 0xb504, x2, 1876, x4) - -inst_483: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, 0x55555554, -0xb504, x2, 1880, x4) - -inst_484: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222221, 0x55555554, 0x66666666, x2, 1884, x4) - -inst_485: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111110, 0x55555554, 0x33333333, x2, 1888, x4) - -inst_486: -// rs1_val==1431655764 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x55555554, 0x5, x2, 1892, x4) - -inst_487: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xe38e38e3, 0x55555554, -0x55555556, x2, 1896, x4) - -inst_488: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x1c71c71b, 0x55555554, 0x55555555, x2, 1900, x4) - -inst_489: -// rs1_val==1431655764 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1904, x4) - -inst_490: -// rs1_val==2 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0xb505, x2, 1908, x4) - -inst_491: -// rs1_val==2 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x2, -0xb503, x2, 1912, x4) - -inst_492: -// rs1_val==2 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x66666667, x2, 1916, x4) - -inst_493: -// rs1_val==2 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x33333334, x2, 1920, x4) - -inst_494: -// rs1_val==2 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x6, x2, 1924, x4) - -inst_495: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x2, -0x55555555, x2, 1928, x4) - -inst_496: -// rs1_val==2 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x55555556, x2, 1932, x4) - -inst_497: -// rs1_val==2 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x4, x2, 1936, x4) - -inst_498: -// rs1_val==2 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0xb503, x2, 1940, x4) - -inst_499: -// rs1_val==2 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1944, x4) - -inst_500: -// rs1_val==2 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x66666665, x2, 1948, x4) - -inst_501: -// rs1_val==2 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x33333332, x2, 1952, x4) - -inst_502: -// rs1_val==2 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x55555554, x2, 1956, x4) - -inst_503: -// rs1_val==2 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x2, x2, 1960, x4) - -inst_504: -// rs1_val==2 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0xb504, x2, 1964, x4) - -inst_505: -// rs1_val==2 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x2, -0xb504, x2, 1968, x4) - -inst_506: -// rs1_val==2 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x66666666, x2, 1972, x4) - -inst_507: -// rs1_val==2 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x33333333, x2, 1976, x4) - -inst_508: -// rs1_val==2 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x5, x2, 1980, x4) - -inst_509: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x2, -0x55555556, x2, 1984, x4) - -inst_510: -// rs1_val==2 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x55555555, x2, 1988, x4) - -inst_511: -// rs1_val==2 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x2, 0x3, x2, 1992, x4) - -inst_512: -// rs1_val==46340 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb504, 0xb505, x2, 1996, x4) - -inst_513: -// rs1_val==46340 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0xb504, -0xb503, x2, 2000, x4) - -inst_514: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x4868, 0xb504, 0x66666667, x2, 2004, x4) - -inst_515: -// rs1_val==46340 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x2434, 0xb504, 0x33333334, x2, 2008, x4) - -inst_516: -// rs1_val==46340 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 2012, x4) - -inst_517: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, 0xb504, -0x55555555, x2, 2016, x4) - -inst_518: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0xb504, 0x55555556, x2, 2020, x4) - -inst_519: -// rs1_val==46340 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 2024, x4) - -inst_520: -// rs1_val==46340 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 2028, x4) - -inst_521: -// rs1_val==46340 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 2032, x4) - -inst_522: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x4867, 0xb504, 0x66666665, x2, 2036, x4) - -inst_523: -// rs1_val==46340 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x2433, 0xb504, 0x33333332, x2, 2040, x4) - -inst_524: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0xb504, 0x55555554, x2, 2044, x4) -RVTEST_SIGBASE( x2,signature_x2_3) - -inst_525: -// rs1_val==46340 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 0, x4) - -inst_526: -// rs1_val==46340 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 4, x4) - -inst_527: -// rs1_val==46340 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0xb504, -0xb504, x2, 8, x4) - -inst_528: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x4867, 0xb504, 0x66666666, x2, 12, x4) - -inst_529: -// rs1_val==46340 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x2433, 0xb504, 0x33333333, x2, 16, x4) - -inst_530: -// rs1_val==46340 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 20, x4) - -inst_531: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, 0xb504, -0x55555556, x2, 24, x4) - -inst_532: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, 0xb504, 0x55555555, x2, 28, x4) - -inst_533: -// rs1_val==46340 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 32, x4) - -inst_534: -// rs1_val==-46340 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb504, 0xb505, x2, 36, x4) - -inst_535: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0xb504, -0xb503, x2, 40, x4) - -inst_536: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffb797, -0xb504, 0x66666667, x2, 44, x4) - -inst_537: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffdbcb, -0xb504, 0x33333334, x2, 48, x4) - -inst_538: -// rs1_val==-46340 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb504, 0x6, x2, 52, x4) - -inst_539: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, -0xb504, -0x55555555, x2, 56, x4) - -inst_540: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, -0xb504, 0x55555556, x2, 60, x4) - -inst_541: -// rs1_val==-46340 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb504, 0x4, x2, 64, x4) - -inst_542: -// rs1_val==-46340 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x2, 68, x4) - -inst_543: -// rs1_val==-46340 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0xb504, 0x0, x2, 72, x4) - -inst_544: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffb798, -0xb504, 0x66666665, x2, 76, x4) - -inst_545: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffdbcc, -0xb504, 0x33333332, x2, 80, x4) - -inst_546: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, -0xb504, 0x55555554, x2, 84, x4) - -inst_547: -// rs1_val==-46340 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb504, 0x2, x2, 88, x4) - -inst_548: -// rs1_val==-46340 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb504, 0xb504, x2, 92, x4) - -inst_549: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, -0xb504, -0xb504, x2, 96, x4) - -inst_550: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffb798, -0xb504, 0x66666666, x2, 100, x4) - -inst_551: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffdbcc, -0xb504, 0x33333333, x2, 104, x4) - -inst_552: -// rs1_val==-46340 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb504, 0x5, x2, 108, x4) - -inst_553: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x3c56, -0xb504, -0x55555556, x2, 112, x4) - -inst_554: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffc3a9, -0xb504, 0x55555555, x2, 116, x4) - -inst_555: -// rs1_val==-46340 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, -0xb504, 0x3, x2, 120, x4) - -inst_556: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x4868, 0x66666666, 0xb505, x2, 124, x4) - -inst_557: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffb798, 0x66666666, -0xb503, x2, 128, x4) - -inst_558: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x28f5c28f, 0x66666666, 0x66666667, x2, 132, x4) - -inst_559: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae147, 0x66666666, 0x33333334, x2, 136, x4) - -inst_560: -// rs1_val==1717986918 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x2, 0x66666666, 0x6, x2, 140, x4) - -inst_561: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xddddddde, 0x66666666, -0x55555555, x2, 144, x4) - -inst_562: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222222, 0x66666666, 0x55555556, x2, 148, x4) - -inst_563: -// rs1_val==1717986918 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x66666666, 0x4, x2, 152, x4) - -inst_564: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x4867, 0x66666666, 0xb503, x2, 156, x4) - -inst_565: -// rs1_val==1717986918 and rs2_val==0, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 160, x4) - -inst_566: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(mulh, x12, x10, x11, 0x28f5c28e, 0x66666666, 0x66666665, x2, 164, x4) - -inst_567: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae147, 0x66666666, 0x33333332, x2, 168, x4) - -inst_568: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222221, 0x66666666, 0x55555554, x2, 172, x4) - -inst_569: -// rs1_val==1717986918 and rs2_val==2, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 176, x4) - -inst_570: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0x4867, 0x66666666, 0xb504, x2, 180, x4) - -inst_571: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffb798, 0x66666666, -0xb504, x2, 184, x4) - -inst_572: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(mulh, x12, x10, x11, 0x28f5c28f, 0x66666666, 0x66666666, x2, 188, x4) - -inst_573: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae147, 0x66666666, 0x33333333, x2, 192, x4) - -inst_574: -// rs1_val==1717986918 and rs2_val==5, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x66666666, 0x5, x2, 196, x4) - -inst_575: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0xdddddddd, 0x66666666, -0x55555556, x2, 200, x4) - -inst_576: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0x22222221, 0x66666666, 0x55555555, x2, 204, x4) - -inst_577: -// rs1_val==1717986918 and rs2_val==3, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x66666666, 0x3, x2, 208, x4) - -inst_578: -// rs1_val==858993459 and rs2_val==46341, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(mulh, x12, x10, x11, 0x2434, 0x33333333, 0xb505, x2, 212, x4) - -inst_579: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffdbcc, 0x33333333, -0xb503, x2, 216, x4) - -inst_580: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(mulh, x12, x10, x11, 0x147ae147, 0x33333333, 0x66666667, x2, 220, x4) - -inst_581: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(mulh, x12, x10, x11, 0xa3d70a3, 0x33333333, 0x33333334, x2, 224, x4) - -inst_582: -// rs1_val==858993459 and rs2_val==6, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(mulh, x12, x10, x11, 0x1, 0x33333333, 0x6, x2, 228, x4) - -inst_583: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(mulh, x12, x10, x11, 0xeeeeeeef, 0x33333333, -0x55555555, x2, 232, x4) - -inst_584: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(mulh, x12, x10, x11, 0x11111111, 0x33333333, 0x55555556, x2, 236, x4) - -inst_585: -// rs1_val==858993459 and rs2_val==4, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(mulh, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 240, x4) - -inst_586: -// rs1_val==858993459 and rs2_val==46339, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(mulh, x12, x10, x11, 0x2433, 0x33333333, 0xb503, x2, 244, x4) - -inst_587: -// rs2_val == -536870913, rs1_val < 0 and rs2_val < 0, rs1_val == -4194305 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x20000001 -TEST_RR_OP(mulh, x12, x10, x11, 0x80000, -0x400001, -0x20000001, x2, 248, x4) - -inst_588: -// rs2_val == -33554433, rs1_val == -4097 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x2000001 -TEST_RR_OP(mulh, x12, x10, x11, 0x20, -0x1001, -0x2000001, x2, 252, x4) - -inst_589: -// rs2_val == -8388609, rs1_val == 256 -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x800001 -TEST_RR_OP(mulh, x12, x10, x11, 0xffffffff, 0x100, -0x800001, x2, 256, x4) - -inst_590: -// rs2_val == -65537, -// opcode: mulh ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x10001 -TEST_RR_OP(mulh, x12, x10, x11, 0xffff9999, 0x66666667, -0x10001, x2, 260, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x2_2: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_3: - .fill 66*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/mulhsu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/mulhsu-01.S deleted file mode 100644 index e4b07c6e2..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/mulhsu-01.S +++ /dev/null @@ -1,3355 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 07:22:49 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32em.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the mulhsu instruction of the RISC-V M extension for the mulhsu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EM") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*M.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",mulhsu) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs2 == rd != rs1, rs1==x11, rs2==x15, rd==x15, rs1_val != rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val > 0 and rs2_val > 0, rs2_val == 131072 -// opcode: mulhsu ; op1:x11; op2:x15; dest:x15; op1val:0x55555556; op2val:0x20000 -TEST_RR_OP(mulhsu, x15, x11, x15, 0xaaaa, 0x55555556, 0x20000, x4, 0, x7) - -inst_1: -// rs1 == rd != rs2, rs1==x6, rs2==x9, rd==x6, rs2_val == 2147483647, rs1_val == -1431655766 -// opcode: mulhsu ; op1:x6; op2:x9; dest:x6; op1val:-0x55555556; op2val:0x7fffffff -TEST_RR_OP(mulhsu, x6, x6, x9, 0xd5555555, -0x55555556, 0x7fffffff, x4, 4, x7) - -inst_2: -// rs1 == rs2 == rd, rs1==x8, rs2==x8, rd==x8, rs2_val == 3221225471, rs1_val == 1048576 -// opcode: mulhsu ; op1:x8; op2:x8; dest:x8; op1val:0x100000; op2val:0x100000 -TEST_RR_OP(mulhsu, x8, x8, x8, 0x100, 0x100000, 0x100000, x4, 8, x7) - -inst_3: -// rs1 == rs2 != rd, rs1==x0, rs2==x0, rd==x11, rs2_val == 3758096383, -// opcode: mulhsu ; op1:x0; op2:x0; dest:x11; op1val:0x0; op2val:0x0 -TEST_RR_OP(mulhsu, x11, x0, x0, 0x0, 0x0, 0x0, x4, 12, x7) - -inst_4: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x9, rs2==x12, rd==x13, rs2_val == 4026531839, rs1_val == -17 -// opcode: mulhsu ; op1:x9; op2:x12; dest:x13; op1val:-0x11; op2val:0xefffffff -TEST_RR_OP(mulhsu, x13, x9, x12, 0xfffffff0, -0x11, 0xefffffff, x4, 16, x7) - -inst_5: -// rs1==x2, rs2==x14, rd==x0, rs2_val == 4160749567, -// opcode: mulhsu ; op1:x2; op2:x14; dest:x0; op1val:0x5; op2val:0xf7ffffff -TEST_RR_OP(mulhsu, x0, x2, x14, 0, 0x5, 0xf7ffffff, x4, 20, x7) - -inst_6: -// rs1==x3, rs2==x11, rd==x12, rs2_val == 4227858431, rs1_val == -1025 -// opcode: mulhsu ; op1:x3; op2:x11; dest:x12; op1val:-0x401; op2val:0xfbffffff -TEST_RR_OP(mulhsu, x12, x3, x11, 0xfffffc0f, -0x401, 0xfbffffff, x4, 24, x7) - -inst_7: -// rs1==x5, rs2==x13, rd==x1, rs2_val == 4261412863, rs1_val == 2 -// opcode: mulhsu ; op1:x5; op2:x13; dest:x1; op1val:0x2; op2val:0xfdffffff -TEST_RR_OP(mulhsu, x1, x5, x13, 0x1, 0x2, 0xfdffffff, x4, 28, x7) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x15, rs2==x7, rd==x4, rs2_val == 4278190079, rs1_val == -2 -// opcode: mulhsu ; op1:x15; op2:x7; dest:x4; op1val:-0x2; op2val:0xfeffffff -TEST_RR_OP(mulhsu, x4, x15, x7, 0xfffffffe, -0x2, 0xfeffffff, x3, 0, x8) - -inst_9: -// rs1==x7, rs2==x10, rd==x9, rs2_val == 4286578687, rs1_val == 524288 -// opcode: mulhsu ; op1:x7; op2:x10; dest:x9; op1val:0x80000; op2val:0xff7fffff -TEST_RR_OP(mulhsu, x9, x7, x10, 0x7fbff, 0x80000, 0xff7fffff, x3, 4, x8) - -inst_10: -// rs1==x14, rs2==x2, rd==x5, rs2_val == 4290772991, rs1_val == 512 -// opcode: mulhsu ; op1:x14; op2:x2; dest:x5; op1val:0x200; op2val:0xffbfffff -TEST_RR_OP(mulhsu, x5, x14, x2, 0x1ff, 0x200, 0xffbfffff, x3, 8, x8) - -inst_11: -// rs1==x1, rs2==x4, rd==x10, rs2_val == 4292870143, rs1_val == 1431655765 -// opcode: mulhsu ; op1:x1; op2:x4; dest:x10; op1val:0x55555555; op2val:0xffdfffff -TEST_RR_OP(mulhsu, x10, x1, x4, 0x554aaaaa, 0x55555555, 0xffdfffff, x3, 12, x8) - -inst_12: -// rs1==x12, rs2==x6, rd==x2, rs2_val == 4293918719, -// opcode: mulhsu ; op1:x12; op2:x6; dest:x2; op1val:0x55555556; op2val:0xffefffff -TEST_RR_OP(mulhsu, x2, x12, x6, 0x55500000, 0x55555556, 0xffefffff, x3, 16, x8) - -inst_13: -// rs1==x13, rs2==x1, rd==x14, rs2_val == 4294443007, -// opcode: mulhsu ; op1:x13; op2:x1; dest:x14; op1val:-0x401; op2val:0xfff7ffff -TEST_RR_OP(mulhsu, x14, x13, x1, 0xfffffbff, -0x401, 0xfff7ffff, x3, 20, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_14: -// rs1==x4, rs2==x5, rd==x3, rs2_val == 4294705151, rs1_val == -1073741825 -// opcode: mulhsu ; op1:x4; op2:x5; dest:x3; op1val:-0x40000001; op2val:0xfffbffff -TEST_RR_OP(mulhsu, x3, x4, x5, 0xc000ffff, -0x40000001, 0xfffbffff, x1, 0, x2) - -inst_15: -// rs1==x10, rs2==x3, rd==x7, rs2_val == 4294836223, rs1_val == 0 -// opcode: mulhsu ; op1:x10; op2:x3; dest:x7; op1val:0x0; op2val:0xfffdffff -TEST_RR_OP(mulhsu, x7, x10, x3, 0x0, 0x0, 0xfffdffff, x1, 4, x2) - -inst_16: -// rs2_val == 4294901759, rs1_val == -5 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xfffeffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffb, -0x5, 0xfffeffff, x1, 8, x2) - -inst_17: -// rs2_val == 4294934527, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xffff7fff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xb503, 0xb504, 0xffff7fff, x1, 12, x2) - -inst_18: -// rs2_val == 4294950911, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffffbfff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x3, 0xffffbfff, x1, 16, x2) - -inst_19: -// rs2_val == 4294959103, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0xffffdfff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffff9, -0x7, 0xffffdfff, x1, 20, x2) - -inst_20: -// rs2_val == 4294963199, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xffffefff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xb504, 0xb505, 0xffffefff, x1, 24, x2) - -inst_21: -// rs2_val == 4294965247, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xfffff7ff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xaaaaad55, -0x55555556, 0xfffff7ff, x1, 28, x2) - -inst_22: -// rs2_val == 4294966271, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0xfffffbff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffe, -0x2, 0xfffffbff, x1, 32, x2) - -inst_23: -// rs2_val == 4294966783, rs1_val == -65537 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0xfffffdff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffeffff, -0x10001, 0xfffffdff, x1, 36, x2) - -inst_24: -// rs2_val == 4294967039, rs1_val == 67108864 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xfffffeff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3fffffb, 0x4000000, 0xfffffeff, x1, 40, x2) - -inst_25: -// rs2_val == 4294967167, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffffff7f -TEST_RR_OP(mulhsu, x12, x10, x11, 0x66666632, 0x66666666, 0xffffff7f, x1, 44, x2) - -inst_26: -// rs2_val == 4294967231, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffffffbf -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x3, 0xffffffbf, x1, 48, x2) - -inst_27: -// rs2_val == 4294967263, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffffffdf -TEST_RR_OP(mulhsu, x12, x10, x11, 0x66666658, 0x66666666, 0xffffffdf, x1, 52, x2) - -inst_28: -// rs2_val == 4294967279, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xffffffef -TEST_RR_OP(mulhsu, x12, x10, x11, 0x5, 0x6, 0xffffffef, x1, 56, x2) - -inst_29: -// rs2_val == 4294967287, rs1_val == -268435457 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0xfffffff7 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xefffffff, -0x10000001, 0xfffffff7, x1, 60, x2) - -inst_30: -// rs2_val == 4294967291, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xfffffffb -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffff4afd, -0xb503, 0xfffffffb, x1, 64, x2) - -inst_31: -// rs2_val == 4294967293, rs1_val == 4 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffffffd -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3, 0x4, 0xfffffffd, x1, 68, x2) - -inst_32: -// rs2_val == 4294967294, rs1_val == -134217729 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0xfffffffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0xf7ffffff, -0x8000001, 0xfffffffe, x1, 72, x2) - -inst_33: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0xffefffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x7ff7fffe, 0x7fffffff, 0xffefffff, x1, 76, x2) - -inst_34: -// rs1_val == -536870913, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0xa -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffe, -0x20000001, 0xa, x1, 80, x2) - -inst_35: -// rs1_val == -67108865, rs2_val == 8 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x8 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0x4000001, 0x8, x1, 84, x2) - -inst_36: -// rs1_val == -33554433, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x7 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0x2000001, 0x7, x1, 88, x2) - -inst_37: -// rs1_val == -16777217, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0xfffffdff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xff000001, -0x1000001, 0xfffffdff, x1, 92, x2) - -inst_38: -// rs1_val == -8388609, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:0xfffff7ff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xff800003, -0x800001, 0xfffff7ff, x1, 96, x2) - -inst_39: -// rs1_val == -4194305, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffc0, -0x400001, 0xfffe, x1, 100, x2) - -inst_40: -// rs1_val == -2097153, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xefffffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffe1ffff, -0x200001, 0xefffffff, x1, 104, x2) - -inst_41: -// rs1_val == -1048577, rs2_val == 4096 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x1000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffe, -0x100001, 0x1000, x1, 108, x2) - -inst_42: -// rs1_val == -524289, rs2_val == 2097152 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x200000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffeff, -0x80001, 0x200000, x1, 112, x2) - -inst_43: -// rs1_val == -262145, rs2_val == 33554432 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x2000000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffff7ff, -0x40001, 0x2000000, x1, 116, x2) - -inst_44: -// rs1_val == -131073, rs2_val == 8192 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x2000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0x20001, 0x2000, x1, 120, x2) - -inst_45: -// rs1_val == -32769, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0x8001, 0x1000, x1, 124, x2) - -inst_46: -// rs1_val == -16385, rs2_val == 256 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x100 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0x4001, 0x100, x1, 128, x2) - -inst_47: -// rs1_val == -8193, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xffffdfff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffdfff, -0x2001, 0xffffdfff, x1, 132, x2) - -inst_48: -// rs1_val == -4097, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0x1001, 0xb504, x1, 136, x2) - -inst_49: -// rs1_val == -2049, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0xfffffffb -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffff7ff, -0x801, 0xfffffffb, x1, 140, x2) - -inst_50: -// rs1_val == -513, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0xfffffbff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffdff, -0x201, 0xfffffbff, x1, 144, x2) - -inst_51: -// rs1_val == -257, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0xffffff7f -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffeff, -0x101, 0xffffff7f, x1, 148, x2) - -inst_52: -// rs1_val == -129, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0xf -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0x81, 0xf, x1, 152, x2) - -inst_53: -// rs1_val == -65, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0xffffffdf -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffbf, -0x41, 0xffffffdf, x1, 156, x2) - -inst_54: -// rs1_val == -33, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0xfbffffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffdf, -0x21, 0xfbffffff, x1, 160, x2) - -inst_55: -// rs1_val == -9, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffd, -0x9, 0x55555554, x1, 164, x2) - -inst_56: -// rs1_val == -3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0xfff7ffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffd, -0x3, 0xfff7ffff, x1, 168, x2) - -inst_57: -// rs2_val == 2147483648, rs1_val == 128 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x80000000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x40, 0x80, 0x80000000, x1, 172, x2) - -inst_58: -// rs2_val == 1073741824, rs1_val == 4096 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x40000000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x400, 0x1000, 0x40000000, x1, 176, x2) - -inst_59: -// rs2_val == 536870912, rs1_val == 1073741824 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x20000000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x8000000, 0x40000000, 0x20000000, x1, 180, x2) - -inst_60: -// rs2_val == 268435456, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x10000000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffff7fff, -0x80001, 0x10000000, x1, 184, x2) - -inst_61: -// rs2_val == 134217728, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x8000000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffbf, -0x801, 0x8000000, x1, 188, x2) - -inst_62: -// rs2_val == 67108864, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4000000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1555555, 0x55555556, 0x4000000, x1, 192, x2) - -inst_63: -// rs2_val == 16777216, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1000000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x1000000, x1, 196, x2) - -inst_64: -// rs2_val == 8388608, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x800000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffe, -0x201, 0x800000, x1, 200, x2) - -inst_65: -// rs2_val == 4194304, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x400000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xccccc, 0x33333334, 0x400000, x1, 204, x2) - -inst_66: -// rs2_val == 1048576, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x100000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x200, 0x100000, x1, 208, x2) - -inst_67: -// rs2_val == 524288, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x80000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2aaaa, 0x55555555, 0x80000, x1, 212, x2) - -inst_68: -// rs2_val == 262144, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x40000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x15555, 0x55555554, 0x40000, x1, 216, x2) - -inst_69: -// rs2_val == 65536, rs1_val == 134217728 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x800, 0x8000000, 0x10000, x1, 220, x2) - -inst_70: -// rs2_val == 32768, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x8000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffdff, -0x4000001, 0x8000, x1, 224, x2) - -inst_71: -// rs2_val == 16384, rs1_val == 1024 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x4000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x400, 0x4000, x1, 228, x2) - -inst_72: -// rs2_val == 2048, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x800 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x800, x1, 232, x2) - -inst_73: -// rs2_val == 1024, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x400 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x400, x1, 236, x2) - -inst_74: -// rs2_val == 512, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x200 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0x11, 0x200, x1, 240, x2) - -inst_75: -// rs2_val == 128, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x80 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0x801, 0x80, x1, 244, x2) - -inst_76: -// rs2_val == 64, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x40 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x19, 0x66666665, 0x40, x1, 248, x2) - -inst_77: -// rs2_val == 32, rs1_val == 64 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x20 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x40, 0x20, x1, 252, x2) - -inst_78: -// rs2_val == 16, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x10 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffd, -0x20000001, 0x10, x1, 256, x2) - -inst_79: -// rs2_val == 4, rs1_val==858993458 and rs2_val==4 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333332, 0x4, x1, 260, x2) - -inst_80: -// rs2_val == 2, rs1_val==0 and rs2_val==2 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x2, x1, 264, x2) - -inst_81: -// rs2_val == 1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x7fffffff, 0x1, x1, 268, x2) - -inst_82: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x100 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffff80, -0x80000000, 0x100, x1, 272, x2) - -inst_83: -// rs1_val == 536870912, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x20000000, 0x9, x1, 276, x2) - -inst_84: -// rs1_val == 268435456, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x400000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x40000, 0x10000000, 0x400000, x1, 280, x2) - -inst_85: -// rs1_val == 33554432, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2000000, 0x6, x1, 284, x2) - -inst_86: -// rs1_val == 16777216, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x100 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x1000000, 0x100, x1, 288, x2) - -inst_87: -// rs1_val == 8388608, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2aaaaa, 0x800000, 0x55555556, x1, 292, x2) - -inst_88: -// rs1_val == 4194304, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xfff7ffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3ffdff, 0x400000, 0xfff7ffff, x1, 296, x2) - -inst_89: -// rs1_val == 2097152, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0xffff7fff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1fffef, 0x200000, 0xffff7fff, x1, 300, x2) - -inst_90: -// rs1_val == 262144, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x100000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x40, 0x40000, 0x100000, x1, 304, x2) - -inst_91: -// rs1_val == 131072, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xffffffef -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1ffff, 0x20000, 0xffffffef, x1, 308, x2) - -inst_92: -// rs1_val == 65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x10000, 0x6, x1, 312, x2) - -inst_93: -// rs1_val == 32768, rs2_val == 1431655765 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2aaa, 0x8000, 0x55555555, x1, 316, x2) - -inst_94: -// rs1_val == 16384, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xb -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4000, 0xb, x1, 320, x2) - -inst_95: -// rs1_val == 8192, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x200 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2000, 0x200, x1, 324, x2) - -inst_96: -// rs1_val == 2048, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x800, 0x10000, x1, 328, x2) - -inst_97: -// rs1_val == 256, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x100, 0x4, x1, 332, x2) - -inst_98: -// rs1_val == 32, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x4000000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x20, 0x4000000, x1, 336, x2) - -inst_99: -// rs1_val == 16, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x10, 0x10000, x1, 340, x2) - -inst_100: -// rs1_val == 8, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0xfffffff7 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x7, 0x8, 0xfffffff7, x1, 344, x2) - -inst_101: -// rs1_val == 1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffefffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x1, 0xffefffff, x1, 348, x2) - -inst_102: -// rs1_val==46341 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb505, 0x10000, x1, 352, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb505, 0x1, x1, 356, x2) - -inst_104: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val and rs1_val > 0 and rs2_val > 0 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 360, x2) - -inst_105: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4868, 0xb505, 0x66666667, x1, 364, x2) - -inst_106: -// rs1_val==46341 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2434, 0xb505, 0x33333334, x1, 368, x2) - -inst_107: -// rs1_val==46341 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb505, 0x6, x1, 372, x2) - -inst_108: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x78ae, 0xb505, 0xaaaaaaab, x1, 376, x2) - -inst_109: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c57, 0xb505, 0x55555556, x1, 380, x2) - -inst_110: -// rs1_val==46341 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb505, 0x4, x1, 384, x2) - -inst_111: -// rs1_val==46341 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb505, 0xfffe, x1, 388, x2) - -inst_112: -// rs1_val==46341 and rs2_val==0, rs2_val == 0 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb505, 0x0, x1, 392, x2) - -inst_113: -// rs1_val==46341 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb505, 0xb503, x1, 396, x2) - -inst_114: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4868, 0xb505, 0x66666665, x1, 400, x2) - -inst_115: -// rs1_val==46341 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2434, 0xb505, 0x33333332, x1, 404, x2) - -inst_116: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x78ad, 0xb505, 0xaaaaaaa9, x1, 408, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0xb505, 0x55555554, x1, 412, x2) - -inst_118: -// rs1_val==46341 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb505, 0x2, x1, 416, x2) - -inst_119: -// rs1_val==46341 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb505, 0xffff, x1, 420, x2) - -inst_120: -// rs1_val==46341 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb505, 0xb504, x1, 424, x2) - -inst_121: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4868, 0xb505, 0x66666666, x1, 428, x2) - -inst_122: -// rs1_val==46341 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2434, 0xb505, 0x33333333, x1, 432, x2) - -inst_123: -// rs1_val==46341 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb505, 0x5, x1, 436, x2) - -inst_124: -// rs1_val==46341 and rs2_val==2863311530, rs2_val == 2863311530 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x78ad, 0xb505, 0xaaaaaaaa, x1, 440, x2) - -inst_125: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0xb505, 0x55555555, x1, 444, x2) - -inst_126: -// rs1_val==46341 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb505, 0x3, x1, 448, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb503, 0x10000, x1, 452, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb503, 0x1, x1, 456, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb503, 0xb505, x1, 460, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffb798, -0xb503, 0x66666667, x1, 464, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffdbcc, -0xb503, 0x33333334, x1, 468, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb503, 0x6, x1, 472, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffff8753, -0xb503, 0xaaaaaaab, x1, 476, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffc3a9, -0xb503, 0x55555556, x1, 480, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb503, 0x4, x1, 484, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb503, 0xfffe, x1, 488, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, -0xb503, 0x0, x1, 492, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb503, 0xb503, x1, 496, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffb798, -0xb503, 0x66666665, x1, 500, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffdbcc, -0xb503, 0x33333332, x1, 504, x2) - -inst_141: -// rs1_val==-46339 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffff8753, -0xb503, 0xaaaaaaa9, x1, 508, x2) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffc3a9, -0xb503, 0x55555554, x1, 512, x2) - -inst_143: -// rs1_val==-46339 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb503, 0x2, x1, 516, x2) - -inst_144: -// rs1_val==-46339 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb503, 0xffff, x1, 520, x2) - -inst_145: -// rs1_val==-46339 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb503, 0xb504, x1, 524, x2) - -inst_146: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffb798, -0xb503, 0x66666666, x1, 528, x2) - -inst_147: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffdbcc, -0xb503, 0x33333333, x1, 532, x2) - -inst_148: -// rs1_val==-46339 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb503, 0x5, x1, 536, x2) - -inst_149: -// rs1_val==-46339 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffff8753, -0xb503, 0xaaaaaaaa, x1, 540, x2) - -inst_150: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffc3a9, -0xb503, 0x55555555, x1, 544, x2) - -inst_151: -// rs1_val==-46339 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb503, 0x3, x1, 548, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x6666, 0x66666667, 0x10000, x1, 552, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x66666667, 0x1, x1, 556, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4868, 0x66666667, 0xb505, x1, 560, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x28f5c28f, 0x66666667, 0x66666667, x1, 564, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae148, 0x66666667, 0x33333334, x1, 568, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x66666667, 0x6, x1, 572, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x44444444, 0x66666667, 0xaaaaaaab, x1, 576, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222222, 0x66666667, 0x55555556, x1, 580, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x66666667, 0x4, x1, 584, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x6665, 0x66666667, 0xfffe, x1, 588, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x66666667, 0x0, x1, 592, x2) - -inst_163: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4867, 0x66666667, 0xb503, x1, 596, x2) - -inst_164: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x28f5c28f, 0x66666667, 0x66666665, x1, 600, x2) - -inst_165: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae147, 0x66666667, 0x33333332, x1, 604, x2) - -inst_166: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x44444443, 0x66666667, 0xaaaaaaa9, x1, 608, x2) - -inst_167: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x66666667, 0x55555554, x1, 612, x2) - -inst_168: -// rs1_val==1717986919 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x66666667, 0x2, x1, 616, x2) - -inst_169: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x6666, 0x66666667, 0xffff, x1, 620, x2) - -inst_170: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4868, 0x66666667, 0xb504, x1, 624, x2) - -inst_171: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x28f5c28f, 0x66666667, 0x66666666, x1, 628, x2) - -inst_172: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae147, 0x66666667, 0x33333333, x1, 632, x2) - -inst_173: -// rs1_val==1717986919 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x66666667, 0x5, x1, 636, x2) - -inst_174: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x44444444, 0x66666667, 0xaaaaaaaa, x1, 640, x2) - -inst_175: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222222, 0x66666667, 0x55555555, x1, 644, x2) - -inst_176: -// rs1_val==1717986919 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x66666667, 0x3, x1, 648, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3333, 0x33333334, 0x10000, x1, 652, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333334, 0x1, x1, 656, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2434, 0x33333334, 0xb505, x1, 660, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae148, 0x33333334, 0x66666667, x1, 664, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xa3d70a4, 0x33333334, 0x33333334, x1, 668, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x33333334, 0x6, x1, 672, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222222, 0x33333334, 0xaaaaaaab, x1, 676, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111111, 0x33333334, 0x55555556, x1, 680, x2) - -inst_185: -// rs1_val==858993460 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333334, 0x4, x1, 684, x2) - -inst_186: -// rs1_val==858993460 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3332, 0x33333334, 0xfffe, x1, 688, x2) - -inst_187: -// rs1_val==858993460 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333334, 0x0, x1, 692, x2) - -inst_188: -// rs1_val==858993460 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2433, 0x33333334, 0xb503, x1, 696, x2) - -inst_189: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae147, 0x33333334, 0x66666665, x1, 700, x2) - -inst_190: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xa3d70a3, 0x33333334, 0x33333332, x1, 704, x2) - -inst_191: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222222, 0x33333334, 0xaaaaaaa9, x1, 708, x2) - -inst_192: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111111, 0x33333334, 0x55555554, x1, 712, x2) - -inst_193: -// rs1_val==858993460 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333334, 0x2, x1, 716, x2) - -inst_194: -// rs1_val==858993460 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3333, 0x33333334, 0xffff, x1, 720, x2) - -inst_195: -// rs1_val==858993460 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2434, 0x33333334, 0xb504, x1, 724, x2) - -inst_196: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae147, 0x33333334, 0x66666666, x1, 728, x2) - -inst_197: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xa3d70a3, 0x33333334, 0x33333333, x1, 732, x2) - -inst_198: -// rs1_val==858993460 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x33333334, 0x5, x1, 736, x2) - -inst_199: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222222, 0x33333334, 0xaaaaaaaa, x1, 740, x2) - -inst_200: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111111, 0x33333334, 0x55555555, x1, 744, x2) - -inst_201: -// rs1_val==858993460 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333334, 0x3, x1, 748, x2) - -inst_202: -// rs1_val==6 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x6, 0x10000, x1, 752, x2) - -inst_203: -// rs1_val==6 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x6, 0x1, x1, 756, x2) - -inst_204: -// rs1_val==6 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x6, 0xb505, x1, 760, x2) - -inst_205: -// rs1_val==6 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x6, 0x66666667, x1, 764, x2) - -inst_206: -// rs1_val==6 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x6, 0x33333334, x1, 768, x2) - -inst_207: -// rs1_val==6 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x6, 0x6, x1, 772, x2) - -inst_208: -// rs1_val==6 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4, 0x6, 0xaaaaaaab, x1, 776, x2) - -inst_209: -// rs1_val==6 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x6, 0x55555556, x1, 780, x2) - -inst_210: -// rs1_val==6 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x6, 0x4, x1, 784, x2) - -inst_211: -// rs1_val==6 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x6, 0xfffe, x1, 788, x2) - -inst_212: -// rs1_val==6 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x6, 0x0, x1, 792, x2) - -inst_213: -// rs1_val==6 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x6, 0xb503, x1, 796, x2) - -inst_214: -// rs1_val==6 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x6, 0x66666665, x1, 800, x2) - -inst_215: -// rs1_val==6 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x6, 0x33333332, x1, 804, x2) - -inst_216: -// rs1_val==6 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3, 0x6, 0xaaaaaaa9, x1, 808, x2) - -inst_217: -// rs1_val==6 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x6, 0x55555554, x1, 812, x2) - -inst_218: -// rs1_val==6 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x6, 0x2, x1, 816, x2) - -inst_219: -// rs1_val==6 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x6, 0xffff, x1, 820, x2) - -inst_220: -// rs1_val==6 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x6, 0xb504, x1, 824, x2) - -inst_221: -// rs1_val==6 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x6, 0x66666666, x1, 828, x2) - -inst_222: -// rs1_val==6 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x6, 0x33333333, x1, 832, x2) - -inst_223: -// rs1_val==6 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x6, 0x5, x1, 836, x2) - -inst_224: -// rs1_val==6 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3, 0x6, 0xaaaaaaaa, x1, 840, x2) - -inst_225: -// rs1_val==6 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x6, 0x55555555, x1, 844, x2) - -inst_226: -// rs1_val==6 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x6, 0x3, x1, 848, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffaaaa, -0x55555555, 0x10000, x1, 852, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0x55555555, 0x1, x1, 856, x2) - -inst_229: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffc3a9, -0x55555555, 0xb505, x1, 860, x2) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xdddddddd, -0x55555555, 0x66666667, x1, 864, x2) - -inst_231: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xeeeeeeee, -0x55555555, 0x33333334, x1, 868, x2) - -inst_232: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffe, -0x55555555, 0x6, x1, 872, x2) - -inst_233: -// rs1_val==-1431655765 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0xc71c71c7, -0x55555555, 0xaaaaaaab, x1, 876, x2) - -inst_234: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xe38e38e3, -0x55555555, 0x55555556, x1, 880, x2) - -inst_235: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffe, -0x55555555, 0x4, x1, 884, x2) - -inst_236: -// rs1_val==-1431655765 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffaaab, -0x55555555, 0xfffe, x1, 888, x2) - -inst_237: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, -0x55555555, 0x0, x1, 892, x2) - -inst_238: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffc3a9, -0x55555555, 0xb503, x1, 896, x2) - -inst_239: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xddddddde, -0x55555555, 0x66666665, x1, 900, x2) - -inst_240: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x33333332, x1, 904, x2) - -inst_241: -// rs1_val==-1431655765 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xc71c71c7, -0x55555555, 0xaaaaaaa9, x1, 908, x2) - -inst_242: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xe38e38e4, -0x55555555, 0x55555554, x1, 912, x2) - -inst_243: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0x55555555, 0x2, x1, 916, x2) - -inst_244: -// rs1_val==-1431655765 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffaaab, -0x55555555, 0xffff, x1, 920, x2) - -inst_245: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffc3a9, -0x55555555, 0xb504, x1, 924, x2) - -inst_246: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xddddddde, -0x55555555, 0x66666666, x1, 928, x2) - -inst_247: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x33333333, x1, 932, x2) - -inst_248: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffe, -0x55555555, 0x5, x1, 936, x2) - -inst_249: -// rs1_val==-1431655765 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0xc71c71c7, -0x55555555, 0xaaaaaaaa, x1, 940, x2) - -inst_250: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xe38e38e3, -0x55555555, 0x55555555, x1, 944, x2) - -inst_251: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0x55555555, 0x3, x1, 948, x2) - -inst_252: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x5555, 0x55555556, 0x10000, x1, 952, x2) - -inst_253: -// rs1_val==1431655766 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x55555556, 0x1, x1, 956, x2) - -inst_254: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c57, 0x55555556, 0xb505, x1, 960, x2) - -inst_255: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222222, 0x55555556, 0x66666667, x1, 964, x2) - -inst_256: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111111, 0x55555556, 0x33333334, x1, 968, x2) - -inst_257: -// rs1_val==1431655766 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x55555556, 0x6, x1, 972, x2) - -inst_258: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x38e38e39, 0x55555556, 0xaaaaaaab, x1, 976, x2) - -inst_259: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1c71c71c, 0x55555556, 0x55555556, x1, 980, x2) - -inst_260: -// rs1_val==1431655766 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x55555556, 0x4, x1, 984, x2) - -inst_261: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x5554, 0x55555556, 0xfffe, x1, 988, x2) - -inst_262: -// rs1_val==1431655766 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x55555556, 0x0, x1, 992, x2) - -inst_263: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0x55555556, 0xb503, x1, 996, x2) - -inst_264: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x55555556, 0x66666665, x1, 1000, x2) - -inst_265: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111110, 0x55555556, 0x33333332, x1, 1004, x2) - -inst_266: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x38e38e38, 0x55555556, 0xaaaaaaa9, x1, 1008, x2) - -inst_267: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1c71c71c, 0x55555556, 0x55555554, x1, 1012, x2) - -inst_268: -// rs1_val==1431655766 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x55555556, 0x2, x1, 1016, x2) - -inst_269: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x5555, 0x55555556, 0xffff, x1, 1020, x2) - -inst_270: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0x55555556, 0xb504, x1, 1024, x2) - -inst_271: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222222, 0x55555556, 0x66666666, x1, 1028, x2) - -inst_272: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111111, 0x55555556, 0x33333333, x1, 1032, x2) - -inst_273: -// rs1_val==1431655766 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x55555556, 0x5, x1, 1036, x2) - -inst_274: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x38e38e39, 0x55555556, 0xaaaaaaaa, x1, 1040, x2) - -inst_275: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1c71c71c, 0x55555556, 0x55555555, x1, 1044, x2) - -inst_276: -// rs1_val==1431655766 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x55555556, 0x3, x1, 1048, x2) - -inst_277: -// rs1_val==4 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0x10000, x1, 1052, x2) - -inst_278: -// rs1_val==4 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0x1, x1, 1056, x2) - -inst_279: -// rs1_val==4 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0xb505, x1, 1060, x2) - -inst_280: -// rs1_val==4 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x4, 0x66666667, x1, 1064, x2) - -inst_281: -// rs1_val==4 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0x33333334, x1, 1068, x2) - -inst_282: -// rs1_val==4 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0x6, x1, 1072, x2) - -inst_283: -// rs1_val==4 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x4, 0xaaaaaaab, x1, 1076, x2) - -inst_284: -// rs1_val==4 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x4, 0x55555556, x1, 1080, x2) - -inst_285: -// rs1_val==4 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0x4, x1, 1084, x2) - -inst_286: -// rs1_val==4 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0xfffe, x1, 1088, x2) - -inst_287: -// rs1_val==4 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0x0, x1, 1092, x2) - -inst_288: -// rs1_val==4 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0xb503, x1, 1096, x2) - -inst_289: -// rs1_val==4 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x4, 0x66666665, x1, 1100, x2) - -inst_290: -// rs1_val==4 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0x33333332, x1, 1104, x2) - -inst_291: -// rs1_val==4 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x4, 0xaaaaaaa9, x1, 1108, x2) - -inst_292: -// rs1_val==4 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x4, 0x55555554, x1, 1112, x2) - -inst_293: -// rs1_val==4 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0x2, x1, 1116, x2) - -inst_294: -// rs1_val==4 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0xffff, x1, 1120, x2) - -inst_295: -// rs1_val==4 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0xb504, x1, 1124, x2) - -inst_296: -// rs1_val==4 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x4, 0x66666666, x1, 1128, x2) - -inst_297: -// rs1_val==4 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0x33333333, x1, 1132, x2) - -inst_298: -// rs1_val==4 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0x5, x1, 1136, x2) - -inst_299: -// rs1_val==4 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x4, 0xaaaaaaaa, x1, 1140, x2) - -inst_300: -// rs1_val==4 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x4, 0x55555555, x1, 1144, x2) - -inst_301: -// rs1_val==4 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x4, 0x3, x1, 1148, x2) - -inst_302: -// rs1_val==46339 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb503, 0x10000, x1, 1152, x2) - -inst_303: -// rs1_val==46339 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb503, 0x1, x1, 1156, x2) - -inst_304: -// rs1_val==46339 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb503, 0xb505, x1, 1160, x2) - -inst_305: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4867, 0xb503, 0x66666667, x1, 1164, x2) - -inst_306: -// rs1_val==46339 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2433, 0xb503, 0x33333334, x1, 1168, x2) - -inst_307: -// rs1_val==46339 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb503, 0x6, x1, 1172, x2) - -inst_308: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x78ac, 0xb503, 0xaaaaaaab, x1, 1176, x2) - -inst_309: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0xb503, 0x55555556, x1, 1180, x2) - -inst_310: -// rs1_val==46339 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb503, 0x4, x1, 1184, x2) - -inst_311: -// rs1_val==46339 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb503, 0xfffe, x1, 1188, x2) - -inst_312: -// rs1_val==46339 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb503, 0x0, x1, 1192, x2) - -inst_313: -// rs1_val==46339 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1196, x2) - -inst_314: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4867, 0xb503, 0x66666665, x1, 1200, x2) - -inst_315: -// rs1_val==46339 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2433, 0xb503, 0x33333332, x1, 1204, x2) - -inst_316: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x78ac, 0xb503, 0xaaaaaaa9, x1, 1208, x2) - -inst_317: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0xb503, 0x55555554, x1, 1212, x2) - -inst_318: -// rs1_val==46339 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb503, 0x2, x1, 1216, x2) - -inst_319: -// rs1_val==46339 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb503, 0xffff, x1, 1220, x2) - -inst_320: -// rs1_val==46339 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb503, 0xb504, x1, 1224, x2) - -inst_321: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4867, 0xb503, 0x66666666, x1, 1228, x2) - -inst_322: -// rs1_val==46339 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2433, 0xb503, 0x33333333, x1, 1232, x2) - -inst_323: -// rs1_val==46339 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb503, 0x5, x1, 1236, x2) - -inst_324: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x78ac, 0xb503, 0xaaaaaaaa, x1, 1240, x2) - -inst_325: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0xb503, 0x55555555, x1, 1244, x2) - -inst_326: -// rs1_val==46339 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb503, 0x3, x1, 1248, x2) - -inst_327: -// rs1_val==0 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x10000, x1, 1252, x2) - -inst_328: -// rs1_val==0 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x1, x1, 1256, x2) - -inst_329: -// rs1_val==0 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0xb505, x1, 1260, x2) - -inst_330: -// rs1_val==0 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x66666667, x1, 1264, x2) - -inst_331: -// rs1_val==0 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x33333334, x1, 1268, x2) - -inst_332: -// rs1_val==0 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x6, x1, 1272, x2) - -inst_333: -// rs1_val==0 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0xaaaaaaab, x1, 1276, x2) - -inst_334: -// rs1_val==0 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x55555556, x1, 1280, x2) - -inst_335: -// rs1_val==0 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x4, x1, 1284, x2) - -inst_336: -// rs1_val==0 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0xfffe, x1, 1288, x2) - -inst_337: -// rs1_val==0 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1292, x2) - -inst_338: -// rs1_val==0 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0xb503, x1, 1296, x2) - -inst_339: -// rs1_val==0 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x66666665, x1, 1300, x2) - -inst_340: -// rs1_val==0 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x33333332, x1, 1304, x2) - -inst_341: -// rs1_val==0 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0xaaaaaaa9, x1, 1308, x2) - -inst_342: -// rs1_val==0 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x55555554, x1, 1312, x2) - -inst_343: -// rs1_val==0 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0xffff, x1, 1316, x2) - -inst_344: -// rs1_val==0 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0xb504, x1, 1320, x2) - -inst_345: -// rs1_val==0 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x66666666, x1, 1324, x2) - -inst_346: -// rs1_val==0 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x33333333, x1, 1328, x2) - -inst_347: -// rs1_val==0 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x5, x1, 1332, x2) - -inst_348: -// rs1_val==0 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0xaaaaaaaa, x1, 1336, x2) - -inst_349: -// rs1_val==0 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x55555555, x1, 1340, x2) - -inst_350: -// rs1_val==0 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x0, 0x3, x1, 1344, x2) - -inst_351: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x6666, 0x66666665, 0x10000, x1, 1348, x2) - -inst_352: -// rs1_val==1717986917 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x66666665, 0x1, x1, 1352, x2) - -inst_353: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4868, 0x66666665, 0xb505, x1, 1356, x2) - -inst_354: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x28f5c28f, 0x66666665, 0x66666667, x1, 1360, x2) - -inst_355: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae147, 0x66666665, 0x33333334, x1, 1364, x2) - -inst_356: -// rs1_val==1717986917 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x66666665, 0x6, x1, 1368, x2) - -inst_357: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x44444443, 0x66666665, 0xaaaaaaab, x1, 1372, x2) - -inst_358: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x66666665, 0x55555556, x1, 1376, x2) - -inst_359: -// rs1_val==1717986917 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x66666665, 0x4, x1, 1380, x2) - -inst_360: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x6665, 0x66666665, 0xfffe, x1, 1384, x2) - -inst_361: -// rs1_val==1717986917 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x66666665, 0x0, x1, 1388, x2) - -inst_362: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4867, 0x66666665, 0xb503, x1, 1392, x2) - -inst_363: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x28f5c28e, 0x66666665, 0x66666665, x1, 1396, x2) - -inst_364: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae146, 0x66666665, 0x33333332, x1, 1400, x2) - -inst_365: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x44444442, 0x66666665, 0xaaaaaaa9, x1, 1404, x2) - -inst_366: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x66666665, 0x55555554, x1, 1408, x2) - -inst_367: -// rs1_val==1717986917 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x66666665, 0x2, x1, 1412, x2) - -inst_368: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x6665, 0x66666665, 0xffff, x1, 1416, x2) - -inst_369: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4867, 0x66666665, 0xb504, x1, 1420, x2) - -inst_370: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x28f5c28e, 0x66666665, 0x66666666, x1, 1424, x2) - -inst_371: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae147, 0x66666665, 0x33333333, x1, 1428, x2) - -inst_372: -// rs1_val==1717986917 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x66666665, 0x5, x1, 1432, x2) - -inst_373: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x44444443, 0x66666665, 0xaaaaaaaa, x1, 1436, x2) - -inst_374: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x66666665, 0x55555555, x1, 1440, x2) - -inst_375: -// rs1_val==1717986917 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x66666665, 0x3, x1, 1444, x2) - -inst_376: -// rs1_val==858993458 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3333, 0x33333332, 0x10000, x1, 1448, x2) - -inst_377: -// rs1_val==858993458 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333332, 0x1, x1, 1452, x2) - -inst_378: -// rs1_val==858993458 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2434, 0x33333332, 0xb505, x1, 1456, x2) - -inst_379: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae147, 0x33333332, 0x66666667, x1, 1460, x2) - -inst_380: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xa3d70a3, 0x33333332, 0x33333334, x1, 1464, x2) - -inst_381: -// rs1_val==858993458 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x33333332, 0x6, x1, 1468, x2) - -inst_382: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x33333332, 0xaaaaaaab, x1, 1472, x2) - -inst_383: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111110, 0x33333332, 0x55555556, x1, 1476, x2) - -inst_384: -// rs1_val==858993458 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3332, 0x33333332, 0xfffe, x1, 1480, x2) - -inst_385: -// rs1_val==858993458 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333332, 0x0, x1, 1484, x2) - -inst_386: -// rs1_val==858993458 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2433, 0x33333332, 0xb503, x1, 1488, x2) - -inst_387: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae146, 0x33333332, 0x66666665, x1, 1492, x2) - -inst_388: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xa3d70a3, 0x33333332, 0x33333332, x1, 1496, x2) - -inst_389: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x33333332, 0xaaaaaaa9, x1, 1500, x2) - -inst_390: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111110, 0x33333332, 0x55555554, x1, 1504, x2) - -inst_391: -// rs1_val==858993458 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333332, 0x2, x1, 1508, x2) - -inst_392: -// rs1_val==858993458 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3332, 0x33333332, 0xffff, x1, 1512, x2) - -inst_393: -// rs1_val==858993458 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2433, 0x33333332, 0xb504, x1, 1516, x2) - -inst_394: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae147, 0x33333332, 0x66666666, x1, 1520, x2) - -inst_395: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xa3d70a3, 0x33333332, 0x33333333, x1, 1524, x2) - -inst_396: -// rs1_val==-46340 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb504, 0x4, x1, 1528, x2) - -inst_397: -// rs1_val==-46340 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb504, 0xfffe, x1, 1532, x2) - -inst_398: -// rs1_val==-46340 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, -0xb504, 0x0, x1, 1536, x2) - -inst_399: -// rs1_val==-46340 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 1540, x2) - -inst_400: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffb798, -0xb504, 0x66666665, x1, 1544, x2) - -inst_401: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffdbcc, -0xb504, 0x33333332, x1, 1548, x2) - -inst_402: -// rs1_val==-46340 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffff8752, -0xb504, 0xaaaaaaa9, x1, 1552, x2) - -inst_403: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffc3a9, -0xb504, 0x55555554, x1, 1556, x2) - -inst_404: -// rs1_val==-46340 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb504, 0x2, x1, 1560, x2) - -inst_405: -// rs1_val==-46340 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb504, 0xffff, x1, 1564, x2) - -inst_406: -// rs1_val==-46340 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb504, 0xb504, x1, 1568, x2) - -inst_407: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffb798, -0xb504, 0x66666666, x1, 1572, x2) - -inst_408: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffdbcc, -0xb504, 0x33333333, x1, 1576, x2) - -inst_409: -// rs1_val==-46340 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb504, 0x5, x1, 1580, x2) - -inst_410: -// rs1_val==-46340 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffff8752, -0xb504, 0xaaaaaaaa, x1, 1584, x2) - -inst_411: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffc3a9, -0xb504, 0x55555555, x1, 1588, x2) - -inst_412: -// rs1_val==-46340 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb504, 0x3, x1, 1592, x2) - -inst_413: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x6666, 0x66666666, 0x10000, x1, 1596, x2) - -inst_414: -// rs1_val==1717986918 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x66666666, 0x1, x1, 1600, x2) - -inst_415: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4868, 0x66666666, 0xb505, x1, 1604, x2) - -inst_416: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x28f5c28f, 0x66666666, 0x66666667, x1, 1608, x2) - -inst_417: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae147, 0x66666666, 0x33333334, x1, 1612, x2) - -inst_418: -// rs1_val==1717986918 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x66666666, 0x6, x1, 1616, x2) - -inst_419: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x44444444, 0x66666666, 0xaaaaaaab, x1, 1620, x2) - -inst_420: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222222, 0x66666666, 0x55555556, x1, 1624, x2) - -inst_421: -// rs1_val==1717986918 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x66666666, 0x4, x1, 1628, x2) - -inst_422: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x6665, 0x66666666, 0xfffe, x1, 1632, x2) - -inst_423: -// rs1_val==1717986918 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x66666666, 0x0, x1, 1636, x2) - -inst_424: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4867, 0x66666666, 0xb503, x1, 1640, x2) - -inst_425: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x28f5c28e, 0x66666666, 0x66666665, x1, 1644, x2) - -inst_426: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae147, 0x66666666, 0x33333332, x1, 1648, x2) - -inst_427: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x44444443, 0x66666666, 0xaaaaaaa9, x1, 1652, x2) - -inst_428: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x66666666, 0x55555554, x1, 1656, x2) - -inst_429: -// rs1_val==1717986918 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x66666666, 0x2, x1, 1660, x2) - -inst_430: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x6665, 0x66666666, 0xffff, x1, 1664, x2) - -inst_431: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4867, 0x66666666, 0xb504, x1, 1668, x2) - -inst_432: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x28f5c28f, 0x66666666, 0x66666666, x1, 1672, x2) - -inst_433: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae147, 0x66666666, 0x33333333, x1, 1676, x2) - -inst_434: -// rs1_val==1717986918 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x66666666, 0x5, x1, 1680, x2) - -inst_435: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x44444443, 0x66666666, 0xaaaaaaaa, x1, 1684, x2) - -inst_436: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x66666666, 0x55555555, x1, 1688, x2) - -inst_437: -// rs1_val==1717986918 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x66666666, 0x3, x1, 1692, x2) - -inst_438: -// rs1_val==858993459 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3333, 0x33333333, 0x10000, x1, 1696, x2) - -inst_439: -// rs1_val==858993459 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333333, 0x1, x1, 1700, x2) - -inst_440: -// rs1_val==858993459 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2434, 0x33333333, 0xb505, x1, 1704, x2) - -inst_441: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae147, 0x33333333, 0x66666667, x1, 1708, x2) - -inst_442: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xa3d70a3, 0x33333333, 0x33333334, x1, 1712, x2) - -inst_443: -// rs1_val==858993459 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x33333333, 0x6, x1, 1716, x2) - -inst_444: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222222, 0x33333333, 0xaaaaaaab, x1, 1720, x2) - -inst_445: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111111, 0x33333333, 0x55555556, x1, 1724, x2) - -inst_446: -// rs1_val==858993459 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333333, 0x4, x1, 1728, x2) - -inst_447: -// rs1_val==858993459 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3332, 0x33333333, 0xfffe, x1, 1732, x2) - -inst_448: -// rs1_val==858993459 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333333, 0x0, x1, 1736, x2) - -inst_449: -// rs1_val==858993459 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2433, 0x33333333, 0xb503, x1, 1740, x2) - -inst_450: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae147, 0x33333333, 0x66666665, x1, 1744, x2) - -inst_451: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xa3d70a3, 0x33333333, 0x33333332, x1, 1748, x2) - -inst_452: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x33333333, 0xaaaaaaa9, x1, 1752, x2) - -inst_453: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111110, 0x33333333, 0x55555554, x1, 1756, x2) - -inst_454: -// rs1_val==858993459 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333333, 0x2, x1, 1760, x2) - -inst_455: -// rs1_val==858993459 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3332, 0x33333333, 0xffff, x1, 1764, x2) - -inst_456: -// rs1_val==858993459 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2433, 0x33333333, 0xb504, x1, 1768, x2) - -inst_457: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x147ae147, 0x33333333, 0x66666666, x1, 1772, x2) - -inst_458: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xa3d70a3, 0x33333333, 0x33333333, x1, 1776, x2) - -inst_459: -// rs1_val==858993459 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333333, 0x5, x1, 1780, x2) - -inst_460: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x33333333, 0xaaaaaaaa, x1, 1784, x2) - -inst_461: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111110, 0x33333333, 0x55555555, x1, 1788, x2) - -inst_462: -// rs1_val==858993459 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333333, 0x3, x1, 1792, x2) - -inst_463: -// rs1_val==5 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0x10000, x1, 1796, x2) - -inst_464: -// rs1_val==5 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0x1, x1, 1800, x2) - -inst_465: -// rs1_val==5 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0xb505, x1, 1804, x2) - -inst_466: -// rs1_val==5 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x5, 0x66666667, x1, 1808, x2) - -inst_467: -// rs1_val==5 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x5, 0x33333334, x1, 1812, x2) - -inst_468: -// rs1_val==5 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0x6, x1, 1816, x2) - -inst_469: -// rs1_val==5 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3, 0x5, 0xaaaaaaab, x1, 1820, x2) - -inst_470: -// rs1_val==5 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x5, 0x55555556, x1, 1824, x2) - -inst_471: -// rs1_val==5 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0x4, x1, 1828, x2) - -inst_472: -// rs1_val==5 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0xfffe, x1, 1832, x2) - -inst_473: -// rs1_val==5 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0x0, x1, 1836, x2) - -inst_474: -// rs1_val==5 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0xb503, x1, 1840, x2) - -inst_475: -// rs1_val==5 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x5, 0x66666665, x1, 1844, x2) - -inst_476: -// rs1_val==5 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0x33333332, x1, 1848, x2) - -inst_477: -// rs1_val==5 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3, 0x5, 0xaaaaaaa9, x1, 1852, x2) - -inst_478: -// rs1_val==5 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x5, 0x55555554, x1, 1856, x2) - -inst_479: -// rs1_val==5 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0x2, x1, 1860, x2) - -inst_480: -// rs1_val==5 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0xffff, x1, 1864, x2) - -inst_481: -// rs1_val==5 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0xb504, x1, 1868, x2) - -inst_482: -// rs1_val==5 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x5, 0x66666666, x1, 1872, x2) - -inst_483: -// rs1_val==5 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0x33333333, x1, 1876, x2) - -inst_484: -// rs1_val==5 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1880, x2) - -inst_485: -// rs1_val==5 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3, 0x5, 0xaaaaaaaa, x1, 1884, x2) - -inst_486: -// rs1_val==5 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x5, 0x55555555, x1, 1888, x2) - -inst_487: -// rs1_val==5 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x5, 0x3, x1, 1892, x2) - -inst_488: -// rs1_val==-1431655766 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffaaaa, -0x55555556, 0x10000, x1, 1896, x2) - -inst_489: -// rs1_val==-1431655766 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0x55555556, 0x1, x1, 1900, x2) - -inst_490: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffc3a8, -0x55555556, 0xb505, x1, 1904, x2) - -inst_491: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xdddddddd, -0x55555556, 0x66666667, x1, 1908, x2) - -inst_492: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xeeeeeeee, -0x55555556, 0x33333334, x1, 1912, x2) - -inst_493: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffd, -0x55555556, 0x6, x1, 1916, x2) - -inst_494: -// rs1_val==-1431655766 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0xc71c71c6, -0x55555556, 0xaaaaaaab, x1, 1920, x2) - -inst_495: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xe38e38e3, -0x55555556, 0x55555556, x1, 1924, x2) - -inst_496: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffe, -0x55555556, 0x4, x1, 1928, x2) - -inst_497: -// rs1_val==-1431655766 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffaaab, -0x55555556, 0xfffe, x1, 1932, x2) - -inst_498: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, -0x55555556, 0x0, x1, 1936, x2) - -inst_499: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffc3a9, -0x55555556, 0xb503, x1, 1940, x2) - -inst_500: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xddddddde, -0x55555556, 0x66666665, x1, 1944, x2) - -inst_501: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x33333332, x1, 1948, x2) - -inst_502: -// rs1_val==-1431655766 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xc71c71c7, -0x55555556, 0xaaaaaaa9, x1, 1952, x2) - -inst_503: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xe38e38e3, -0x55555556, 0x55555554, x1, 1956, x2) - -inst_504: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0x55555556, 0x2, x1, 1960, x2) - -inst_505: -// rs1_val==-1431655766 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffaaaa, -0x55555556, 0xffff, x1, 1964, x2) - -inst_506: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffc3a9, -0x55555556, 0xb504, x1, 1968, x2) - -inst_507: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xdddddddd, -0x55555556, 0x66666666, x1, 1972, x2) - -inst_508: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xeeeeeeee, -0x55555556, 0x33333333, x1, 1976, x2) - -inst_509: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffe, -0x55555556, 0x5, x1, 1980, x2) - -inst_510: -// rs1_val==-1431655766 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0xc71c71c6, -0x55555556, 0xaaaaaaaa, x1, 1984, x2) - -inst_511: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xe38e38e3, -0x55555556, 0x55555555, x1, 1988, x2) - -inst_512: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffe, -0x55555556, 0x3, x1, 1992, x2) - -inst_513: -// rs1_val==1431655765 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x5555, 0x55555555, 0x10000, x1, 1996, x2) - -inst_514: -// rs1_val==1431655765 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x55555555, 0x1, x1, 2000, x2) - -inst_515: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0x55555555, 0xb505, x1, 2004, x2) - -inst_516: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222222, 0x55555555, 0x66666667, x1, 2008, x2) - -inst_517: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111111, 0x55555555, 0x33333334, x1, 2012, x2) - -inst_518: -// rs1_val==1431655765 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x55555555, 0x6, x1, 2016, x2) - -inst_519: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x38e38e38, 0x55555555, 0xaaaaaaab, x1, 2020, x2) - -inst_520: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1c71c71c, 0x55555555, 0x55555556, x1, 2024, x2) - -inst_521: -// rs1_val==1431655765 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x55555555, 0x4, x1, 2028, x2) - -inst_522: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x5554, 0x55555555, 0xfffe, x1, 2032, x2) - -inst_523: -// rs1_val==1431655765 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x55555555, 0x0, x1, 2036, x2) - -inst_524: -// rs1_val==46340 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb504, 0x3, x1, 2040, x2) - -inst_525: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0x55555555, 0xb503, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_526: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x55555555, 0x66666665, x1, 0, x2) - -inst_527: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111110, 0x55555555, 0x33333332, x1, 4, x2) - -inst_528: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x38e38e38, 0x55555555, 0xaaaaaaa9, x1, 8, x2) - -inst_529: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1c71c71b, 0x55555555, 0x55555554, x1, 12, x2) - -inst_530: -// rs1_val==1431655765 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x55555555, 0x2, x1, 16, x2) - -inst_531: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x5554, 0x55555555, 0xffff, x1, 20, x2) - -inst_532: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0x55555555, 0xb504, x1, 24, x2) - -inst_533: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x55555555, 0x66666666, x1, 28, x2) - -inst_534: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111110, 0x55555555, 0x33333333, x1, 32, x2) - -inst_535: -// rs1_val==1431655765 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x55555555, 0x5, x1, 36, x2) - -inst_536: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x38e38e38, 0x55555555, 0xaaaaaaaa, x1, 40, x2) - -inst_537: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1c71c71c, 0x55555555, 0x55555555, x1, 44, x2) - -inst_538: -// rs1_val==1431655765 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x55555555, 0x3, x1, 48, x2) - -inst_539: -// rs1_val==3 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0x10000, x1, 52, x2) - -inst_540: -// rs1_val==3 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0x1, x1, 56, x2) - -inst_541: -// rs1_val==3 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0xb505, x1, 60, x2) - -inst_542: -// rs1_val==3 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x3, 0x66666667, x1, 64, x2) - -inst_543: -// rs1_val==3 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0x33333334, x1, 68, x2) - -inst_544: -// rs1_val==3 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0x6, x1, 72, x2) - -inst_545: -// rs1_val==3 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2, 0x3, 0xaaaaaaab, x1, 76, x2) - -inst_546: -// rs1_val==3 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x3, 0x55555556, x1, 80, x2) - -inst_547: -// rs1_val==3 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0x4, x1, 84, x2) - -inst_548: -// rs1_val==3 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0xfffe, x1, 88, x2) - -inst_549: -// rs1_val==3 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0x0, x1, 92, x2) - -inst_550: -// rs1_val==3 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0xb503, x1, 96, x2) - -inst_551: -// rs1_val==3 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x3, 0x66666665, x1, 100, x2) - -inst_552: -// rs1_val==3 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0x33333332, x1, 104, x2) - -inst_553: -// rs1_val==3 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaa9, x1, 108, x2) - -inst_554: -// rs1_val==3 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0x55555554, x1, 112, x2) - -inst_555: -// rs1_val==3 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0x2, x1, 116, x2) - -inst_556: -// rs1_val==3 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0xffff, x1, 120, x2) - -inst_557: -// rs1_val==3 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0xb504, x1, 124, x2) - -inst_558: -// rs1_val==3 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x3, 0x66666666, x1, 128, x2) - -inst_559: -// rs1_val==3 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0x33333333, x1, 132, x2) - -inst_560: -// rs1_val==3 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0x5, x1, 136, x2) - -inst_561: -// rs1_val==3 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaaa, x1, 140, x2) - -inst_562: -// rs1_val==3 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0x55555555, x1, 144, x2) - -inst_563: -// rs1_val==3 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x3, 0x3, x1, 148, x2) - -inst_564: -// rs1_val==858993458 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333332, 0x5, x1, 152, x2) - -inst_565: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x33333332, 0xaaaaaaaa, x1, 156, x2) - -inst_566: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111110, 0x33333332, 0x55555555, x1, 160, x2) - -inst_567: -// rs1_val==858993458 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x33333332, 0x3, x1, 164, x2) - -inst_568: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x5555, 0x55555554, 0x10000, x1, 168, x2) - -inst_569: -// rs1_val==1431655764 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x55555554, 0x1, x1, 172, x2) - -inst_570: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0x55555554, 0xb505, x1, 176, x2) - -inst_571: -// rs2_val == (2**(xlen)-1), -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0xffffffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xfffffffe, -0x2, 0xffffffff, x1, 180, x2) - -inst_572: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x55555554, 0x66666667, x1, 184, x2) - -inst_573: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111111, 0x55555554, 0x33333334, x1, 188, x2) - -inst_574: -// rs1_val==1431655764 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x55555554, 0x6, x1, 192, x2) - -inst_575: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x38e38e38, 0x55555554, 0xaaaaaaab, x1, 196, x2) - -inst_576: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1c71c71c, 0x55555554, 0x55555556, x1, 200, x2) - -inst_577: -// rs1_val==1431655764 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x55555554, 0x4, x1, 204, x2) - -inst_578: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x5554, 0x55555554, 0xfffe, x1, 208, x2) - -inst_579: -// rs1_val==1431655764 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x55555554, 0x0, x1, 212, x2) - -inst_580: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0x55555554, 0xb503, x1, 216, x2) - -inst_581: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x55555554, 0x66666665, x1, 220, x2) - -inst_582: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111110, 0x55555554, 0x33333332, x1, 224, x2) - -inst_583: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x38e38e37, 0x55555554, 0xaaaaaaa9, x1, 228, x2) - -inst_584: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1c71c71b, 0x55555554, 0x55555554, x1, 232, x2) - -inst_585: -// rs1_val==1431655764 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x55555554, 0x2, x1, 236, x2) - -inst_586: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x5554, 0x55555554, 0xffff, x1, 240, x2) - -inst_587: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0x55555554, 0xb504, x1, 244, x2) - -inst_588: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x22222221, 0x55555554, 0x66666666, x1, 248, x2) - -inst_589: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x11111110, 0x55555554, 0x33333333, x1, 252, x2) - -inst_590: -// rs1_val==1431655764 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x55555554, 0x5, x1, 256, x2) - -inst_591: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x38e38e37, 0x55555554, 0xaaaaaaaa, x1, 260, x2) - -inst_592: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1c71c71b, 0x55555554, 0x55555555, x1, 264, x2) - -inst_593: -// rs1_val==1431655764 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x55555554, 0x3, x1, 268, x2) - -inst_594: -// rs1_val==2 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x10000, x1, 272, x2) - -inst_595: -// rs1_val==2 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x1, x1, 276, x2) - -inst_596: -// rs1_val==2 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0xb505, x1, 280, x2) - -inst_597: -// rs1_val==2 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x66666667, x1, 284, x2) - -inst_598: -// rs1_val==2 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x33333334, x1, 288, x2) - -inst_599: -// rs1_val==2 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x6, x1, 292, x2) - -inst_600: -// rs1_val==2 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaab, x1, 296, x2) - -inst_601: -// rs1_val==2 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x55555556, x1, 300, x2) - -inst_602: -// rs1_val==2 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x4, x1, 304, x2) - -inst_603: -// rs1_val==2 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0xfffe, x1, 308, x2) - -inst_604: -// rs1_val==2 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x0, x1, 312, x2) - -inst_605: -// rs1_val==2 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0xb503, x1, 316, x2) - -inst_606: -// rs1_val==2 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x66666665, x1, 320, x2) - -inst_607: -// rs1_val==2 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x33333332, x1, 324, x2) - -inst_608: -// rs1_val==2 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaa9, x1, 328, x2) - -inst_609: -// rs1_val==2 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x55555554, x1, 332, x2) - -inst_610: -// rs1_val==2 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x2, x1, 336, x2) - -inst_611: -// rs1_val==2 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0xffff, x1, 340, x2) - -inst_612: -// rs1_val==2 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0xb504, x1, 344, x2) - -inst_613: -// rs1_val==2 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x66666666, x1, 348, x2) - -inst_614: -// rs1_val==2 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x33333333, x1, 352, x2) - -inst_615: -// rs1_val==2 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x5, x1, 356, x2) - -inst_616: -// rs1_val==2 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaaa, x1, 360, x2) - -inst_617: -// rs1_val==2 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x55555555, x1, 364, x2) - -inst_618: -// rs1_val==2 and rs2_val==3, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0x2, 0x3, x1, 368, x2) - -inst_619: -// rs1_val==46340 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb504, 0x10000, x1, 372, x2) - -inst_620: -// rs1_val==46340 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb504, 0x1, x1, 376, x2) - -inst_621: -// rs1_val==46340 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb504, 0xb505, x1, 380, x2) - -inst_622: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4868, 0xb504, 0x66666667, x1, 384, x2) - -inst_623: -// rs1_val==46340 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2434, 0xb504, 0x33333334, x1, 388, x2) - -inst_624: -// rs1_val==46340 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb504, 0x6, x1, 392, x2) - -inst_625: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0x78ad, 0xb504, 0xaaaaaaab, x1, 396, x2) - -inst_626: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0xb504, 0x55555556, x1, 400, x2) - -inst_627: -// rs1_val==46340 and rs2_val==4, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb504, 0x4, x1, 404, x2) - -inst_628: -// rs1_val==46340 and rs2_val==65534, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xfffe -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb504, 0xfffe, x1, 408, x2) - -inst_629: -// rs1_val==46340 and rs2_val==0, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb504, 0x0, x1, 412, x2) - -inst_630: -// rs1_val==46340 and rs2_val==46339, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb504, 0xb503, x1, 416, x2) - -inst_631: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4867, 0xb504, 0x66666665, x1, 420, x2) - -inst_632: -// rs1_val==46340 and rs2_val==858993458, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2433, 0xb504, 0x33333332, x1, 424, x2) - -inst_633: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x78ad, 0xb504, 0xaaaaaaa9, x1, 428, x2) - -inst_634: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0xb504, 0x55555554, x1, 432, x2) - -inst_635: -// rs1_val==46340 and rs2_val==2, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb504, 0x2, x1, 436, x2) - -inst_636: -// rs1_val==46340 and rs2_val==65535, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb504, 0xffff, x1, 440, x2) - -inst_637: -// rs1_val==46340 and rs2_val==46340, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 444, x2) - -inst_638: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4867, 0xb504, 0x66666666, x1, 448, x2) - -inst_639: -// rs1_val==46340 and rs2_val==858993459, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x2433, 0xb504, 0x33333333, x1, 452, x2) - -inst_640: -// rs1_val==46340 and rs2_val==5, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x0, 0xb504, 0x5, x1, 456, x2) - -inst_641: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaaa -TEST_RR_OP(mulhsu, x12, x10, x11, 0x78ad, 0xb504, 0xaaaaaaaa, x1, 460, x2) - -inst_642: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(mulhsu, x12, x10, x11, 0x3c56, 0xb504, 0x55555555, x1, 464, x2) - -inst_643: -// rs1_val==-46340 and rs2_val==65536, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x10000 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb504, 0x10000, x1, 468, x2) - -inst_644: -// rs1_val==-46340 and rs2_val==1, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x1 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb504, 0x1, x1, 472, x2) - -inst_645: -// rs1_val==-46340 and rs2_val==46341, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb504, 0xb505, x1, 476, x2) - -inst_646: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffb797, -0xb504, 0x66666667, x1, 480, x2) - -inst_647: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffdbcb, -0xb504, 0x33333334, x1, 484, x2) - -inst_648: -// rs1_val==-46340 and rs2_val==6, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffffff, -0xb504, 0x6, x1, 488, x2) - -inst_649: -// rs1_val==-46340 and rs2_val==2863311531, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xaaaaaaab -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffff8752, -0xb504, 0xaaaaaaab, x1, 492, x2) - -inst_650: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(mulhsu, x12, x10, x11, 0xffffc3a9, -0xb504, 0x55555556, x1, 496, x2) - -inst_651: -// rs2_val == 3221225471, rs1_val == 1048576 -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0xbfffffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0xbffff, 0x100000, 0xbfffffff, x1, 500, x2) - -inst_652: -// rs2_val == 3758096383, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xdfffffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x59999997, 0x66666665, 0xdfffffff, x1, 504, x2) - -inst_653: -// rs2_val == 4160749567, -// opcode: mulhsu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xf7ffffff -TEST_RR_OP(mulhsu, x12, x10, x11, 0x4, 0x5, 0xf7ffffff, x1, 508, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 128*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/mulhu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/mulhu-01.S deleted file mode 100644 index 8b6564009..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/mulhu-01.S +++ /dev/null @@ -1,3700 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 07:22:49 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32em.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the mulhu instruction of the RISC-V M extension for the mulhu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EM") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*M.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",mulhu) - -RVTEST_SIGBASE( x8,signature_x8_1) - -inst_0: -// rs2 == rd != rs1, rs1==x10, rs2==x14, rd==x14, rs1_val != rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val == 65536, rs1_val > 0 and rs2_val > 0, rs2_val == 64 -// opcode: mulhu ; op1:x10; op2:x14; dest:x14; op1val:0x10000; op2val:0x40 -TEST_RR_OP(mulhu, x14, x10, x14, 0x0, 0x10000, 0x40, x8, 0, x4) - -inst_1: -// rs1 == rd != rs2, rs1==x6, rs2==x13, rd==x6, rs2_val == 2147483647, rs1_val == 32768 -// opcode: mulhu ; op1:x6; op2:x13; dest:x6; op1val:0x8000; op2val:0x7fffffff -TEST_RR_OP(mulhu, x6, x6, x13, 0x3fff, 0x8000, 0x7fffffff, x8, 4, x4) - -inst_2: -// rs1 == rs2 == rd, rs1==x5, rs2==x5, rd==x5, rs2_val == 3221225471, rs1_val == 4294443007 -// opcode: mulhu ; op1:x5; op2:x5; dest:x5; op1val:0xfff7ffff; op2val:0xfff7ffff -TEST_RR_OP(mulhu, x5, x5, x5, 0xfff0003e, 0xfff7ffff, 0xfff7ffff, x8, 8, x4) - -inst_3: -// rs1 == rs2 != rd, rs1==x0, rs2==x0, rd==x2, rs2_val == 3758096383, rs1_val == 524288 -// opcode: mulhu ; op1:x0; op2:x0; dest:x2; op1val:0x0; op2val:0x0 -TEST_RR_OP(mulhu, x2, x0, x0, 0x0, 0x0, 0x0, x8, 12, x4) - -inst_4: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x1, rs2==x6, rd==x13, rs2_val == 4026531839, rs1_val == 134217728 -// opcode: mulhu ; op1:x1; op2:x6; dest:x13; op1val:0x8000000; op2val:0xefffffff -TEST_RR_OP(mulhu, x13, x1, x6, 0x77fffff, 0x8000000, 0xefffffff, x8, 16, x4) - -inst_5: -// rs1==x7, rs2==x3, rd==x15, rs2_val == 4160749567, rs1_val == 32 -// opcode: mulhu ; op1:x7; op2:x3; dest:x15; op1val:0x20; op2val:0xf7ffffff -TEST_RR_OP(mulhu, x15, x7, x3, 0x1e, 0x20, 0xf7ffffff, x8, 20, x4) - -inst_6: -// rs1==x13, rs2==x11, rd==x7, rs2_val == 4227858431, rs1_val == 4294967231 -// opcode: mulhu ; op1:x13; op2:x11; dest:x7; op1val:0xffffffbf; op2val:0xfbffffff -TEST_RR_OP(mulhu, x7, x13, x11, 0xfbffffbf, 0xffffffbf, 0xfbffffff, x8, 24, x4) - -inst_7: -// rs1==x11, rs2==x1, rd==x0, rs2_val == 4261412863, -// opcode: mulhu ; op1:x11; op2:x1; dest:x0; op1val:0x55555554; op2val:0xfdffffff -TEST_RR_OP(mulhu, x0, x11, x1, 0, 0x55555554, 0xfdffffff, x8, 28, x5) - -inst_8: -// rs1==x14, rs2==x4, rd==x1, rs2_val == 4278190079, -// opcode: mulhu ; op1:x14; op2:x4; dest:x1; op1val:0xfffe; op2val:0xfeffffff -TEST_RR_OP(mulhu, x1, x14, x4, 0xfefe, 0xfffe, 0xfeffffff, x8, 32, x5) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_9: -// rs1==x15, rs2==x12, rd==x8, rs2_val == 4286578687, rs1_val == 4294967263 -// opcode: mulhu ; op1:x15; op2:x12; dest:x8; op1val:0xffffffdf; op2val:0xff7fffff -TEST_RR_OP(mulhu, x8, x15, x12, 0xff7fffde, 0xffffffdf, 0xff7fffff, x1, 0, x5) - -inst_10: -// rs1==x8, rs2==x10, rd==x9, rs2_val == 4290772991, -// opcode: mulhu ; op1:x8; op2:x10; dest:x9; op1val:0x33333332; op2val:0xffbfffff -TEST_RR_OP(mulhu, x9, x8, x10, 0x33266665, 0x33333332, 0xffbfffff, x1, 4, x5) - -inst_11: -// rs1==x3, rs2==x7, rd==x12, rs2_val == 4292870143, rs1_val == 64 -// opcode: mulhu ; op1:x3; op2:x7; dest:x12; op1val:0x40; op2val:0xffdfffff -TEST_RR_OP(mulhu, x12, x3, x7, 0x3f, 0x40, 0xffdfffff, x1, 8, x5) - -inst_12: -// rs1==x9, rs2==x8, rd==x11, rs2_val == 4293918719, rs1_val == 4227858431 -// opcode: mulhu ; op1:x9; op2:x8; dest:x11; op1val:0xfbffffff; op2val:0xffefffff -TEST_RR_OP(mulhu, x11, x9, x8, 0xfbf03ffe, 0xfbffffff, 0xffefffff, x1, 12, x5) - -inst_13: -// rs1==x12, rs2==x2, rd==x4, rs2_val == 4294443007, -// opcode: mulhu ; op1:x12; op2:x2; dest:x4; op1val:0xb503; op2val:0xfff7ffff -TEST_RR_OP(mulhu, x4, x12, x2, 0xb4fd, 0xb503, 0xfff7ffff, x1, 16, x5) - -inst_14: -// rs1==x4, rs2==x15, rd==x3, rs2_val == 4294705151, -// opcode: mulhu ; op1:x4; op2:x15; dest:x3; op1val:0x8000; op2val:0xfffbffff -TEST_RR_OP(mulhu, x3, x4, x15, 0x7ffd, 0x8000, 0xfffbffff, x1, 20, x5) - -inst_15: -// rs1==x2, rs2==x9, rd==x10, rs2_val == 4294836223, -// opcode: mulhu ; op1:x2; op2:x9; dest:x10; op1val:0x33333333; op2val:0xfffdffff -TEST_RR_OP(mulhu, x10, x2, x9, 0x3332cccc, 0x33333333, 0xfffdffff, x1, 24, x5) - -inst_16: -// rs2_val == 4294901759, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x11; op2val:0xfffeffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x10, 0x11, 0xfffeffff, x1, 28, x5) - -inst_17: -// rs2_val == 4294934527, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xffff7fff -TEST_RR_OP(mulhu, x12, x10, x11, 0xfffd, 0xfffe, 0xffff7fff, x1, 32, x5) - -inst_18: -// rs2_val == 4294950911, rs1_val == 4194304 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xffffbfff -TEST_RR_OP(mulhu, x12, x10, x11, 0x3fffef, 0x400000, 0xffffbfff, x1, 36, x5) - -inst_19: -// rs2_val == 4294959103, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x13; op2val:0xffffdfff -TEST_RR_OP(mulhu, x12, x10, x11, 0x12, 0x13, 0xffffdfff, x1, 40, x5) - -inst_20: -// rs2_val == 4294963199, rs1_val == 4286578687 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xff7fffff; op2val:0xffffefff -TEST_RR_OP(mulhu, x12, x10, x11, 0xff7ff006, 0xff7fffff, 0xffffefff, x1, 44, x5) - -inst_21: -// rs2_val == 4294965247, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffff7ff -TEST_RR_OP(mulhu, x12, x10, x11, 0x4, 0x5, 0xfffff7ff, x1, 48, x5) - -inst_22: -// rs2_val == 4294966271, rs1_val == 4294959103 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0xfffffbff -TEST_RR_OP(mulhu, x12, x10, x11, 0xffffdbfe, 0xffffdfff, 0xfffffbff, x1, 52, x5) - -inst_23: -// rs2_val == 4294966783, rs1_val == 4294934527 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff7fff; op2val:0xfffffdff -TEST_RR_OP(mulhu, x12, x10, x11, 0xffff7dfe, 0xffff7fff, 0xfffffdff, x1, 56, x5) - -inst_24: -// rs2_val == 4294967039, rs1_val == 128 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0xfffffeff -TEST_RR_OP(mulhu, x12, x10, x11, 0x7f, 0x80, 0xfffffeff, x1, 60, x5) - -inst_25: -// rs2_val == 4294967167, rs1_val == 4294965247 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff7ff; op2val:0xffffff7f -TEST_RR_OP(mulhu, x12, x10, x11, 0xfffff77e, 0xfffff7ff, 0xffffff7f, x1, 64, x5) - -inst_26: -// rs2_val == 4294967231, rs1_val == 4294966783 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffdff; op2val:0xffffffbf -TEST_RR_OP(mulhu, x12, x10, x11, 0xfffffdbe, 0xfffffdff, 0xffffffbf, x1, 68, x5) - -inst_27: -// rs2_val == 4294967263, rs1_val == 4294967039 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffeff; op2val:0xffffffdf -TEST_RR_OP(mulhu, x12, x10, x11, 0xfffffede, 0xfffffeff, 0xffffffdf, x1, 72, x5) - -inst_28: -// rs2_val == 4294967279, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x13; op2val:0xffffffef -TEST_RR_OP(mulhu, x12, x10, x11, 0x12, 0x13, 0xffffffef, x1, 76, x5) - -inst_29: -// rs2_val == 4294967287, rs1_val == 262144 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0xfffffff7 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3ffff, 0x40000, 0xfffffff7, x1, 80, x5) - -inst_30: -// rs2_val == 4294967291, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xfffffffb -TEST_RR_OP(mulhu, x12, x10, x11, 0xb504, 0xb505, 0xfffffffb, x1, 84, x5) - -inst_31: -// rs2_val == 4294967293, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdf; op2val:0xfffffffd -TEST_RR_OP(mulhu, x12, x10, x11, 0xffffffdc, 0xffffffdf, 0xfffffffd, x1, 88, x5) - -inst_32: -// rs2_val == 4294967294, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xfffffffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x1f, 0x20, 0xfffffffe, x1, 92, x5) - -inst_33: -// rs1_val == 2147483647, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0xfffbffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x7ffdfffe, 0x7fffffff, 0xfffbffff, x1, 96, x5) - -inst_34: -// rs1_val == 3221225471, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffff; op2val:0xefffffff -TEST_RR_OP(mulhu, x12, x10, x11, 0xb3fffffe, 0xbfffffff, 0xefffffff, x1, 100, x5) - -inst_35: -// rs1_val == 3758096383, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffff; op2val:0xffffefff -TEST_RR_OP(mulhu, x12, x10, x11, 0xdffff1fe, 0xdfffffff, 0xffffefff, x1, 104, x5) - -inst_36: -// rs1_val == 4026531839, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0x7fffffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x77fffffe, 0xefffffff, 0x7fffffff, x1, 108, x5) - -inst_37: -// rs1_val == 4160749567, rs2_val == 0 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xf7ffffff, 0x0, x1, 112, x5) - -inst_38: -// rs1_val == 4261412863, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffff; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0xfdfe, 0xfdffffff, 0xfffe, x1, 116, x5) - -inst_39: -// rs1_val == 4278190079, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfeffffff; op2val:0xfffeffff -TEST_RR_OP(mulhu, x12, x10, x11, 0xfeff00fe, 0xfeffffff, 0xfffeffff, x1, 120, x5) - -inst_40: -// rs1_val == 4290772991, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffff; op2val:0xfffffffb -TEST_RR_OP(mulhu, x12, x10, x11, 0xffbffffa, 0xffbfffff, 0xfffffffb, x1, 124, x5) - -inst_41: -// rs1_val == 4292870143, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0xffff7fff -TEST_RR_OP(mulhu, x12, x10, x11, 0xffdf800e, 0xffdfffff, 0xffff7fff, x1, 128, x5) - -inst_42: -// rs1_val == 4293918719, rs2_val == 16777216 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffefffff; op2val:0x1000000 -TEST_RR_OP(mulhu, x12, x10, x11, 0xffefff, 0xffefffff, 0x1000000, x1, 132, x5) - -inst_43: -// rs1_val == 4294705151, rs2_val == 8 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x8 -TEST_RR_OP(mulhu, x12, x10, x11, 0x7, 0xfffbffff, 0x8, x1, 136, x5) - -inst_44: -// rs1_val == 4294836223, rs2_val == 1048576 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffdffff; op2val:0x100000 -TEST_RR_OP(mulhu, x12, x10, x11, 0xfffdf, 0xfffdffff, 0x100000, x1, 140, x5) - -inst_45: -// rs1_val == 4294901759, rs2_val == 536870912 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffeffff; op2val:0x20000000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1fffdfff, 0xfffeffff, 0x20000000, x1, 144, x5) - -inst_46: -// rs1_val == 4294950911, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffffbfff; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xffffbfff, 0x0, x1, 148, x5) - -inst_47: -// rs1_val == 4294963199, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffffefff; op2val:0xfffffdff -TEST_RR_OP(mulhu, x12, x10, x11, 0xffffedfe, 0xffffefff, 0xfffffdff, x1, 152, x5) - -inst_48: -// rs1_val == 4294966271, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffbff; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x666664cc, 0xfffffbff, 0x66666667, x1, 156, x5) - -inst_49: -// rs1_val == 4294967167, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffffff7f; op2val:0xff7fffff -TEST_RR_OP(mulhu, x12, x10, x11, 0xff7fff7e, 0xffffff7f, 0xff7fffff, x1, 160, x5) - -inst_50: -// rs1_val == 4294967279, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffef; op2val:0x7fffffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x7ffffff6, 0xffffffef, 0x7fffffff, x1, 164, x5) - -inst_51: -// rs1_val == 4294967287, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0xffffffbf -TEST_RR_OP(mulhu, x12, x10, x11, 0xffffffb6, 0xfffffff7, 0xffffffbf, x1, 168, x5) - -inst_52: -// rs1_val == 4294967291, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffb; op2val:0x40 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3f, 0xfffffffb, 0x40, x1, 172, x5) - -inst_53: -// rs1_val == 4294967293, rs2_val == 256 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0x100 -TEST_RR_OP(mulhu, x12, x10, x11, 0xff, 0xfffffffd, 0x100, x1, 176, x5) - -inst_54: -// rs1_val == 4294967294, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0xefffffff -TEST_RR_OP(mulhu, x12, x10, x11, 0xeffffffd, 0xfffffffe, 0xefffffff, x1, 180, x5) - -inst_55: -// rs2_val == 2147483648, rs1_val == 2097152 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x80000000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x100000, 0x200000, 0x80000000, x1, 184, x5) - -inst_56: -// rs2_val == 1073741824, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x40000000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x15555555, 0x55555554, 0x40000000, x1, 188, x5) - -inst_57: -// rs2_val == 268435456, rs1_val == 2048 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x10000000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x80, 0x800, 0x10000000, x1, 192, x5) - -inst_58: -// rs2_val == 134217728, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffff; op2val:0x8000000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x7efffff, 0xfdffffff, 0x8000000, x1, 196, x5) - -inst_59: -// rs2_val == 67108864, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0x4000000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3bfffff, 0xefffffff, 0x4000000, x1, 200, x5) - -inst_60: -// rs2_val == 33554432, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdf; op2val:0x2000000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1ffffff, 0xffffffdf, 0x2000000, x1, 204, x5) - -inst_61: -// rs2_val == 8388608, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x800000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x5a, 0xb504, 0x800000, x1, 208, x5) - -inst_62: -// rs2_val == 4194304, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffffff7f; op2val:0x400000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3fffff, 0xffffff7f, 0x400000, x1, 212, x5) - -inst_63: -// rs2_val == 2097152, rs1_val == 1431655765 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x200000 -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaaaa, 0x55555555, 0x200000, x1, 216, x5) - -inst_64: -// rs2_val == 524288, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x80000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x200, 0x400000, 0x80000, x1, 220, x5) - -inst_65: -// rs2_val == 262144, rs1_val == 8388608 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x40000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x200, 0x800000, 0x40000, x1, 224, x5) - -inst_66: -// rs2_val == 131072, rs1_val == 16777216 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x20000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x200, 0x1000000, 0x20000, x1, 228, x5) - -inst_67: -// rs2_val == 65536, rs1_val == 536870912 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2000, 0x20000000, 0x10000, x1, 232, x5) - -inst_68: -// rs2_val == 32768, rs1_val == 2147483648 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x8000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4000, 0x80000000, 0x8000, x1, 236, x5) - -inst_69: -// rs2_val == 16384, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffff; op2val:0x4000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3f7f, 0xfdffffff, 0x4000, x1, 240, x5) - -inst_70: -// rs2_val == 8192, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x666, 0x33333332, 0x2000, x1, 244, x5) - -inst_71: -// rs2_val == 4096, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x555, 0x55555554, 0x1000, x1, 248, x5) - -inst_72: -// rs2_val == 2048, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffff; op2val:0x800 -TEST_RR_OP(mulhu, x12, x10, x11, 0x7fd, 0xffbfffff, 0x800, x1, 252, x5) - -inst_73: -// rs2_val == 1024, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x400 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb503, 0x400, x1, 256, x5) - -inst_74: -// rs2_val == 512, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x200 -TEST_RR_OP(mulhu, x12, x10, x11, 0xaa, 0x55555555, 0x200, x1, 260, x5) - -inst_75: -// rs2_val == 128, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffffbfff; op2val:0x80 -TEST_RR_OP(mulhu, x12, x10, x11, 0x7f, 0xffffbfff, 0x80, x1, 264, x5) - -inst_76: -// rs2_val == 32, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x20 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x8000, 0x20, x1, 268, x5) - -inst_77: -// rs2_val == 16, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb; op2val:0x10 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb, 0x10, x1, 272, x5) - -inst_78: -// rs2_val == 4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xd; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xd, 0x4, x1, 276, x5) - -inst_79: -// rs2_val == 2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffffbfff; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0xffffbfff, 0x2, x1, 280, x5) - -inst_80: -// rs2_val == 1, rs1_val==858993460 and rs2_val==1 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333334, 0x1, x1, 284, x5) - -inst_81: -// rs1_val == 1073741824, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0xd -TEST_RR_OP(mulhu, x12, x10, x11, 0x3, 0x40000000, 0xd, x1, 288, x5) - -inst_82: -// rs1_val == 268435456, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x800000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x80000, 0x10000000, 0x800000, x1, 292, x5) - -inst_83: -// rs1_val == 67108864, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4000000, 0x9, x1, 296, x5) - -inst_84: -// rs1_val == 33554432, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0xff7fffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x1feffff, 0x2000000, 0xff7fffff, x1, 300, x5) - -inst_85: -// rs1_val == 1048576, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x100000, 0x6, x1, 304, x5) - -inst_86: -// rs1_val == 131072, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x20000, 0x1, x1, 308, x5) - -inst_87: -// rs1_val == 16384, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xffdfffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x3ff7, 0x4000, 0xffdfffff, x1, 312, x5) - -inst_88: -// rs1_val == 8192, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2000, 0x10000, x1, 316, x5) - -inst_89: -// rs1_val == 4096, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x2000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1000, 0x2000, x1, 320, x5) - -inst_90: -// rs1_val == 1024, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x400, 0x3, x1, 324, x5) - -inst_91: -// rs1_val == 512, rs2_val == (2**(xlen)-1) -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0xffffffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x1ff, 0x200, 0xffffffff, x1, 328, x5) - -inst_92: -// rs1_val == 256, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x100, 0x3, x1, 332, x5) - -inst_93: -// rs1_val == 16, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0xfbffffff -TEST_RR_OP(mulhu, x12, x10, x11, 0xf, 0x10, 0xfbffffff, x1, 336, x5) - -inst_94: -// rs1_val == 8, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x8, 0x10000, x1, 340, x5) - -inst_95: -// rs1_val == 4, rs1_val==4 and rs2_val==65534 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0xfffe, x1, 344, x5) - -inst_96: -// rs1_val == 2, rs1_val==2 and rs2_val==4 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x4, x1, 348, x5) - -inst_97: -// rs1_val == 1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xfffffff7 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0xfffffff7, x1, 352, x5) - -inst_98: -// rs1_val==65536 and rs2_val==65536, rs1_val == rs2_val and rs1_val > 0 and rs2_val > 0 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x10000, 0x10000, x1, 356, x5) - -inst_99: -// rs1_val==65536 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x10000, 0x1, x1, 360, x5) - -inst_100: -// rs1_val==65536 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x10000, 0xb505, x1, 364, x5) - -inst_101: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x6666, 0x10000, 0x66666667, x1, 368, x5) - -inst_102: -// rs1_val==65536 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3333, 0x10000, 0x33333334, x1, 372, x5) - -inst_103: -// rs1_val==65536 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x10000, 0x6, x1, 376, x5) - -inst_104: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaaa, 0x10000, 0xaaaaaaab, x1, 380, x5) - -inst_105: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x5555, 0x10000, 0x55555556, x1, 384, x5) - -inst_106: -// rs1_val==65536 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x10000, 0x4, x1, 388, x5) - -inst_107: -// rs1_val==65536 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x10000, 0xfffe, x1, 392, x5) - -inst_108: -// rs1_val==65536 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x10000, 0x0, x1, 396, x5) - -inst_109: -// rs1_val==65536 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x10000, 0xb503, x1, 400, x5) - -inst_110: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x6666, 0x10000, 0x66666665, x1, 404, x5) - -inst_111: -// rs1_val==65536 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3333, 0x10000, 0x33333332, x1, 408, x5) - -inst_112: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaaa, 0x10000, 0xaaaaaaa9, x1, 412, x5) - -inst_113: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x5555, 0x10000, 0x55555554, x1, 416, x5) - -inst_114: -// rs1_val==65536 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x10000, 0x2, x1, 420, x5) - -inst_115: -// rs1_val==65536 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x10000, 0xffff, x1, 424, x5) - -inst_116: -// rs1_val==65536 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x10000, 0xb504, x1, 428, x5) - -inst_117: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x6666, 0x10000, 0x66666666, x1, 432, x5) - -inst_118: -// rs1_val==65536 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3333, 0x10000, 0x33333333, x1, 436, x5) - -inst_119: -// rs1_val==65536 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x10000, 0x5, x1, 440, x5) - -inst_120: -// rs1_val==65536 and rs2_val==2863311530, rs2_val == 2863311530 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaaa, 0x10000, 0xaaaaaaaa, x1, 444, x5) - -inst_121: -// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x5555, 0x10000, 0x55555555, x1, 448, x5) - -inst_122: -// rs1_val==65536 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x10000, 0x3, x1, 452, x5) - -inst_123: -// rs1_val==1 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x10000, x1, 456, x5) - -inst_124: -// rs1_val==1 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x1, x1, 460, x5) - -inst_125: -// rs1_val==1 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0xb505, x1, 464, x5) - -inst_126: -// rs1_val==1 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x66666667, x1, 468, x5) - -inst_127: -// rs1_val==1 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x33333334, x1, 472, x5) - -inst_128: -// rs1_val==1 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x6, x1, 476, x5) - -inst_129: -// rs1_val==1 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0xaaaaaaab, x1, 480, x5) - -inst_130: -// rs1_val==1 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x55555556, x1, 484, x5) - -inst_131: -// rs1_val==1 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x4, x1, 488, x5) - -inst_132: -// rs1_val==1 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0xfffe, x1, 492, x5) - -inst_133: -// rs1_val==1 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x0, x1, 496, x5) - -inst_134: -// rs1_val==1 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0xb503, x1, 500, x5) - -inst_135: -// rs1_val==1 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x66666665, x1, 504, x5) - -inst_136: -// rs1_val==1 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x33333332, x1, 508, x5) - -inst_137: -// rs1_val==1 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0xaaaaaaa9, x1, 512, x5) - -inst_138: -// rs1_val==1 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x55555554, x1, 516, x5) - -inst_139: -// rs1_val==1 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x2, x1, 520, x5) - -inst_140: -// rs1_val==1 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0xffff, x1, 524, x5) - -inst_141: -// rs1_val==1 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0xb504, x1, 528, x5) - -inst_142: -// rs1_val==1 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x66666666, x1, 532, x5) - -inst_143: -// rs1_val==1 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x33333333, x1, 536, x5) - -inst_144: -// rs1_val==1 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x5, x1, 540, x5) - -inst_145: -// rs1_val==1 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0xaaaaaaaa, x1, 544, x5) - -inst_146: -// rs1_val==1 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x55555555, x1, 548, x5) - -inst_147: -// rs1_val==1 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x1, 0x3, x1, 552, x5) - -inst_148: -// rs1_val==46341 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb505, 0x10000, x1, 556, x5) - -inst_149: -// rs1_val==46341 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb505, 0x1, x1, 560, x5) - -inst_150: -// rs1_val==46341 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 564, x5) - -inst_151: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4868, 0xb505, 0x66666667, x1, 568, x5) - -inst_152: -// rs1_val==46341 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2434, 0xb505, 0x33333334, x1, 572, x5) - -inst_153: -// rs1_val==46341 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb505, 0x6, x1, 576, x5) - -inst_154: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ae, 0xb505, 0xaaaaaaab, x1, 580, x5) - -inst_155: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c57, 0xb505, 0x55555556, x1, 584, x5) - -inst_156: -// rs1_val==46341 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb505, 0x4, x1, 588, x5) - -inst_157: -// rs1_val==46341 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb505, 0xfffe, x1, 592, x5) - -inst_158: -// rs1_val==46341 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb505, 0x0, x1, 596, x5) - -inst_159: -// rs1_val==46341 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb505, 0xb503, x1, 600, x5) - -inst_160: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4868, 0xb505, 0x66666665, x1, 604, x5) - -inst_161: -// rs1_val==46341 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2434, 0xb505, 0x33333332, x1, 608, x5) - -inst_162: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ad, 0xb505, 0xaaaaaaa9, x1, 612, x5) - -inst_163: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0xb505, 0x55555554, x1, 616, x5) - -inst_164: -// rs1_val==46341 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb505, 0x2, x1, 620, x5) - -inst_165: -// rs1_val==46341 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb505, 0xffff, x1, 624, x5) - -inst_166: -// rs1_val==46341 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb505, 0xb504, x1, 628, x5) - -inst_167: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4868, 0xb505, 0x66666666, x1, 632, x5) - -inst_168: -// rs1_val==46341 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2434, 0xb505, 0x33333333, x1, 636, x5) - -inst_169: -// rs1_val==46341 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb505, 0x5, x1, 640, x5) - -inst_170: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ad, 0xb505, 0xaaaaaaaa, x1, 644, x5) - -inst_171: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0xb505, 0x55555555, x1, 648, x5) - -inst_172: -// rs1_val==46341 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb505, 0x3, x1, 652, x5) - -inst_173: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x6666, 0x66666667, 0x10000, x1, 656, x5) - -inst_174: -// rs1_val==1717986919 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x66666667, 0x1, x1, 660, x5) - -inst_175: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4868, 0x66666667, 0xb505, x1, 664, x5) - -inst_176: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x28f5c28f, 0x66666667, 0x66666667, x1, 668, x5) - -inst_177: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae148, 0x66666667, 0x33333334, x1, 672, x5) - -inst_178: -// rs1_val==1717986919 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0x66666667, 0x6, x1, 676, x5) - -inst_179: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444444, 0x66666667, 0xaaaaaaab, x1, 680, x5) - -inst_180: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222222, 0x66666667, 0x55555556, x1, 684, x5) - -inst_181: -// rs1_val==1717986919 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x66666667, 0x4, x1, 688, x5) - -inst_182: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x6665, 0x66666667, 0xfffe, x1, 692, x5) - -inst_183: -// rs1_val==1717986919 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x66666667, 0x0, x1, 696, x5) - -inst_184: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4867, 0x66666667, 0xb503, x1, 700, x5) - -inst_185: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x28f5c28f, 0x66666667, 0x66666665, x1, 704, x5) - -inst_186: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae147, 0x66666667, 0x33333332, x1, 708, x5) - -inst_187: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444443, 0x66666667, 0xaaaaaaa9, x1, 712, x5) - -inst_188: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x66666667, 0x55555554, x1, 716, x5) - -inst_189: -// rs1_val==1717986919 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x66666667, 0x2, x1, 720, x5) - -inst_190: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x6666, 0x66666667, 0xffff, x1, 724, x5) - -inst_191: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4868, 0x66666667, 0xb504, x1, 728, x5) - -inst_192: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x28f5c28f, 0x66666667, 0x66666666, x1, 732, x5) - -inst_193: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae147, 0x66666667, 0x33333333, x1, 736, x5) - -inst_194: -// rs1_val==1717986919 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0x66666667, 0x5, x1, 740, x5) - -inst_195: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444444, 0x66666667, 0xaaaaaaaa, x1, 744, x5) - -inst_196: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222222, 0x66666667, 0x55555555, x1, 748, x5) - -inst_197: -// rs1_val==1717986919 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x66666667, 0x3, x1, 752, x5) - -inst_198: -// rs1_val==858993460 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3333, 0x33333334, 0x10000, x1, 756, x5) - -inst_199: -// rs1_val==858993460 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2434, 0x33333334, 0xb505, x1, 760, x5) - -inst_200: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae148, 0x33333334, 0x66666667, x1, 764, x5) - -inst_201: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0xa3d70a4, 0x33333334, 0x33333334, x1, 768, x5) - -inst_202: -// rs1_val==858993460 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x33333334, 0x6, x1, 772, x5) - -inst_203: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222222, 0x33333334, 0xaaaaaaab, x1, 776, x5) - -inst_204: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111111, 0x33333334, 0x55555556, x1, 780, x5) - -inst_205: -// rs1_val==858993460 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333334, 0x4, x1, 784, x5) - -inst_206: -// rs1_val==858993460 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x3332, 0x33333334, 0xfffe, x1, 788, x5) - -inst_207: -// rs1_val==858993460 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333334, 0x0, x1, 792, x5) - -inst_208: -// rs1_val==858993460 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2433, 0x33333334, 0xb503, x1, 796, x5) - -inst_209: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae147, 0x33333334, 0x66666665, x1, 800, x5) - -inst_210: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0xa3d70a3, 0x33333334, 0x33333332, x1, 804, x5) - -inst_211: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222222, 0x33333334, 0xaaaaaaa9, x1, 808, x5) - -inst_212: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111111, 0x33333334, 0x55555554, x1, 812, x5) - -inst_213: -// rs1_val==858993460 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333334, 0x2, x1, 816, x5) - -inst_214: -// rs1_val==858993460 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x3333, 0x33333334, 0xffff, x1, 820, x5) - -inst_215: -// rs1_val==858993460 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2434, 0x33333334, 0xb504, x1, 824, x5) - -inst_216: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae147, 0x33333334, 0x66666666, x1, 828, x5) - -inst_217: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0xa3d70a3, 0x33333334, 0x33333333, x1, 832, x5) - -inst_218: -// rs1_val==858993460 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x33333334, 0x5, x1, 836, x5) - -inst_219: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222222, 0x33333334, 0xaaaaaaaa, x1, 840, x5) - -inst_220: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111111, 0x33333334, 0x55555555, x1, 844, x5) - -inst_221: -// rs1_val==858993460 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333334, 0x3, x1, 848, x5) - -inst_222: -// rs1_val==6 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x6, 0x10000, x1, 852, x5) - -inst_223: -// rs1_val==6 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x6, 0x1, x1, 856, x5) - -inst_224: -// rs1_val==6 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x6, 0xb505, x1, 860, x5) - -inst_225: -// rs1_val==6 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0x6, 0x66666667, x1, 864, x5) - -inst_226: -// rs1_val==6 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x6, 0x33333334, x1, 868, x5) - -inst_227: -// rs1_val==6 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x6, 0x6, x1, 872, x5) - -inst_228: -// rs1_val==6 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x4, 0x6, 0xaaaaaaab, x1, 876, x5) - -inst_229: -// rs1_val==6 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0x6, 0x55555556, x1, 880, x5) - -inst_230: -// rs1_val==6 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x6, 0x4, x1, 884, x5) - -inst_231: -// rs1_val==6 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x6, 0xfffe, x1, 888, x5) - -inst_232: -// rs1_val==6 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x6, 0x0, x1, 892, x5) - -inst_233: -// rs1_val==6 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x6, 0xb503, x1, 896, x5) - -inst_234: -// rs1_val==6 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0x6, 0x66666665, x1, 900, x5) - -inst_235: -// rs1_val==6 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x6, 0x33333332, x1, 904, x5) - -inst_236: -// rs1_val==6 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3, 0x6, 0xaaaaaaa9, x1, 908, x5) - -inst_237: -// rs1_val==6 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x6, 0x55555554, x1, 912, x5) - -inst_238: -// rs1_val==6 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x6, 0x2, x1, 916, x5) - -inst_239: -// rs1_val==6 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x6, 0xffff, x1, 920, x5) - -inst_240: -// rs1_val==6 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x6, 0xb504, x1, 924, x5) - -inst_241: -// rs1_val==6 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0x6, 0x66666666, x1, 928, x5) - -inst_242: -// rs1_val==6 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x6, 0x33333333, x1, 932, x5) - -inst_243: -// rs1_val==6 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x6, 0x5, x1, 936, x5) - -inst_244: -// rs1_val==6 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x3, 0x6, 0xaaaaaaaa, x1, 940, x5) - -inst_245: -// rs1_val==6 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x6, 0x55555555, x1, 944, x5) - -inst_246: -// rs1_val==6 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x6, 0x3, x1, 948, x5) - -inst_247: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaaa, 0xaaaaaaab, 0x10000, x1, 952, x5) - -inst_248: -// rs1_val==2863311531 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x1, x1, 956, x5) - -inst_249: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ae, 0xaaaaaaab, 0xb505, x1, 960, x5) - -inst_250: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444444, 0xaaaaaaab, 0x66666667, x1, 964, x5) - -inst_251: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222222, 0xaaaaaaab, 0x33333334, x1, 968, x5) - -inst_252: -// rs1_val==2863311531 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4, 0xaaaaaaab, 0x6, x1, 972, x5) - -inst_253: -// rs1_val==2863311531 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x71c71c72, 0xaaaaaaab, 0xaaaaaaab, x1, 976, x5) - -inst_254: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e39, 0xaaaaaaab, 0x55555556, x1, 980, x5) - -inst_255: -// rs1_val==2863311531 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0xaaaaaaab, 0x4, x1, 984, x5) - -inst_256: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaa9, 0xaaaaaaab, 0xfffe, x1, 988, x5) - -inst_257: -// rs1_val==2863311531 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x0, x1, 992, x5) - -inst_258: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ac, 0xaaaaaaab, 0xb503, x1, 996, x5) - -inst_259: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444443, 0xaaaaaaab, 0x66666665, x1, 1000, x5) - -inst_260: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0xaaaaaaab, 0x33333332, x1, 1004, x5) - -inst_261: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x71c71c70, 0xaaaaaaab, 0xaaaaaaa9, x1, 1008, x5) - -inst_262: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e38, 0xaaaaaaab, 0x55555554, x1, 1012, x5) - -inst_263: -// rs1_val==2863311531 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0xaaaaaaab, 0x2, x1, 1016, x5) - -inst_264: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaaa, 0xaaaaaaab, 0xffff, x1, 1020, x5) - -inst_265: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ad, 0xaaaaaaab, 0xb504, x1, 1024, x5) - -inst_266: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444444, 0xaaaaaaab, 0x66666666, x1, 1028, x5) - -inst_267: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222222, 0xaaaaaaab, 0x33333333, x1, 1032, x5) - -inst_268: -// rs1_val==2863311531 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3, 0xaaaaaaab, 0x5, x1, 1036, x5) - -inst_269: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x71c71c71, 0xaaaaaaab, 0xaaaaaaaa, x1, 1040, x5) - -inst_270: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e38, 0xaaaaaaab, 0x55555555, x1, 1044, x5) - -inst_271: -// rs1_val==2863311531 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0xaaaaaaab, 0x3, x1, 1048, x5) - -inst_272: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x5555, 0x55555556, 0x10000, x1, 1052, x5) - -inst_273: -// rs1_val==1431655766 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x55555556, 0x1, x1, 1056, x5) - -inst_274: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c57, 0x55555556, 0xb505, x1, 1060, x5) - -inst_275: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222222, 0x55555556, 0x66666667, x1, 1064, x5) - -inst_276: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111111, 0x55555556, 0x33333334, x1, 1068, x5) - -inst_277: -// rs1_val==1431655766 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0x55555556, 0x6, x1, 1072, x5) - -inst_278: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e39, 0x55555556, 0xaaaaaaab, x1, 1076, x5) - -inst_279: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1c71c71c, 0x55555556, 0x55555556, x1, 1080, x5) - -inst_280: -// rs1_val==1431655766 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x55555556, 0x4, x1, 1084, x5) - -inst_281: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x5554, 0x55555556, 0xfffe, x1, 1088, x5) - -inst_282: -// rs1_val==1431655766 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x55555556, 0x0, x1, 1092, x5) - -inst_283: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0x55555556, 0xb503, x1, 1096, x5) - -inst_284: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x55555556, 0x66666665, x1, 1100, x5) - -inst_285: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111110, 0x55555556, 0x33333332, x1, 1104, x5) - -inst_286: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e38, 0x55555556, 0xaaaaaaa9, x1, 1108, x5) - -inst_287: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1c71c71c, 0x55555556, 0x55555554, x1, 1112, x5) - -inst_288: -// rs1_val==1431655766 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x55555556, 0x2, x1, 1116, x5) - -inst_289: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x5555, 0x55555556, 0xffff, x1, 1120, x5) - -inst_290: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0x55555556, 0xb504, x1, 1124, x5) - -inst_291: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222222, 0x55555556, 0x66666666, x1, 1128, x5) - -inst_292: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111111, 0x55555556, 0x33333333, x1, 1132, x5) - -inst_293: -// rs1_val==1431655766 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x55555556, 0x5, x1, 1136, x5) - -inst_294: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e39, 0x55555556, 0xaaaaaaaa, x1, 1140, x5) - -inst_295: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1c71c71c, 0x55555556, 0x55555555, x1, 1144, x5) - -inst_296: -// rs1_val==1431655766 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x55555556, 0x3, x1, 1148, x5) - -inst_297: -// rs1_val==4 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0x10000, x1, 1152, x5) - -inst_298: -// rs1_val==4 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0x1, x1, 1156, x5) - -inst_299: -// rs1_val==4 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0xb505, x1, 1160, x5) - -inst_300: -// rs1_val==4 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x4, 0x66666667, x1, 1164, x5) - -inst_301: -// rs1_val==4 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0x33333334, x1, 1168, x5) - -inst_302: -// rs1_val==4 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0x6, x1, 1172, x5) - -inst_303: -// rs1_val==4 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0x4, 0xaaaaaaab, x1, 1176, x5) - -inst_304: -// rs1_val==4 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x4, 0x55555556, x1, 1180, x5) - -inst_305: -// rs1_val==4 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0x4, x1, 1184, x5) - -inst_306: -// rs1_val==4 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0x0, x1, 1188, x5) - -inst_307: -// rs1_val==4 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0xb503, x1, 1192, x5) - -inst_308: -// rs1_val==4 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x4, 0x66666665, x1, 1196, x5) - -inst_309: -// rs1_val==4 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0x33333332, x1, 1200, x5) - -inst_310: -// rs1_val==4 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0x4, 0xaaaaaaa9, x1, 1204, x5) - -inst_311: -// rs1_val==4 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x4, 0x55555554, x1, 1208, x5) - -inst_312: -// rs1_val==4 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0x2, x1, 1212, x5) - -inst_313: -// rs1_val==4 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0xffff, x1, 1216, x5) - -inst_314: -// rs1_val==4 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0xb504, x1, 1220, x5) - -inst_315: -// rs1_val==4 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x4, 0x66666666, x1, 1224, x5) - -inst_316: -// rs1_val==4 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0x33333333, x1, 1228, x5) - -inst_317: -// rs1_val==4 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0x5, x1, 1232, x5) - -inst_318: -// rs1_val==4 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0x4, 0xaaaaaaaa, x1, 1236, x5) - -inst_319: -// rs1_val==4 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x4, 0x55555555, x1, 1240, x5) - -inst_320: -// rs1_val==4 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x4, 0x3, x1, 1244, x5) - -inst_321: -// rs1_val==65534 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xfffe, 0x10000, x1, 1248, x5) - -inst_322: -// rs1_val==65534 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xfffe, 0x1, x1, 1252, x5) - -inst_323: -// rs1_val==65534 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xfffe, 0xb505, x1, 1256, x5) - -inst_324: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x6665, 0xfffe, 0x66666667, x1, 1260, x5) - -inst_325: -// rs1_val==65534 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3332, 0xfffe, 0x33333334, x1, 1264, x5) - -inst_326: -// rs1_val==65534 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xfffe, 0x6, x1, 1268, x5) - -inst_327: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaa9, 0xfffe, 0xaaaaaaab, x1, 1272, x5) - -inst_328: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x5554, 0xfffe, 0x55555556, x1, 1276, x5) - -inst_329: -// rs1_val==65534 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xfffe, 0x4, x1, 1280, x5) - -inst_330: -// rs1_val==65534 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xfffe, 0xfffe, x1, 1284, x5) - -inst_331: -// rs1_val==65534 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xfffe, 0x0, x1, 1288, x5) - -inst_332: -// rs1_val==65534 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xfffe, 0xb503, x1, 1292, x5) - -inst_333: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x6665, 0xfffe, 0x66666665, x1, 1296, x5) - -inst_334: -// rs1_val==65534 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3332, 0xfffe, 0x33333332, x1, 1300, x5) - -inst_335: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaa9, 0xfffe, 0xaaaaaaa9, x1, 1304, x5) - -inst_336: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x5554, 0xfffe, 0x55555554, x1, 1308, x5) - -inst_337: -// rs1_val==65534 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xfffe, 0x2, x1, 1312, x5) - -inst_338: -// rs1_val==65534 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xfffe, 0xffff, x1, 1316, x5) - -inst_339: -// rs1_val==65534 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xfffe, 0xb504, x1, 1320, x5) - -inst_340: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x6665, 0xfffe, 0x66666666, x1, 1324, x5) - -inst_341: -// rs1_val==65534 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3332, 0xfffe, 0x33333333, x1, 1328, x5) - -inst_342: -// rs1_val==65534 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xfffe, 0x5, x1, 1332, x5) - -inst_343: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaa9, 0xfffe, 0xaaaaaaaa, x1, 1336, x5) - -inst_344: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x5554, 0xfffe, 0x55555555, x1, 1340, x5) - -inst_345: -// rs1_val==65534 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xfffe, 0x3, x1, 1344, x5) - -inst_346: -// rs1_val==0 and rs2_val==65536, rs1_val == 0 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x10000, x1, 1348, x5) - -inst_347: -// rs1_val==0 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x1, x1, 1352, x5) - -inst_348: -// rs1_val==0 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0xb505, x1, 1356, x5) - -inst_349: -// rs1_val==0 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x66666667, x1, 1360, x5) - -inst_350: -// rs1_val==0 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x33333334, x1, 1364, x5) - -inst_351: -// rs1_val==0 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x6, x1, 1368, x5) - -inst_352: -// rs1_val==0 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0xaaaaaaab, x1, 1372, x5) - -inst_353: -// rs1_val==0 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x55555556, x1, 1376, x5) - -inst_354: -// rs1_val==0 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x4, x1, 1380, x5) - -inst_355: -// rs1_val==0 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0xfffe, x1, 1384, x5) - -inst_356: -// rs1_val==0 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1388, x5) - -inst_357: -// rs1_val==0 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0xb503, x1, 1392, x5) - -inst_358: -// rs1_val==0 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x66666665, x1, 1396, x5) - -inst_359: -// rs1_val==0 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x33333332, x1, 1400, x5) - -inst_360: -// rs1_val==0 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0xaaaaaaa9, x1, 1404, x5) - -inst_361: -// rs1_val==0 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x55555554, x1, 1408, x5) - -inst_362: -// rs1_val==0 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x2, x1, 1412, x5) - -inst_363: -// rs1_val==0 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0xffff, x1, 1416, x5) - -inst_364: -// rs1_val==0 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0xb504, x1, 1420, x5) - -inst_365: -// rs1_val==0 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x66666666, x1, 1424, x5) - -inst_366: -// rs1_val==0 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x33333333, x1, 1428, x5) - -inst_367: -// rs1_val==0 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x5, x1, 1432, x5) - -inst_368: -// rs1_val==0 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0xaaaaaaaa, x1, 1436, x5) - -inst_369: -// rs1_val==0 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x55555555, x1, 1440, x5) - -inst_370: -// rs1_val==0 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x0, 0x3, x1, 1444, x5) - -inst_371: -// rs1_val==46339 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb503, 0x10000, x1, 1448, x5) - -inst_372: -// rs1_val==46339 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb503, 0x1, x1, 1452, x5) - -inst_373: -// rs1_val==46339 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb503, 0xb505, x1, 1456, x5) - -inst_374: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4867, 0xb503, 0x66666667, x1, 1460, x5) - -inst_375: -// rs1_val==46339 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2433, 0xb503, 0x33333334, x1, 1464, x5) - -inst_376: -// rs1_val==46339 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb503, 0x6, x1, 1468, x5) - -inst_377: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ac, 0xb503, 0xaaaaaaab, x1, 1472, x5) - -inst_378: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0xb503, 0x55555556, x1, 1476, x5) - -inst_379: -// rs1_val==46339 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb503, 0x4, x1, 1480, x5) - -inst_380: -// rs1_val==46339 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb503, 0xfffe, x1, 1484, x5) - -inst_381: -// rs1_val==46339 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb503, 0x0, x1, 1488, x5) - -inst_382: -// rs1_val==46339 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1492, x5) - -inst_383: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4867, 0xb503, 0x66666665, x1, 1496, x5) - -inst_384: -// rs1_val==46339 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2433, 0xb503, 0x33333332, x1, 1500, x5) - -inst_385: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ac, 0xb503, 0xaaaaaaa9, x1, 1504, x5) - -inst_386: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0xb503, 0x55555554, x1, 1508, x5) - -inst_387: -// rs1_val==46339 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb503, 0x2, x1, 1512, x5) - -inst_388: -// rs1_val==46339 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb503, 0xffff, x1, 1516, x5) - -inst_389: -// rs1_val==46339 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb503, 0xb504, x1, 1520, x5) - -inst_390: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4867, 0xb503, 0x66666666, x1, 1524, x5) - -inst_391: -// rs1_val==46339 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2433, 0xb503, 0x33333333, x1, 1528, x5) - -inst_392: -// rs1_val==46339 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb503, 0x5, x1, 1532, x5) - -inst_393: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ac, 0xb503, 0xaaaaaaaa, x1, 1536, x5) - -inst_394: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0xb503, 0x55555555, x1, 1540, x5) - -inst_395: -// rs1_val==46339 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb503, 0x3, x1, 1544, x5) - -inst_396: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x6666, 0x66666665, 0x10000, x1, 1548, x5) - -inst_397: -// rs1_val==1717986917 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x66666665, 0x1, x1, 1552, x5) - -inst_398: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4868, 0x66666665, 0xb505, x1, 1556, x5) - -inst_399: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x28f5c28f, 0x66666665, 0x66666667, x1, 1560, x5) - -inst_400: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae147, 0x66666665, 0x33333334, x1, 1564, x5) - -inst_401: -// rs1_val==1717986917 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0x66666665, 0x6, x1, 1568, x5) - -inst_402: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444443, 0x66666665, 0xaaaaaaab, x1, 1572, x5) - -inst_403: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x66666665, 0x55555556, x1, 1576, x5) - -inst_404: -// rs1_val==1717986917 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x66666665, 0x4, x1, 1580, x5) - -inst_405: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x6665, 0x66666665, 0xfffe, x1, 1584, x5) - -inst_406: -// rs1_val==1717986917 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x66666665, 0x0, x1, 1588, x5) - -inst_407: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4867, 0x66666665, 0xb503, x1, 1592, x5) - -inst_408: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x28f5c28e, 0x66666665, 0x66666665, x1, 1596, x5) - -inst_409: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae146, 0x66666665, 0x33333332, x1, 1600, x5) - -inst_410: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444442, 0x66666665, 0xaaaaaaa9, x1, 1604, x5) - -inst_411: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x66666665, 0x55555554, x1, 1608, x5) - -inst_412: -// rs1_val==1717986917 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x66666665, 0x2, x1, 1612, x5) - -inst_413: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x6665, 0x66666665, 0xffff, x1, 1616, x5) - -inst_414: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4867, 0x66666665, 0xb504, x1, 1620, x5) - -inst_415: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x28f5c28e, 0x66666665, 0x66666666, x1, 1624, x5) - -inst_416: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae147, 0x66666665, 0x33333333, x1, 1628, x5) - -inst_417: -// rs1_val==1717986917 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x66666665, 0x5, x1, 1632, x5) - -inst_418: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444443, 0x66666665, 0xaaaaaaaa, x1, 1636, x5) - -inst_419: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x66666665, 0x55555555, x1, 1640, x5) - -inst_420: -// rs1_val==1717986917 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x66666665, 0x3, x1, 1644, x5) - -inst_421: -// rs1_val==858993458 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3333, 0x33333332, 0x10000, x1, 1648, x5) - -inst_422: -// rs1_val==858993458 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333332, 0x1, x1, 1652, x5) - -inst_423: -// rs1_val==858993458 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2434, 0x33333332, 0xb505, x1, 1656, x5) - -inst_424: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae147, 0x33333332, 0x66666667, x1, 1660, x5) - -inst_425: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0xa3d70a3, 0x33333332, 0x33333334, x1, 1664, x5) - -inst_426: -// rs1_val==858993458 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x33333332, 0x6, x1, 1668, x5) - -inst_427: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x33333332, 0xaaaaaaab, x1, 1672, x5) - -inst_428: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111110, 0x33333332, 0x55555556, x1, 1676, x5) - -inst_429: -// rs1_val==858993458 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333332, 0x4, x1, 1680, x5) - -inst_430: -// rs1_val==858993458 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x3332, 0x33333332, 0xfffe, x1, 1684, x5) - -inst_431: -// rs1_val==858993458 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333332, 0x0, x1, 1688, x5) - -inst_432: -// rs1_val==858993458 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2433, 0x33333332, 0xb503, x1, 1692, x5) - -inst_433: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae146, 0x33333332, 0x66666665, x1, 1696, x5) - -inst_434: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0xa3d70a3, 0x33333332, 0x33333332, x1, 1700, x5) - -inst_435: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x33333332, 0xaaaaaaa9, x1, 1704, x5) - -inst_436: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111110, 0x33333332, 0x55555554, x1, 1708, x5) - -inst_437: -// rs1_val==858993458 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333332, 0x2, x1, 1712, x5) - -inst_438: -// rs1_val==858993458 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x3332, 0x33333332, 0xffff, x1, 1716, x5) - -inst_439: -// rs1_val==858993458 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2433, 0x33333332, 0xb504, x1, 1720, x5) - -inst_440: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae147, 0x33333332, 0x66666666, x1, 1724, x5) - -inst_441: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0xa3d70a3, 0x33333332, 0x33333333, x1, 1728, x5) - -inst_442: -// rs1_val==858993458 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333332, 0x5, x1, 1732, x5) - -inst_443: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x33333332, 0xaaaaaaaa, x1, 1736, x5) - -inst_444: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111110, 0x33333332, 0x55555555, x1, 1740, x5) - -inst_445: -// rs1_val==858993458 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333332, 0x3, x1, 1744, x5) - -inst_446: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaaa, 0xaaaaaaa9, 0x10000, x1, 1748, x5) - -inst_447: -// rs1_val==2863311529 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x1, x1, 1752, x5) - -inst_448: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ad, 0xaaaaaaa9, 0xb505, x1, 1756, x5) - -inst_449: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444443, 0xaaaaaaa9, 0x66666667, x1, 1760, x5) - -inst_450: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222222, 0xaaaaaaa9, 0x33333334, x1, 1764, x5) - -inst_451: -// rs1_val==2863311529 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3, 0xaaaaaaa9, 0x6, x1, 1768, x5) - -inst_452: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x71c71c70, 0xaaaaaaa9, 0xaaaaaaab, x1, 1772, x5) - -inst_453: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e38, 0xaaaaaaa9, 0x55555556, x1, 1776, x5) - -inst_454: -// rs1_val==2863311529 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0xaaaaaaa9, 0x4, x1, 1780, x5) - -inst_455: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaa9, 0xaaaaaaa9, 0xfffe, x1, 1784, x5) - -inst_456: -// rs1_val==2863311529 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x0, x1, 1788, x5) - -inst_457: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ac, 0xaaaaaaa9, 0xb503, x1, 1792, x5) - -inst_458: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444442, 0xaaaaaaa9, 0x66666665, x1, 1796, x5) - -inst_459: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0xaaaaaaa9, 0x33333332, x1, 1800, x5) - -inst_460: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x71c71c6f, 0xaaaaaaa9, 0xaaaaaaa9, x1, 1804, x5) - -inst_461: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e37, 0xaaaaaaa9, 0x55555554, x1, 1808, x5) - -inst_462: -// rs1_val==2863311529 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0x2, x1, 1812, x5) - -inst_463: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaa9, 0xaaaaaaa9, 0xffff, x1, 1816, x5) - -inst_464: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ad, 0xaaaaaaa9, 0xb504, x1, 1820, x5) - -inst_465: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444443, 0xaaaaaaa9, 0x66666666, x1, 1824, x5) - -inst_466: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0xaaaaaaa9, 0x33333333, x1, 1828, x5) - -inst_467: -// rs1_val==1431655764 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x55555554, 0x4, x1, 1832, x5) - -inst_468: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x5554, 0x55555554, 0xfffe, x1, 1836, x5) - -inst_469: -// rs1_val==1431655764 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x55555554, 0x0, x1, 1840, x5) - -inst_470: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0x55555554, 0xb503, x1, 1844, x5) - -inst_471: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x55555554, 0x66666665, x1, 1848, x5) - -inst_472: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111110, 0x55555554, 0x33333332, x1, 1852, x5) - -inst_473: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e37, 0x55555554, 0xaaaaaaa9, x1, 1856, x5) - -inst_474: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1c71c71b, 0x55555554, 0x55555554, x1, 1860, x5) - -inst_475: -// rs1_val==1431655764 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x55555554, 0x2, x1, 1864, x5) - -inst_476: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x5554, 0x55555554, 0xffff, x1, 1868, x5) - -inst_477: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0x55555554, 0xb504, x1, 1872, x5) - -inst_478: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x55555554, 0x66666666, x1, 1876, x5) - -inst_479: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111110, 0x55555554, 0x33333333, x1, 1880, x5) - -inst_480: -// rs1_val==1431655764 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x55555554, 0x5, x1, 1884, x5) - -inst_481: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e37, 0x55555554, 0xaaaaaaaa, x1, 1888, x5) - -inst_482: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1c71c71b, 0x55555554, 0x55555555, x1, 1892, x5) - -inst_483: -// rs1_val==1431655764 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x55555554, 0x3, x1, 1896, x5) - -inst_484: -// rs1_val==2 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x10000, x1, 1900, x5) - -inst_485: -// rs1_val==2 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x1, x1, 1904, x5) - -inst_486: -// rs1_val==2 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0xb505, x1, 1908, x5) - -inst_487: -// rs1_val==2 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x66666667, x1, 1912, x5) - -inst_488: -// rs1_val==2 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x33333334, x1, 1916, x5) - -inst_489: -// rs1_val==2 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x6, x1, 1920, x5) - -inst_490: -// rs1_val==2 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaab, x1, 1924, x5) - -inst_491: -// rs1_val==2 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x55555556, x1, 1928, x5) - -inst_492: -// rs1_val==2 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0xfffe, x1, 1932, x5) - -inst_493: -// rs1_val==2 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x0, x1, 1936, x5) - -inst_494: -// rs1_val==2 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0xb503, x1, 1940, x5) - -inst_495: -// rs1_val==2 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x66666665, x1, 1944, x5) - -inst_496: -// rs1_val==2 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x33333332, x1, 1948, x5) - -inst_497: -// rs1_val==2 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaa9, x1, 1952, x5) - -inst_498: -// rs1_val==2 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x55555554, x1, 1956, x5) - -inst_499: -// rs1_val==2 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x2, x1, 1960, x5) - -inst_500: -// rs1_val==2 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0xffff, x1, 1964, x5) - -inst_501: -// rs1_val==2 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0xb504, x1, 1968, x5) - -inst_502: -// rs1_val==2 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x66666666, x1, 1972, x5) - -inst_503: -// rs1_val==2 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x33333333, x1, 1976, x5) - -inst_504: -// rs1_val==2 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x5, x1, 1980, x5) - -inst_505: -// rs1_val==2 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaaa, x1, 1984, x5) - -inst_506: -// rs1_val==2 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x55555555, x1, 1988, x5) - -inst_507: -// rs1_val==2 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x2, 0x3, x1, 1992, x5) - -inst_508: -// rs1_val==65535 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xffff, 0x10000, x1, 1996, x5) - -inst_509: -// rs1_val==65535 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xffff, 0x1, x1, 2000, x5) - -inst_510: -// rs1_val==65535 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xffff, 0xb505, x1, 2004, x5) - -inst_511: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x6666, 0xffff, 0x66666667, x1, 2008, x5) - -inst_512: -// rs1_val==65535 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3333, 0xffff, 0x33333334, x1, 2012, x5) - -inst_513: -// rs1_val==65535 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xffff, 0x6, x1, 2016, x5) - -inst_514: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaaa, 0xffff, 0xaaaaaaab, x1, 2020, x5) - -inst_515: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x5555, 0xffff, 0x55555556, x1, 2024, x5) - -inst_516: -// rs1_val==65535 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xffff, 0x4, x1, 2028, x5) - -inst_517: -// rs1_val==65535 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xffff, 0xfffe, x1, 2032, x5) - -inst_518: -// rs1_val==65535 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xffff, 0x0, x1, 2036, x5) - -inst_519: -// rs1_val==65535 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xffff, 0xb503, x1, 2040, x5) - -inst_520: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x6665, 0xffff, 0x66666665, x1, 2044, x5) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_521: -// rs1_val==65535 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3332, 0xffff, 0x33333332, x1, 0, x5) - -inst_522: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaa9, 0xffff, 0xaaaaaaa9, x1, 4, x5) - -inst_523: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x5554, 0xffff, 0x55555554, x1, 8, x5) - -inst_524: -// rs1_val==65535 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xffff, 0x2, x1, 12, x5) - -inst_525: -// rs1_val==65535 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xffff, 0xffff, x1, 16, x5) - -inst_526: -// rs1_val==65535 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xffff, 0xb504, x1, 20, x5) - -inst_527: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x6665, 0xffff, 0x66666666, x1, 24, x5) - -inst_528: -// rs1_val==65535 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3332, 0xffff, 0x33333333, x1, 28, x5) - -inst_529: -// rs1_val==65535 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xffff, 0x5, x1, 32, x5) - -inst_530: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaa9, 0xffff, 0xaaaaaaaa, x1, 36, x5) - -inst_531: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x5554, 0xffff, 0x55555555, x1, 40, x5) - -inst_532: -// rs1_val==65535 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xffff, 0x3, x1, 44, x5) - -inst_533: -// rs1_val==46340 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb504, 0x10000, x1, 48, x5) - -inst_534: -// rs1_val==46340 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb504, 0x1, x1, 52, x5) - -inst_535: -// rs1_val==46340 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb504, 0xb505, x1, 56, x5) - -inst_536: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4868, 0xb504, 0x66666667, x1, 60, x5) - -inst_537: -// rs1_val==46340 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2434, 0xb504, 0x33333334, x1, 64, x5) - -inst_538: -// rs1_val==46340 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb504, 0x6, x1, 68, x5) - -inst_539: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ad, 0xb504, 0xaaaaaaab, x1, 72, x5) - -inst_540: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0xb504, 0x55555556, x1, 76, x5) - -inst_541: -// rs1_val==46340 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb504, 0x4, x1, 80, x5) - -inst_542: -// rs1_val==46340 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb504, 0xfffe, x1, 84, x5) - -inst_543: -// rs1_val==46340 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb504, 0x0, x1, 88, x5) - -inst_544: -// rs1_val==46340 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb504, 0xb503, x1, 92, x5) - -inst_545: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4867, 0xb504, 0x66666665, x1, 96, x5) - -inst_546: -// rs1_val==46340 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2433, 0xb504, 0x33333332, x1, 100, x5) - -inst_547: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ad, 0xb504, 0xaaaaaaa9, x1, 104, x5) - -inst_548: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0xb504, 0x55555554, x1, 108, x5) - -inst_549: -// rs1_val==46340 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb504, 0x2, x1, 112, x5) - -inst_550: -// rs1_val==46340 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb504, 0xffff, x1, 116, x5) - -inst_551: -// rs1_val==46340 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 120, x5) - -inst_552: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4867, 0xb504, 0x66666666, x1, 124, x5) - -inst_553: -// rs1_val==46340 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2433, 0xb504, 0x33333333, x1, 128, x5) - -inst_554: -// rs1_val==46340 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb504, 0x5, x1, 132, x5) - -inst_555: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ad, 0xb504, 0xaaaaaaaa, x1, 136, x5) - -inst_556: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0xb504, 0x55555555, x1, 140, x5) - -inst_557: -// rs1_val==46340 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xb504, 0x3, x1, 144, x5) - -inst_558: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x6666, 0x66666666, 0x10000, x1, 148, x5) - -inst_559: -// rs1_val==1717986918 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x66666666, 0x1, x1, 152, x5) - -inst_560: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4868, 0x66666666, 0xb505, x1, 156, x5) - -inst_561: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x28f5c28f, 0x66666666, 0x66666667, x1, 160, x5) - -inst_562: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae147, 0x66666666, 0x33333334, x1, 164, x5) - -inst_563: -// rs1_val==1717986918 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0x66666666, 0x6, x1, 168, x5) - -inst_564: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444444, 0x66666666, 0xaaaaaaab, x1, 172, x5) - -inst_565: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222222, 0x66666666, 0x55555556, x1, 176, x5) - -inst_566: -// rs1_val==1717986918 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x66666666, 0x4, x1, 180, x5) - -inst_567: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x6665, 0x66666666, 0xfffe, x1, 184, x5) - -inst_568: -// rs1_val==1717986918 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x66666666, 0x0, x1, 188, x5) - -inst_569: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4867, 0x66666666, 0xb503, x1, 192, x5) - -inst_570: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x28f5c28e, 0x66666666, 0x66666665, x1, 196, x5) - -inst_571: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae147, 0x66666666, 0x33333332, x1, 200, x5) - -inst_572: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444443, 0x66666666, 0xaaaaaaa9, x1, 204, x5) - -inst_573: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x66666666, 0x55555554, x1, 208, x5) - -inst_574: -// rs1_val==1717986918 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x66666666, 0x2, x1, 212, x5) - -inst_575: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x6665, 0x66666666, 0xffff, x1, 216, x5) - -inst_576: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x4867, 0x66666666, 0xb504, x1, 220, x5) - -inst_577: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x28f5c28f, 0x66666666, 0x66666666, x1, 224, x5) - -inst_578: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae147, 0x66666666, 0x33333333, x1, 228, x5) - -inst_579: -// rs1_val==1717986918 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x66666666, 0x5, x1, 232, x5) - -inst_580: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444443, 0x66666666, 0xaaaaaaaa, x1, 236, x5) - -inst_581: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x66666666, 0x55555555, x1, 240, x5) - -inst_582: -// rs1_val==1717986918 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x66666666, 0x3, x1, 244, x5) - -inst_583: -// rs1_val==858993459 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3333, 0x33333333, 0x10000, x1, 248, x5) - -inst_584: -// rs1_val==858993459 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333333, 0x1, x1, 252, x5) - -inst_585: -// rs1_val==858993459 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2434, 0x33333333, 0xb505, x1, 256, x5) - -inst_586: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae147, 0x33333333, 0x66666667, x1, 260, x5) - -inst_587: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0xa3d70a3, 0x33333333, 0x33333334, x1, 264, x5) - -inst_588: -// rs1_val==858993459 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x33333333, 0x6, x1, 268, x5) - -inst_589: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222222, 0x33333333, 0xaaaaaaab, x1, 272, x5) - -inst_590: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111111, 0x33333333, 0x55555556, x1, 276, x5) - -inst_591: -// rs1_val==858993459 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333333, 0x4, x1, 280, x5) - -inst_592: -// rs1_val==858993459 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x3332, 0x33333333, 0xfffe, x1, 284, x5) - -inst_593: -// rs1_val==858993459 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333333, 0x0, x1, 288, x5) - -inst_594: -// rs1_val==858993459 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2433, 0x33333333, 0xb503, x1, 292, x5) - -inst_595: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x5555, 0x55555554, 0x10000, x1, 296, x5) - -inst_596: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae147, 0x33333333, 0x66666665, x1, 300, x5) - -inst_597: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0xa3d70a3, 0x33333333, 0x33333332, x1, 304, x5) - -inst_598: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x55555554, 0x66666667, x1, 308, x5) - -inst_599: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x33333333, 0xaaaaaaa9, x1, 312, x5) - -inst_600: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111110, 0x33333333, 0x55555554, x1, 316, x5) - -inst_601: -// rs1_val==858993459 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333333, 0x2, x1, 320, x5) - -inst_602: -// rs1_val==858993459 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x3332, 0x33333333, 0xffff, x1, 324, x5) - -inst_603: -// rs1_val==858993459 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2433, 0x33333333, 0xb504, x1, 328, x5) - -inst_604: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x147ae147, 0x33333333, 0x66666666, x1, 332, x5) - -inst_605: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0xa3d70a3, 0x33333333, 0x33333333, x1, 336, x5) - -inst_606: -// rs1_val==858993459 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333333, 0x5, x1, 340, x5) - -inst_607: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x33333333, 0xaaaaaaaa, x1, 344, x5) - -inst_608: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111110, 0x33333333, 0x55555555, x1, 348, x5) - -inst_609: -// rs1_val==858993459 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x33333333, 0x3, x1, 352, x5) - -inst_610: -// rs1_val==5 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0x10000, x1, 356, x5) - -inst_611: -// rs1_val==5 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0x1, x1, 360, x5) - -inst_612: -// rs1_val==5 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0xb505, x1, 364, x5) - -inst_613: -// rs1_val==5 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0x5, 0x66666667, x1, 368, x5) - -inst_614: -// rs1_val==5 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x5, 0x33333334, x1, 372, x5) - -inst_615: -// rs1_val==5 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0x6, x1, 376, x5) - -inst_616: -// rs1_val==5 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x3, 0x5, 0xaaaaaaab, x1, 380, x5) - -inst_617: -// rs1_val==5 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x5, 0x55555556, x1, 384, x5) - -inst_618: -// rs1_val==5 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0x4, x1, 388, x5) - -inst_619: -// rs1_val==5 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0xfffe, x1, 392, x5) - -inst_620: -// rs1_val==5 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0x0, x1, 396, x5) - -inst_621: -// rs1_val==5 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0xb503, x1, 400, x5) - -inst_622: -// rs1_val==5 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x5, 0x66666665, x1, 404, x5) - -inst_623: -// rs1_val==5 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0x33333332, x1, 408, x5) - -inst_624: -// rs1_val==5 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3, 0x5, 0xaaaaaaa9, x1, 412, x5) - -inst_625: -// rs1_val==5 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x5, 0x55555554, x1, 416, x5) - -inst_626: -// rs1_val==5 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0x2, x1, 420, x5) - -inst_627: -// rs1_val==5 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0xffff, x1, 424, x5) - -inst_628: -// rs1_val==5 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0xb504, x1, 428, x5) - -inst_629: -// rs1_val==5 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x5, 0x66666666, x1, 432, x5) - -inst_630: -// rs1_val==5 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0x33333333, x1, 436, x5) - -inst_631: -// rs1_val==5 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0x5, x1, 440, x5) - -inst_632: -// rs1_val==5 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x3, 0x5, 0xaaaaaaaa, x1, 444, x5) - -inst_633: -// rs1_val==5 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x5, 0x55555555, x1, 448, x5) - -inst_634: -// rs1_val==5 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x5, 0x3, x1, 452, x5) - -inst_635: -// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaaa, 0xaaaaaaaa, 0x10000, x1, 456, x5) - -inst_636: -// rs1_val==2863311530 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x1, x1, 460, x5) - -inst_637: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ad, 0xaaaaaaaa, 0xb505, x1, 464, x5) - -inst_638: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444444, 0xaaaaaaaa, 0x66666667, x1, 468, x5) - -inst_639: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222222, 0xaaaaaaaa, 0x33333334, x1, 472, x5) - -inst_640: -// rs1_val==2863311530 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3, 0xaaaaaaaa, 0x6, x1, 476, x5) - -inst_641: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x71c71c71, 0xaaaaaaaa, 0xaaaaaaab, x1, 480, x5) - -inst_642: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e39, 0xaaaaaaaa, 0x55555556, x1, 484, x5) - -inst_643: -// rs1_val==2863311530 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0xaaaaaaaa, 0x4, x1, 488, x5) - -inst_644: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaa9, 0xaaaaaaaa, 0xfffe, x1, 492, x5) - -inst_645: -// rs1_val==2863311530 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x0, x1, 496, x5) - -inst_646: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ac, 0xaaaaaaaa, 0xb503, x1, 500, x5) - -inst_647: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444443, 0xaaaaaaaa, 0x66666665, x1, 504, x5) - -inst_648: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0xaaaaaaaa, 0x33333332, x1, 508, x5) - -inst_649: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x71c71c70, 0xaaaaaaaa, 0xaaaaaaa9, x1, 512, x5) - -inst_650: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e37, 0xaaaaaaaa, 0x55555554, x1, 516, x5) - -inst_651: -// rs1_val==2863311530 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0x2, x1, 520, x5) - -inst_652: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0xaaa9, 0xaaaaaaaa, 0xffff, x1, 524, x5) - -inst_653: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x78ad, 0xaaaaaaaa, 0xb504, x1, 528, x5) - -inst_654: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x44444443, 0xaaaaaaaa, 0x66666666, x1, 532, x5) - -inst_655: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0xaaaaaaaa, 0x33333333, x1, 536, x5) - -inst_656: -// rs1_val==2863311530 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3, 0xaaaaaaaa, 0x5, x1, 540, x5) - -inst_657: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x71c71c70, 0xaaaaaaaa, 0xaaaaaaaa, x1, 544, x5) - -inst_658: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e38, 0xaaaaaaaa, 0x55555555, x1, 548, x5) - -inst_659: -// rs1_val==2863311530 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0x3, x1, 552, x5) - -inst_660: -// rs1_val==1431655765 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x5555, 0x55555555, 0x10000, x1, 556, x5) - -inst_661: -// rs1_val==1431655765 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x55555555, 0x1, x1, 560, x5) - -inst_662: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0x55555555, 0xb505, x1, 564, x5) - -inst_663: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222222, 0x55555555, 0x66666667, x1, 568, x5) - -inst_664: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111111, 0x55555555, 0x33333334, x1, 572, x5) - -inst_665: -// rs1_val==1431655765 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x55555555, 0x6, x1, 576, x5) - -inst_666: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e38, 0x55555555, 0xaaaaaaab, x1, 580, x5) - -inst_667: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1c71c71c, 0x55555555, 0x55555556, x1, 584, x5) - -inst_668: -// rs1_val==1431655765 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x55555555, 0x4, x1, 588, x5) - -inst_669: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x5554, 0x55555555, 0xfffe, x1, 592, x5) - -inst_670: -// rs1_val==1431655765 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x55555555, 0x0, x1, 596, x5) - -inst_671: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0x55555555, 0xb503, x1, 600, x5) - -inst_672: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x55555555, 0x66666665, x1, 604, x5) - -inst_673: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111110, 0x55555555, 0x33333332, x1, 608, x5) - -inst_674: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e38, 0x55555555, 0xaaaaaaa9, x1, 612, x5) - -inst_675: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1c71c71b, 0x55555555, 0x55555554, x1, 616, x5) - -inst_676: -// rs1_val==1431655765 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x55555555, 0x2, x1, 620, x5) - -inst_677: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x5554, 0x55555555, 0xffff, x1, 624, x5) - -inst_678: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0x55555555, 0xb504, x1, 628, x5) - -inst_679: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x22222221, 0x55555555, 0x66666666, x1, 632, x5) - -inst_680: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111110, 0x55555555, 0x33333333, x1, 636, x5) - -inst_681: -// rs1_val==1431655765 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x55555555, 0x5, x1, 640, x5) - -inst_682: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e38, 0x55555555, 0xaaaaaaaa, x1, 644, x5) - -inst_683: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1c71c71c, 0x55555555, 0x55555555, x1, 648, x5) - -inst_684: -// rs1_val==1431655765 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x55555555, 0x3, x1, 652, x5) - -inst_685: -// rs1_val==3 and rs2_val==65536, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x10000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0x10000, x1, 656, x5) - -inst_686: -// rs1_val==3 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0x1, x1, 660, x5) - -inst_687: -// rs1_val==3 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0xb505, x1, 664, x5) - -inst_688: -// rs1_val==3 and rs2_val==1717986919, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x3, 0x66666667, x1, 668, x5) - -inst_689: -// rs1_val==3 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0x33333334, x1, 672, x5) - -inst_690: -// rs1_val==3 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0x6, x1, 676, x5) - -inst_691: -// rs1_val==3 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x2, 0x3, 0xaaaaaaab, x1, 680, x5) - -inst_692: -// rs1_val==3 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x3, 0x55555556, x1, 684, x5) - -inst_693: -// rs1_val==3 and rs2_val==4, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0x4, x1, 688, x5) - -inst_694: -// rs1_val==3 and rs2_val==65534, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xfffe -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0xfffe, x1, 692, x5) - -inst_695: -// rs1_val==3 and rs2_val==0, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0x0, x1, 696, x5) - -inst_696: -// rs1_val==3 and rs2_val==46339, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0xb503, x1, 700, x5) - -inst_697: -// rs1_val==3 and rs2_val==1717986917, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x3, 0x66666665, x1, 704, x5) - -inst_698: -// rs1_val==3 and rs2_val==858993458, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0x33333332, x1, 708, x5) - -inst_699: -// rs1_val==3 and rs2_val==2863311529, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaa9 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaa9, x1, 712, x5) - -inst_700: -// rs1_val==3 and rs2_val==1431655764, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0x55555554, x1, 716, x5) - -inst_701: -// rs1_val==3 and rs2_val==2, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0x2, x1, 720, x5) - -inst_702: -// rs1_val==3 and rs2_val==65535, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0xffff, x1, 724, x5) - -inst_703: -// rs1_val==3 and rs2_val==46340, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0xb504, x1, 728, x5) - -inst_704: -// rs1_val==3 and rs2_val==1717986918, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x3, 0x66666666, x1, 732, x5) - -inst_705: -// rs1_val==3 and rs2_val==858993459, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0x33333333, x1, 736, x5) - -inst_706: -// rs1_val==3 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0x5, x1, 740, x5) - -inst_707: -// rs1_val==3 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaaa, x1, 744, x5) - -inst_708: -// rs1_val==3 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0x55555555, x1, 748, x5) - -inst_709: -// rs1_val==3 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x3, 0x3, x1, 752, x5) - -inst_710: -// rs1_val==2863311529 and rs2_val==5, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x5 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3, 0xaaaaaaa9, 0x5, x1, 756, x5) - -inst_711: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa -TEST_RR_OP(mulhu, x12, x10, x11, 0x71c71c70, 0xaaaaaaa9, 0xaaaaaaaa, x1, 760, x5) - -inst_712: -// rs1_val == (2**(xlen)-1), -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0x2000 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1fff, 0xffffffff, 0x2000, x1, 764, x5) - -inst_713: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555555 -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e38, 0xaaaaaaa9, 0x55555555, x1, 768, x5) - -inst_714: -// rs1_val==2863311529 and rs2_val==3, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x3 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0x3, x1, 772, x5) - -inst_715: -// rs1_val==1431655764 and rs2_val==1, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 -TEST_RR_OP(mulhu, x12, x10, x11, 0x0, 0x55555554, 0x1, x1, 776, x5) - -inst_716: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(mulhu, x12, x10, x11, 0x3c56, 0x55555554, 0xb505, x1, 780, x5) - -inst_717: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(mulhu, x12, x10, x11, 0x11111111, 0x55555554, 0x33333334, x1, 784, x5) - -inst_718: -// rs1_val==1431655764 and rs2_val==6, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1, 0x55555554, 0x6, x1, 788, x5) - -inst_719: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaab -TEST_RR_OP(mulhu, x12, x10, x11, 0x38e38e38, 0x55555554, 0xaaaaaaab, x1, 792, x5) - -inst_720: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(mulhu, x12, x10, x11, 0x1c71c71c, 0x55555554, 0x55555556, x1, 796, x5) - -inst_721: -// rs2_val == 3221225471, rs1_val == 4294443007 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0xfff7ffff; op2val:0xbfffffff -TEST_RR_OP(mulhu, x12, x10, x11, 0xbff9fffe, 0xfff7ffff, 0xbfffffff, x1, 800, x5) - -inst_722: -// rs2_val == 3758096383, rs1_val == 524288 -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xdfffffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x6ffff, 0x80000, 0xdfffffff, x1, 804, x5) - -inst_723: -// rs2_val == 4261412863, -// opcode: mulhu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfdffffff -TEST_RR_OP(mulhu, x12, x10, x11, 0x54aaaaa9, 0x55555554, 0xfdffffff, x1, 808, x5) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x8_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x8_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 203*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/rem-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/rem-01.S deleted file mode 100644 index e677078d3..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/rem-01.S +++ /dev/null @@ -1,3015 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 07:22:49 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32em.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the rem instruction of the RISC-V M extension for the rem covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EM") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*M.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",rem) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs2 == rd != rs1, rs1==x11, rs2==x12, rd==x12, rs1_val != rs2_val, rs2_val == -4194305, rs1_val > 0 and rs2_val < 0 -// opcode: rem ; op1:x11; op2:x12; dest:x12; op1val:0xb503; op2val:-0x400001 -TEST_RR_OP(rem, x12, x11, x12, 0xb503, 0xb503, -0x400001, x1, 0, x3) - -inst_1: -// rs1 == rd != rs2, rs1==x8, rs2==x7, rd==x8, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1), rs1_val == 2048 -// opcode: rem ; op1:x8; op2:x7; dest:x8; op1val:0x800; op2val:0x7fffffff -TEST_RR_OP(rem, x8, x8, x7, 0x800, 0x800, 0x7fffffff, x1, 4, x3) - -inst_2: -// rs1 == rs2 == rd, rs1==x15, rs2==x15, rd==x15, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0, rs1_val == -67108865 -// opcode: rem ; op1:x15; op2:x15; dest:x15; op1val:-0x4000001; op2val:-0x4000001 -TEST_RR_OP(rem, x15, x15, x15, 0x0, -0x4000001, -0x4000001, x1, 8, x3) - -inst_3: -// rs1 == rs2 != rd, rs1==x13, rs2==x13, rd==x9, rs2_val == -536870913, rs1_val == -131073 -// opcode: rem ; op1:x13; op2:x13; dest:x9; op1val:-0x20001; op2val:-0x20001 -TEST_RR_OP(rem, x9, x13, x13, 0x0, -0x20001, -0x20001, x1, 12, x3) - -inst_4: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x6, rs2==x14, rd==x2, rs2_val == -268435457, rs1_val == -257 -// opcode: rem ; op1:x6; op2:x14; dest:x2; op1val:-0x101; op2val:-0x10000001 -TEST_RR_OP(rem, x2, x6, x14, -0x101, -0x101, -0x10000001, x1, 16, x3) - -inst_5: -// rs1==x10, rs2==x2, rd==x5, rs2_val == -134217729, rs1_val == -8388609 -// opcode: rem ; op1:x10; op2:x2; dest:x5; op1val:-0x800001; op2val:-0x8000001 -TEST_RR_OP(rem, x5, x10, x2, -0x800001, -0x800001, -0x8000001, x1, 20, x3) -RVTEST_SIGBASE( x8,signature_x8_0) - -inst_6: -// rs1==x1, rs2==x11, rd==x3, rs2_val == -67108865, -// opcode: rem ; op1:x1; op2:x11; dest:x3; op1val:0x33333332; op2val:-0x4000001 -TEST_RR_OP(rem, x3, x1, x11, 0x3333326, 0x33333332, -0x4000001, x8, 0, x12) - -inst_7: -// rs1==x14, rs2==x0, rd==x4, rs2_val == -33554433, rs1_val == 67108864 -// opcode: rem ; op1:x14; op2:x0; dest:x4; op1val:0x4000000; op2val:0x0 -TEST_RR_OP(rem, x4, x14, x0, 0x4000000, 0x4000000, 0x0, x8, 4, x12) - -inst_8: -// rs1==x9, rs2==x6, rd==x14, rs2_val == -16777217, rs1_val == 1024 -// opcode: rem ; op1:x9; op2:x6; dest:x14; op1val:0x400; op2val:-0x1000001 -TEST_RR_OP(rem, x14, x9, x6, 0x400, 0x400, -0x1000001, x8, 8, x12) - -inst_9: -// rs1==x2, rs2==x5, rd==x11, rs2_val == -8388609, rs1_val == -17 -// opcode: rem ; op1:x2; op2:x5; dest:x11; op1val:-0x11; op2val:-0x800001 -TEST_RR_OP(rem, x11, x2, x5, -0x11, -0x11, -0x800001, x8, 12, x12) - -inst_10: -// rs1==x5, rs2==x9, rd==x13, rs2_val == -2097153, rs1_val == 2 -// opcode: rem ; op1:x5; op2:x9; dest:x13; op1val:0x2; op2val:-0x200001 -TEST_RR_OP(rem, x13, x5, x9, 0x2, 0x2, -0x200001, x8, 16, x12) - -inst_11: -// rs1==x7, rs2==x10, rd==x1, rs2_val == -1048577, -// opcode: rem ; op1:x7; op2:x10; dest:x1; op1val:-0x40000000; op2val:-0x100001 -TEST_RR_OP(rem, x1, x7, x10, -0xffc01, -0x40000000, -0x100001, x8, 20, x12) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_12: -// rs1==x0, rs2==x1, rd==x6, rs2_val == -524289, -// opcode: rem ; op1:x0; op2:x1; dest:x6; op1val:0x0; op2val:-0x80001 -TEST_RR_OP(rem, x6, x0, x1, 0x0, 0x0, -0x80001, x2, 0, x5) - -inst_13: -// rs1==x4, rs2==x3, rd==x10, rs2_val == -262145, -// opcode: rem ; op1:x4; op2:x3; dest:x10; op1val:0x33333334; op2val:-0x40001 -TEST_RR_OP(rem, x10, x4, x3, 0x32668, 0x33333334, -0x40001, x2, 4, x5) - -inst_14: -// rs1==x3, rs2==x8, rd==x7, rs2_val == -131073, rs1_val == 4 -// opcode: rem ; op1:x3; op2:x8; dest:x7; op1val:0x4; op2val:-0x20001 -TEST_RR_OP(rem, x7, x3, x8, 0x4, 0x4, -0x20001, x2, 8, x5) - -inst_15: -// rs1==x12, rs2==x4, rd==x0, rs2_val == -65537, -// opcode: rem ; op1:x12; op2:x4; dest:x0; op1val:-0x55555555; op2val:-0x10001 -TEST_RR_OP(rem, x0, x12, x4, 0, -0x55555555, -0x10001, x2, 12, x5) - -inst_16: -// rs2_val == -32769, rs1_val == -536870913 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x8001 -TEST_RR_OP(rem, x12, x10, x11, -0x4002, -0x20000001, -0x8001, x2, 16, x5) - -inst_17: -// rs2_val == -16385, rs1_val == 1431655765 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x4001 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x55555555, -0x4001, x2, 20, x5) - -inst_18: -// rs2_val == -8193, rs1_val == 0 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x2001 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, -0x2001, x2, 24, x5) - -inst_19: -// rs2_val == -4097, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x1001 -TEST_RR_OP(rem, x12, x10, x11, -0x4f9, -0xb504, -0x1001, x2, 28, x5) - -inst_20: -// rs2_val == -2049, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x801 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, -0x801, x2, 32, x5) - -inst_21: -// rs2_val == -1025, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x401 -TEST_RR_OP(rem, x12, x10, x11, 0x3fe, 0x7fffffff, -0x401, x2, 36, x5) - -inst_22: -// rs2_val == -513, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x201 -TEST_RR_OP(rem, x12, x10, x11, 0x60, 0x33333333, -0x201, x2, 40, x5) - -inst_23: -// rs2_val == -257, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:-0x101 -TEST_RR_OP(rem, x12, x10, x11, -0x3, -0x20001, -0x101, x2, 44, x5) - -inst_24: -// rs2_val == -129, rs1_val == -65537 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x81 -TEST_RR_OP(rem, x12, x10, x11, -0x5, -0x10001, -0x81, x2, 48, x5) - -inst_25: -// rs2_val == -65, rs1_val == 131072 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x41 -TEST_RR_OP(rem, x12, x10, x11, 0x20, 0x20000, -0x41, x2, 52, x5) - -inst_26: -// rs2_val == -33, rs1_val == -524289 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x21 -TEST_RR_OP(rem, x12, x10, x11, -0x12, -0x80001, -0x21, x2, 56, x5) - -inst_27: -// rs2_val == -17, rs1_val == 8 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x11 -TEST_RR_OP(rem, x12, x10, x11, 0x8, 0x8, -0x11, x2, 60, x5) - -inst_28: -// rs2_val == -9, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x9 -TEST_RR_OP(rem, x12, x10, x11, 0x8, 0x8, -0x9, x2, 64, x5) - -inst_29: -// rs2_val == -5, rs1_val == -129 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x5 -TEST_RR_OP(rem, x12, x10, x11, -0x4, -0x81, -0x5, x2, 68, x5) - -inst_30: -// rs2_val == -3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x3 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0x55555555, -0x3, x2, 72, x5) - -inst_31: -// rs2_val == -2, rs1_val == 32768 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:-0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x8000, -0x2, x2, 76, x5) - -inst_32: -// rs1_val == -1073741825, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x10001 -TEST_RR_OP(rem, x12, x10, x11, -0xc002, -0x40000001, -0x10001, x2, 80, x5) - -inst_33: -// rs1_val == -268435457, rs1_val < 0 and rs2_val > 0, rs2_val == 2 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0x10000001, 0x2, x2, 84, x5) - -inst_34: -// rs1_val == -134217729, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x801 -TEST_RR_OP(rem, x12, x10, x11, -0x21, -0x8000001, -0x801, x2, 88, x5) - -inst_35: -// rs1_val == -33554433, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, -0x2000001, -0x2000001, 0x33333333, x2, 92, x5) - -inst_36: -// rs1_val == -16777217, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, -0x1000001, -0x1000001, 0x33333334, x2, 96, x5) - -inst_37: -// rs1_val == -4194305, rs2_val == 268435456 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x10000000 -TEST_RR_OP(rem, x12, x10, x11, -0x400001, -0x400001, 0x10000000, x2, 100, x5) - -inst_38: -// rs1_val == -2097153, rs2_val == 1431655765 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, -0x200001, -0x200001, 0x55555555, x2, 104, x5) - -inst_39: -// rs1_val == -1048577, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0x40000000 -TEST_RR_OP(rem, x12, x10, x11, -0x100001, -0x100001, -0x40000000, x2, 108, x5) - -inst_40: -// rs1_val == -262145, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, -0x76ed, -0x40001, -0xb504, x2, 112, x5) - -inst_41: -// rs1_val == -32769, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x10000000 -TEST_RR_OP(rem, x12, x10, x11, -0x8001, -0x8001, 0x10000000, x2, 116, x5) - -inst_42: -// rs1_val == -16385, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x7 -TEST_RR_OP(rem, x12, x10, x11, -0x5, -0x4001, 0x7, x2, 120, x5) - -inst_43: -// rs1_val == -8193, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0x2001, -0x4, x2, 124, x5) - -inst_44: -// rs1_val == -4097, rs2_val == 4096 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1000 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0x1001, 0x1000, x2, 128, x5) - -inst_45: -// rs1_val == -2049, rs2_val == 536870912 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x20000000 -TEST_RR_OP(rem, x12, x10, x11, -0x801, -0x801, 0x20000000, x2, 132, x5) - -inst_46: -// rs1_val == -1025, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, -0x401, -0x401, 0x33333334, x2, 136, x5) - -inst_47: -// rs1_val == -513, rs2_val == 0 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, -0x201, -0x201, 0x0, x2, 140, x5) - -inst_48: -// rs1_val == -65, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, -0x41, -0x41, 0xb504, x2, 144, x5) - -inst_49: -// rs1_val == -33, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, -0x21, -0x21, -0x55555555, x2, 148, x5) - -inst_50: -// rs1_val == -9, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, -0x9, -0x9, 0x55555554, x2, 152, x5) - -inst_51: -// rs1_val == -5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x9 -TEST_RR_OP(rem, x12, x10, x11, -0x5, -0x5, 0x9, x2, 156, x5) - -inst_52: -// rs1_val == -3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x6 -TEST_RR_OP(rem, x12, x10, x11, -0x3, -0x3, -0x6, x2, 160, x5) - -inst_53: -// rs1_val == -2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, -0x2, -0x2, 0x66666665, x2, 164, x5) - -inst_54: -// rs2_val == -2147483648, rs1_val == 256, rs2_val == (-2**(xlen-1)) -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x80000000 -TEST_RR_OP(rem, x12, x10, x11, 0x100, 0x100, -0x80000000, x2, 168, x5) - -inst_55: -// rs2_val == 1073741824, rs1_val == -1431655766 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x40000000 -TEST_RR_OP(rem, x12, x10, x11, -0x15555556, -0x55555556, 0x40000000, x2, 172, x5) - -inst_56: -// rs2_val == 134217728, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x8000000 -TEST_RR_OP(rem, x12, x10, x11, -0x21, -0x21, 0x8000000, x2, 176, x5) - -inst_57: -// rs2_val == 67108864, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4000000 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, 0x4000000, x2, 180, x5) - -inst_58: -// rs2_val == 33554432, rs1_val == 16 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x2000000 -TEST_RR_OP(rem, x12, x10, x11, 0x10, 0x10, 0x2000000, x2, 184, x5) - -inst_59: -// rs2_val == 16777216, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1000000 -TEST_RR_OP(rem, x12, x10, x11, 0xb505, 0xb505, 0x1000000, x2, 188, x5) - -inst_60: -// rs2_val == 8388608, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x800000 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, 0x800000, x2, 192, x5) - -inst_61: -// rs2_val == 4194304, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x400000 -TEST_RR_OP(rem, x12, x10, x11, 0x266667, 0x66666667, 0x400000, x2, 196, x5) - -inst_62: -// rs2_val == 2097152, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x200000 -TEST_RR_OP(rem, x12, x10, x11, -0x155556, -0x55555556, 0x200000, x2, 200, x5) - -inst_63: -// rs2_val == 1048576, rs1_val == 32 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x100000 -TEST_RR_OP(rem, x12, x10, x11, 0x20, 0x20, 0x100000, x2, 204, x5) - -inst_64: -// rs2_val == 524288, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x80000 -TEST_RR_OP(rem, x12, x10, x11, 0x7, 0x7, 0x80000, x2, 208, x5) - -inst_65: -// rs2_val == 262144, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x40000 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x40000, x2, 212, x5) - -inst_66: -// rs2_val == 131072, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x20000 -TEST_RR_OP(rem, x12, x10, x11, 0x6667, 0x66666667, 0x20000, x2, 216, x5) - -inst_67: -// rs2_val == 65536, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10000 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0x10000, x2, 220, x5) - -inst_68: -// rs2_val == 32768, rs1_val == 65536 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x10000, 0x8000, x2, 224, x5) - -inst_69: -// rs2_val == 16384, rs1_val == 1048576 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x4000 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x100000, 0x4000, x2, 228, x5) - -inst_70: -// rs2_val == 8192, rs1_val == 33554432 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x2000 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x2000000, 0x2000, x2, 232, x5) - -inst_71: -// rs2_val == 2048, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x800 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x2000000, 0x800, x2, 236, x5) - -inst_72: -// rs2_val == 1024, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x400 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0x1000001, 0x400, x2, 240, x5) - -inst_73: -// rs2_val == 512, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x200 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0x10001, 0x200, x2, 244, x5) - -inst_74: -// rs2_val == 256, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x100 -TEST_RR_OP(rem, x12, x10, x11, -0x7, -0x7, 0x100, x2, 248, x5) - -inst_75: -// rs2_val == 128, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x80 -TEST_RR_OP(rem, x12, x10, x11, 0x8, 0x8, 0x80, x2, 252, x5) - -inst_76: -// rs2_val == 64, rs1_val == 8192 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x40 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x2000, 0x40, x2, 256, x5) - -inst_77: -// rs2_val == 32, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x20 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x66666665, 0x20, x2, 260, x5) - -inst_78: -// rs2_val == 16, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x10 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x100000, 0x10, x2, 264, x5) - -inst_79: -// rs2_val == 8, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x8 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x20, 0x8, x2, 268, x5) - -inst_80: -// rs2_val == 4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x4; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0x4, 0x4, x2, 272, x5) - -inst_81: -// rs2_val == 1, rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x1 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0x80000000, 0x1, x2, 276, x5) - -inst_82: -// rs1_val == 1073741824, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x101 -TEST_RR_OP(rem, x12, x10, x11, 0xc1, 0x40000000, -0x101, x2, 280, x5) - -inst_83: -// rs1_val == 536870912, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x3fffffff -TEST_RR_OP(rem, x12, x10, x11, 0x20000000, 0x20000000, 0x3fffffff, x2, 284, x5) - -inst_84: -// rs1_val == 268435456, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x10000000, 0x4, x2, 288, x5) - -inst_85: -// rs1_val == 134217728, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x2000000 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x8000000, 0x2000000, x2, 292, x5) - -inst_86: -// rs1_val == 16777216, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x3fffffff -TEST_RR_OP(rem, x12, x10, x11, 0x1000000, 0x1000000, 0x3fffffff, x2, 296, x5) - -inst_87: -// rs1_val == 8388608, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x800000, 0x800000, 0x66666667, x2, 300, x5) - -inst_88: -// rs1_val == 4194304, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x200 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x400000, 0x200, x2, 304, x5) - -inst_89: -// rs1_val == 2097152, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x400001 -TEST_RR_OP(rem, x12, x10, x11, 0x200000, 0x200000, -0x400001, x2, 308, x5) - -inst_90: -// rs1_val == 524288, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x80000, 0x80000, 0x33333333, x2, 312, x5) - -inst_91: -// rs1_val == 262144, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x8 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x40000, 0x8, x2, 316, x5) - -inst_92: -// rs1_val == 16384, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x4000, 0x3, x2, 320, x5) - -inst_93: -// rs1_val == 4096, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x11 -TEST_RR_OP(rem, x12, x10, x11, 0x10, 0x1000, -0x11, x2, 324, x5) - -inst_94: -// rs1_val == 512, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x2000001 -TEST_RR_OP(rem, x12, x10, x11, 0x200, 0x200, -0x2000001, x2, 328, x5) - -inst_95: -// rs1_val == 128, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x1 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x80, -0x1, x2, 332, x5) - -inst_96: -// rs1_val == 64, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x40, -0x3, x2, 336, x5) - -inst_97: -// rs1_val == 1, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x1, -0x3, x2, 340, x5) - -inst_98: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 344, x5) - -inst_99: -// rs1_val==46341 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0xb505, -0xb503, x2, 348, x5) - -inst_100: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0xb505, 0xb505, 0x66666667, x2, 352, x5) - -inst_101: -// rs1_val==46341 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0xb505, 0xb505, 0x33333334, x2, 356, x5) - -inst_102: -// rs1_val==46341 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0xb505, 0x6, x2, 360, x5) - -inst_103: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0xb505, 0xb505, -0x55555555, x2, 364, x5) - -inst_104: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0xb505, 0xb505, 0x55555556, x2, 368, x5) - -inst_105: -// rs1_val==46341 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0xb505, 0x4, x2, 372, x5) - -inst_106: -// rs1_val==46341 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0xb505, 0xb503, x2, 376, x5) - -inst_107: -// rs1_val==46341 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0xb505, 0xb505, 0x0, x2, 380, x5) - -inst_108: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0xb505, 0xb505, 0x66666665, x2, 384, x5) - -inst_109: -// rs1_val==46341 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0xb505, 0xb505, 0x33333332, x2, 388, x5) - -inst_110: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0xb505, 0xb505, 0x55555554, x2, 392, x5) - -inst_111: -// rs1_val==46341 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0xb505, 0x2, x2, 396, x5) - -inst_112: -// rs1_val==46341 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0xb505, 0xb504, x2, 400, x5) - -inst_113: -// rs1_val==46341 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0xb505, -0xb504, x2, 404, x5) - -inst_114: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0xb505, 0xb505, 0x66666666, x2, 408, x5) - -inst_115: -// rs1_val==46341 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0xb505, 0xb505, 0x33333333, x2, 412, x5) - -inst_116: -// rs1_val==46341 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0xb505, 0x5, x2, 416, x5) - -inst_117: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0xb505, 0xb505, -0x55555556, x2, 420, x5) - -inst_118: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0xb505, 0xb505, 0x55555555, x2, 424, x5) - -inst_119: -// rs1_val==46341 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 428, x5) - -inst_120: -// rs1_val==-46339 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, 0xb505, x2, 432, x5) - -inst_121: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0xb503, -0xb503, x2, 436, x5) - -inst_122: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, 0x66666667, x2, 440, x5) - -inst_123: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, 0x33333334, x2, 444, x5) - -inst_124: -// rs1_val==-46339 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0xb503, 0x6, x2, 448, x5) - -inst_125: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, -0x55555555, x2, 452, x5) - -inst_126: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, 0x55555556, x2, 456, x5) - -inst_127: -// rs1_val==-46339 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, -0x3, -0xb503, 0x4, x2, 460, x5) - -inst_128: -// rs1_val==-46339 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0xb503, 0xb503, x2, 464, x5) - -inst_129: -// rs1_val==-46339 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, 0x0, x2, 468, x5) - -inst_130: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, 0x66666665, x2, 472, x5) - -inst_131: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, 0x33333332, x2, 476, x5) - -inst_132: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, 0x55555554, x2, 480, x5) - -inst_133: -// rs1_val==-46339 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0xb503, 0x2, x2, 484, x5) - -inst_134: -// rs1_val==-46339 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, 0xb504, x2, 488, x5) - -inst_135: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, -0xb504, x2, 492, x5) - -inst_136: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, 0x66666666, x2, 496, x5) - -inst_137: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, 0x33333333, x2, 500, x5) - -inst_138: -// rs1_val==-46339 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, -0x4, -0xb503, 0x5, x2, 504, x5) - -inst_139: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, -0x55555556, x2, 508, x5) - -inst_140: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, -0xb503, -0xb503, 0x55555555, x2, 512, x5) - -inst_141: -// rs1_val==-46339 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0xb503, 0x3, x2, 516, x5) - -inst_142: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0x8257, 0x66666667, 0xb505, x2, 520, x5) - -inst_143: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x39f1, 0x66666667, -0xb503, x2, 524, x5) - -inst_144: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 528, x5) - -inst_145: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x33333333, 0x66666667, 0x33333334, x2, 532, x5) - -inst_146: -// rs1_val==1717986919 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x66666667, 0x6, x2, 536, x5) - -inst_147: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x11111112, 0x66666667, -0x55555555, x2, 540, x5) - -inst_148: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x11111111, 0x66666667, 0x55555556, x2, 544, x5) - -inst_149: -// rs1_val==1717986919 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x66666667, 0x4, x2, 548, x5) - -inst_150: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x39f1, 0x66666667, 0xb503, x2, 552, x5) - -inst_151: -// rs1_val==1717986919 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x2, 556, x5) - -inst_152: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x2, 560, x5) - -inst_153: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x66666667, 0x33333332, x2, 564, x5) - -inst_154: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x11111113, 0x66666667, 0x55555554, x2, 568, x5) - -inst_155: -// rs1_val==1717986919 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x66666667, 0x2, x2, 572, x5) - -inst_156: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x5e23, 0x66666667, 0xb504, x2, 576, x5) - -inst_157: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x5e23, 0x66666667, -0xb504, x2, 580, x5) - -inst_158: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x2, 584, x5) - -inst_159: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x66666667, 0x33333333, x2, 588, x5) - -inst_160: -// rs1_val==1717986919 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x66666667, 0x5, x2, 592, x5) - -inst_161: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x11111111, 0x66666667, -0x55555556, x2, 596, x5) - -inst_162: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x11111112, 0x66666667, 0x55555555, x2, 600, x5) - -inst_163: -// rs1_val==1717986919 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x66666667, 0x3, x2, 604, x5) - -inst_164: -// rs1_val==858993460 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0x412c, 0x33333334, 0xb505, x2, 608, x5) - -inst_165: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x1cf9, 0x33333334, -0xb503, x2, 612, x5) - -inst_166: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x33333334, 0x33333334, 0x66666667, x2, 616, x5) - -inst_167: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 620, x5) - -inst_168: -// rs1_val==858993460 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x33333334, 0x6, x2, 624, x5) - -inst_169: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x33333334, 0x33333334, -0x55555555, x2, 628, x5) - -inst_170: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x33333334, 0x33333334, 0x55555556, x2, 632, x5) - -inst_171: -// rs1_val==858993460 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 636, x5) - -inst_172: -// rs1_val==858993460 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x1cf9, 0x33333334, 0xb503, x2, 640, x5) - -inst_173: -// rs1_val==858993460 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x2, 644, x5) - -inst_174: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x33333334, 0x33333334, 0x66666665, x2, 648, x5) - -inst_175: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x33333334, 0x33333332, x2, 652, x5) - -inst_176: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x33333334, 0x33333334, 0x55555554, x2, 656, x5) - -inst_177: -// rs1_val==858993460 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 660, x5) - -inst_178: -// rs1_val==858993460 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x8994, 0x33333334, 0xb504, x2, 664, x5) - -inst_179: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x8994, 0x33333334, -0xb504, x2, 668, x5) - -inst_180: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x33333334, 0x33333334, 0x66666666, x2, 672, x5) - -inst_181: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x33333334, 0x33333333, x2, 676, x5) - -inst_182: -// rs1_val==858993460 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 680, x5) - -inst_183: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x33333334, 0x33333334, -0x55555556, x2, 684, x5) - -inst_184: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x33333334, 0x33333334, 0x55555555, x2, 688, x5) - -inst_185: -// rs1_val==858993460 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x33333334, 0x3, x2, 692, x5) - -inst_186: -// rs1_val==6 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, 0xb505, x2, 696, x5) - -inst_187: -// rs1_val==6 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, -0xb503, x2, 700, x5) - -inst_188: -// rs1_val==6 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, 0x66666667, x2, 704, x5) - -inst_189: -// rs1_val==6 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, 0x33333334, x2, 708, x5) - -inst_190: -// rs1_val==6 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x6, 0x6, x2, 712, x5) - -inst_191: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, -0x55555555, x2, 716, x5) - -inst_192: -// rs1_val==6 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, 0x55555556, x2, 720, x5) - -inst_193: -// rs1_val==6 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x6, 0x4, x2, 724, x5) - -inst_194: -// rs1_val==6 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, 0xb503, x2, 728, x5) - -inst_195: -// rs1_val==6 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, 0x0, x2, 732, x5) - -inst_196: -// rs1_val==6 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, 0x66666665, x2, 736, x5) - -inst_197: -// rs1_val==6 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, 0x33333332, x2, 740, x5) - -inst_198: -// rs1_val==6 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, 0x55555554, x2, 744, x5) - -inst_199: -// rs1_val==6 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x6, 0x2, x2, 748, x5) - -inst_200: -// rs1_val==6 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, 0xb504, x2, 752, x5) - -inst_201: -// rs1_val==6 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, -0xb504, x2, 756, x5) - -inst_202: -// rs1_val==6 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, 0x66666666, x2, 760, x5) - -inst_203: -// rs1_val==6 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, 0x33333333, x2, 764, x5) - -inst_204: -// rs1_val==6 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x6, 0x5, x2, 768, x5) - -inst_205: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, -0x55555556, x2, 772, x5) - -inst_206: -// rs1_val==6 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x6, 0x6, 0x55555555, x2, 776, x5) - -inst_207: -// rs1_val==6 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x6, 0x3, x2, 780, x5) - -inst_208: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, -0xa8f4, -0x55555555, 0xb505, x2, 784, x5) - -inst_209: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, -0x3048, -0x55555555, -0xb503, x2, 788, x5) - -inst_210: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, -0x55555555, -0x55555555, 0x66666667, x2, 792, x5) - -inst_211: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, -0x22222221, -0x55555555, 0x33333334, x2, 796, x5) - -inst_212: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0x55555555, 0x6, x2, 800, x5) - -inst_213: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x2, 804, x5) - -inst_214: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, -0x55555555, -0x55555555, 0x55555556, x2, 808, x5) - -inst_215: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0x55555555, 0x4, x2, 812, x5) - -inst_216: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, -0x3048, -0x55555555, 0xb503, x2, 816, x5) - -inst_217: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, -0x55555555, -0x55555555, 0x0, x2, 820, x5) - -inst_218: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, -0x55555555, -0x55555555, 0x66666665, x2, 824, x5) - -inst_219: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, -0x22222223, -0x55555555, 0x33333332, x2, 828, x5) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0x55555555, 0x55555554, x2, 832, x5) - -inst_221: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0x55555555, 0x2, x2, 836, x5) - -inst_222: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, -0x6c9d, -0x55555555, 0xb504, x2, 840, x5) - -inst_223: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, -0x6c9d, -0x55555555, -0xb504, x2, 844, x5) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, -0x55555555, -0x55555555, 0x66666666, x2, 848, x5) - -inst_225: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, -0x22222222, -0x55555555, 0x33333333, x2, 852, x5) - -inst_226: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0x55555555, 0x5, x2, 856, x5) - -inst_227: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, -0x55555555, -0x55555555, -0x55555556, x2, 860, x5) - -inst_228: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0x55555555, 0x55555555, x2, 864, x5) - -inst_229: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0x55555555, 0x3, x2, 868, x5) - -inst_230: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0xa8f5, 0x55555556, 0xb505, x2, 872, x5) - -inst_231: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x3049, 0x55555556, -0xb503, x2, 876, x5) - -inst_232: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x55555556, 0x55555556, 0x66666667, x2, 880, x5) - -inst_233: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x22222222, 0x55555556, 0x33333334, x2, 884, x5) - -inst_234: -// rs1_val==1431655766 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x55555556, 0x6, x2, 888, x5) - -inst_235: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x55555556, -0x55555555, x2, 892, x5) - -inst_236: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 896, x5) - -inst_237: -// rs1_val==1431655766 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x55555556, 0x4, x2, 900, x5) - -inst_238: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x3049, 0x55555556, 0xb503, x2, 904, x5) - -inst_239: -// rs1_val==1431655766 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x2, 908, x5) - -inst_240: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x55555556, 0x55555556, 0x66666665, x2, 912, x5) - -inst_241: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x22222224, 0x55555556, 0x33333332, x2, 916, x5) - -inst_242: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x2, 920, x5) - -inst_243: -// rs1_val==1431655766 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 924, x5) - -inst_244: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x6c9e, 0x55555556, 0xb504, x2, 928, x5) - -inst_245: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x6c9e, 0x55555556, -0xb504, x2, 932, x5) - -inst_246: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x55555556, 0x55555556, 0x66666666, x2, 936, x5) - -inst_247: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x22222223, 0x55555556, 0x33333333, x2, 940, x5) - -inst_248: -// rs1_val==1431655766 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x55555556, 0x5, x2, 944, x5) - -inst_249: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x2, 948, x5) - -inst_250: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x55555556, 0x55555555, x2, 952, x5) - -inst_251: -// rs1_val==1431655766 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x55555556, 0x3, x2, 956, x5) - -inst_252: -// rs1_val==4 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0xb505, x2, 960, x5) - -inst_253: -// rs1_val==4 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, -0xb503, x2, 964, x5) - -inst_254: -// rs1_val==4 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0x66666667, x2, 968, x5) - -inst_255: -// rs1_val==4 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0x33333334, x2, 972, x5) - -inst_256: -// rs1_val==4 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0x6, x2, 976, x5) - -inst_257: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, -0x55555555, x2, 980, x5) - -inst_258: -// rs1_val==4 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0x55555556, x2, 984, x5) - -inst_259: -// rs1_val==4 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x4, 0x4, x2, 988, x5) - -inst_260: -// rs1_val==4 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0xb503, x2, 992, x5) - -inst_261: -// rs1_val==4 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0x0, x2, 996, x5) - -inst_262: -// rs1_val==4 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0x66666665, x2, 1000, x5) - -inst_263: -// rs1_val==4 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0x33333332, x2, 1004, x5) - -inst_264: -// rs1_val==4 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0x55555554, x2, 1008, x5) - -inst_265: -// rs1_val==4 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x4, 0x2, x2, 1012, x5) - -inst_266: -// rs1_val==4 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0xb504, x2, 1016, x5) - -inst_267: -// rs1_val==4 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, -0xb504, x2, 1020, x5) - -inst_268: -// rs1_val==4 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0x66666666, x2, 1024, x5) - -inst_269: -// rs1_val==4 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0x33333333, x2, 1028, x5) - -inst_270: -// rs1_val==4 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0x5, x2, 1032, x5) - -inst_271: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, -0x55555556, x2, 1036, x5) - -inst_272: -// rs1_val==4 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x4, 0x55555555, x2, 1040, x5) - -inst_273: -// rs1_val==4 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x4, 0x3, x2, 1044, x5) - -inst_274: -// rs1_val==46339 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, 0xb505, x2, 1048, x5) - -inst_275: -// rs1_val==46339 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0xb503, -0xb503, x2, 1052, x5) - -inst_276: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, 0x66666667, x2, 1056, x5) - -inst_277: -// rs1_val==46339 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, 0x33333334, x2, 1060, x5) - -inst_278: -// rs1_val==46339 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0xb503, 0x6, x2, 1064, x5) - -inst_279: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, -0x55555555, x2, 1068, x5) - -inst_280: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, 0x55555556, x2, 1072, x5) - -inst_281: -// rs1_val==46339 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0xb503, 0x4, x2, 1076, x5) - -inst_282: -// rs1_val==46339 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1080, x5) - -inst_283: -// rs1_val==46339 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, 0x0, x2, 1084, x5) - -inst_284: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, 0x66666665, x2, 1088, x5) - -inst_285: -// rs1_val==46339 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, 0x33333332, x2, 1092, x5) - -inst_286: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, 0x55555554, x2, 1096, x5) - -inst_287: -// rs1_val==46339 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0xb503, 0x2, x2, 1100, x5) - -inst_288: -// rs1_val==46339 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, 0xb504, x2, 1104, x5) - -inst_289: -// rs1_val==46339 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, -0xb504, x2, 1108, x5) - -inst_290: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, 0x66666666, x2, 1112, x5) - -inst_291: -// rs1_val==46339 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, 0x33333333, x2, 1116, x5) - -inst_292: -// rs1_val==46339 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0xb503, 0x5, x2, 1120, x5) - -inst_293: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, -0x55555556, x2, 1124, x5) - -inst_294: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0xb503, 0xb503, 0x55555555, x2, 1128, x5) - -inst_295: -// rs1_val==46339 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0xb503, 0x3, x2, 1132, x5) - -inst_296: -// rs1_val==0 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0xb505, x2, 1136, x5) - -inst_297: -// rs1_val==0 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, -0xb503, x2, 1140, x5) - -inst_298: -// rs1_val==0 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x66666667, x2, 1144, x5) - -inst_299: -// rs1_val==0 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x33333334, x2, 1148, x5) - -inst_300: -// rs1_val==0 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x6, x2, 1152, x5) - -inst_301: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, -0x55555555, x2, 1156, x5) - -inst_302: -// rs1_val==0 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x55555556, x2, 1160, x5) - -inst_303: -// rs1_val==0 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x4, x2, 1164, x5) - -inst_304: -// rs1_val==0 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0xb503, x2, 1168, x5) - -inst_305: -// rs1_val==0 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1172, x5) - -inst_306: -// rs1_val==0 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x66666665, x2, 1176, x5) - -inst_307: -// rs1_val==0 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x33333332, x2, 1180, x5) - -inst_308: -// rs1_val==0 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x55555554, x2, 1184, x5) - -inst_309: -// rs1_val==0 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x2, x2, 1188, x5) - -inst_310: -// rs1_val==0 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0xb504, x2, 1192, x5) - -inst_311: -// rs1_val==0 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, -0xb504, x2, 1196, x5) - -inst_312: -// rs1_val==0 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x66666666, x2, 1200, x5) - -inst_313: -// rs1_val==0 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x33333333, x2, 1204, x5) - -inst_314: -// rs1_val==0 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x5, x2, 1208, x5) - -inst_315: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, -0x55555556, x2, 1212, x5) - -inst_316: -// rs1_val==0 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x55555555, x2, 1216, x5) - -inst_317: -// rs1_val==0 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x0, 0x3, x2, 1220, x5) - -inst_318: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0x8255, 0x66666665, 0xb505, x2, 1224, x5) - -inst_319: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x39ef, 0x66666665, -0xb503, x2, 1228, x5) - -inst_320: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x66666665, 0x66666665, 0x66666667, x2, 1232, x5) - -inst_321: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x33333331, 0x66666665, 0x33333334, x2, 1236, x5) - -inst_322: -// rs1_val==1717986917 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x66666665, 0x6, x2, 1240, x5) - -inst_323: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x11111110, 0x66666665, -0x55555555, x2, 1244, x5) - -inst_324: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x1111110f, 0x66666665, 0x55555556, x2, 1248, x5) - -inst_325: -// rs1_val==1717986917 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x66666665, 0x4, x2, 1252, x5) - -inst_326: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x39ef, 0x66666665, 0xb503, x2, 1256, x5) - -inst_327: -// rs1_val==858993459 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x2, 1260, x5) - -inst_328: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x33333333, 0x33333333, 0x66666665, x2, 1264, x5) - -inst_329: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x2, 1268, x5) - -inst_330: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x33333333, 0x33333333, 0x55555554, x2, 1272, x5) - -inst_331: -// rs1_val==858993459 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x33333333, 0x2, x2, 1276, x5) - -inst_332: -// rs1_val==858993459 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x8993, 0x33333333, 0xb504, x2, 1280, x5) - -inst_333: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x8993, 0x33333333, -0xb504, x2, 1284, x5) - -inst_334: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x33333333, 0x33333333, 0x66666666, x2, 1288, x5) - -inst_335: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 1292, x5) - -inst_336: -// rs1_val==858993459 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x33333333, 0x5, x2, 1296, x5) - -inst_337: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x33333333, 0x33333333, -0x55555556, x2, 1300, x5) - -inst_338: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x33333333, 0x33333333, 0x55555555, x2, 1304, x5) - -inst_339: -// rs1_val==858993459 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 1308, x5) - -inst_340: -// rs1_val==5 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, 0xb505, x2, 1312, x5) - -inst_341: -// rs1_val==5 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, -0xb503, x2, 1316, x5) - -inst_342: -// rs1_val==5 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, 0x66666667, x2, 1320, x5) - -inst_343: -// rs1_val==5 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, 0x33333334, x2, 1324, x5) - -inst_344: -// rs1_val==5 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, 0x6, x2, 1328, x5) - -inst_345: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, -0x55555555, x2, 1332, x5) - -inst_346: -// rs1_val==5 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, 0x55555556, x2, 1336, x5) - -inst_347: -// rs1_val==5 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x5, 0x4, x2, 1340, x5) - -inst_348: -// rs1_val==5 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, 0xb503, x2, 1344, x5) - -inst_349: -// rs1_val==5 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, 0x0, x2, 1348, x5) - -inst_350: -// rs1_val==5 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, 0x66666665, x2, 1352, x5) - -inst_351: -// rs1_val==5 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, 0x33333332, x2, 1356, x5) - -inst_352: -// rs1_val==5 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, 0x55555554, x2, 1360, x5) - -inst_353: -// rs1_val==5 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x5, 0x2, x2, 1364, x5) - -inst_354: -// rs1_val==5 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, 0xb504, x2, 1368, x5) - -inst_355: -// rs1_val==5 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, -0xb504, x2, 1372, x5) - -inst_356: -// rs1_val==5 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, 0x66666666, x2, 1376, x5) - -inst_357: -// rs1_val==5 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, 0x33333333, x2, 1380, x5) - -inst_358: -// rs1_val==5 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x5, 0x5, x2, 1384, x5) - -inst_359: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, -0x55555556, x2, 1388, x5) - -inst_360: -// rs1_val==5 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x5, 0x5, 0x55555555, x2, 1392, x5) - -inst_361: -// rs1_val==5 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x5, 0x3, x2, 1396, x5) - -inst_362: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, -0xa8f5, -0x55555556, 0xb505, x2, 1400, x5) - -inst_363: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, -0x3049, -0x55555556, -0xb503, x2, 1404, x5) - -inst_364: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, -0x55555556, -0x55555556, 0x66666667, x2, 1408, x5) - -inst_365: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, -0x22222222, -0x55555556, 0x33333334, x2, 1412, x5) - -inst_366: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, -0x2, -0x55555556, 0x6, x2, 1416, x5) - -inst_367: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0x55555556, -0x55555555, x2, 1420, x5) - -inst_368: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0x55555556, 0x55555556, x2, 1424, x5) - -inst_369: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, -0x2, -0x55555556, 0x4, x2, 1428, x5) - -inst_370: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, -0x3049, -0x55555556, 0xb503, x2, 1432, x5) - -inst_371: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, -0x55555556, -0x55555556, 0x0, x2, 1436, x5) - -inst_372: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, -0x55555556, -0x55555556, 0x66666665, x2, 1440, x5) - -inst_373: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, -0x22222224, -0x55555556, 0x33333332, x2, 1444, x5) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, -0x2, -0x55555556, 0x55555554, x2, 1448, x5) - -inst_375: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0x55555556, 0x2, x2, 1452, x5) - -inst_376: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, -0x6c9e, -0x55555556, 0xb504, x2, 1456, x5) - -inst_377: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, -0x6c9e, -0x55555556, -0xb504, x2, 1460, x5) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, -0x55555556, -0x55555556, 0x66666666, x2, 1464, x5) - -inst_379: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, -0x22222223, -0x55555556, 0x33333333, x2, 1468, x5) - -inst_380: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0x55555556, 0x5, x2, 1472, x5) - -inst_381: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x2, 1476, x5) - -inst_382: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0x55555556, 0x55555555, x2, 1480, x5) - -inst_383: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, -0x2, -0x55555556, 0x3, x2, 1484, x5) - -inst_384: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0xa8f4, 0x55555555, 0xb505, x2, 1488, x5) - -inst_385: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x3048, 0x55555555, -0xb503, x2, 1492, x5) - -inst_386: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x55555555, 0x55555555, 0x66666667, x2, 1496, x5) - -inst_387: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x22222221, 0x55555555, 0x33333334, x2, 1500, x5) - -inst_388: -// rs1_val==1431655765 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x55555555, 0x6, x2, 1504, x5) - -inst_389: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x2, 1508, x5) - -inst_390: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x55555555, 0x55555555, 0x55555556, x2, 1512, x5) - -inst_391: -// rs1_val==1431655765 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x55555555, 0x4, x2, 1516, x5) - -inst_392: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x3048, 0x55555555, 0xb503, x2, 1520, x5) - -inst_393: -// rs1_val==1431655765 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x2, 1524, x5) - -inst_394: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x55555555, 0x55555555, 0x66666665, x2, 1528, x5) - -inst_395: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x22222223, 0x55555555, 0x33333332, x2, 1532, x5) - -inst_396: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x2, 1536, x5) - -inst_397: -// rs1_val==1431655765 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x55555555, 0x2, x2, 1540, x5) - -inst_398: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x6c9d, 0x55555555, 0xb504, x2, 1544, x5) - -inst_399: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x6c9d, 0x55555555, -0xb504, x2, 1548, x5) - -inst_400: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x55555555, 0x55555555, 0x66666666, x2, 1552, x5) - -inst_401: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x22222222, 0x55555555, 0x33333333, x2, 1556, x5) - -inst_402: -// rs1_val==1431655765 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 1560, x5) - -inst_403: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x55555555, 0x55555555, -0x55555556, x2, 1564, x5) - -inst_404: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 1568, x5) - -inst_405: -// rs1_val==1431655765 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x55555555, 0x3, x2, 1572, x5) - -inst_406: -// rs1_val==3 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0xb505, x2, 1576, x5) - -inst_407: -// rs1_val==3 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, -0xb503, x2, 1580, x5) - -inst_408: -// rs1_val==3 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0x66666667, x2, 1584, x5) - -inst_409: -// rs1_val==3 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0x33333334, x2, 1588, x5) - -inst_410: -// rs1_val==3 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0x6, x2, 1592, x5) - -inst_411: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, -0x55555555, x2, 1596, x5) - -inst_412: -// rs1_val==3 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0x55555556, x2, 1600, x5) - -inst_413: -// rs1_val==3 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0x4, x2, 1604, x5) - -inst_414: -// rs1_val==3 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0xb503, x2, 1608, x5) - -inst_415: -// rs1_val==3 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0x0, x2, 1612, x5) - -inst_416: -// rs1_val==3 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0x66666665, x2, 1616, x5) - -inst_417: -// rs1_val==3 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0x33333332, x2, 1620, x5) - -inst_418: -// rs1_val==3 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0x55555554, x2, 1624, x5) - -inst_419: -// rs1_val==3 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x3, 0x2, x2, 1628, x5) - -inst_420: -// rs1_val==3 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0xb504, x2, 1632, x5) - -inst_421: -// rs1_val==3 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, -0xb504, x2, 1636, x5) - -inst_422: -// rs1_val==3 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0x66666666, x2, 1640, x5) - -inst_423: -// rs1_val==3 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0x33333333, x2, 1644, x5) - -inst_424: -// rs1_val==3 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0x5, x2, 1648, x5) - -inst_425: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, -0x55555556, x2, 1652, x5) - -inst_426: -// rs1_val==3 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x3, 0x55555555, x2, 1656, x5) - -inst_427: -// rs1_val==3 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x3, 0x3, x2, 1660, x5) - -inst_428: -// rs1_val==1717986917 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x2, 1664, x5) - -inst_429: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1668, x5) - -inst_430: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x66666665, 0x33333332, x2, 1672, x5) - -inst_431: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x11111111, 0x66666665, 0x55555554, x2, 1676, x5) - -inst_432: -// rs1_val==1717986917 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x66666665, 0x2, x2, 1680, x5) - -inst_433: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x5e21, 0x66666665, 0xb504, x2, 1684, x5) - -inst_434: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x5e21, 0x66666665, -0xb504, x2, 1688, x5) - -inst_435: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x66666665, 0x66666665, 0x66666666, x2, 1692, x5) - -inst_436: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x33333332, 0x66666665, 0x33333333, x2, 1696, x5) - -inst_437: -// rs1_val==1717986917 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x66666665, 0x5, x2, 1700, x5) - -inst_438: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x1111110f, 0x66666665, -0x55555556, x2, 1704, x5) - -inst_439: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x11111110, 0x66666665, 0x55555555, x2, 1708, x5) - -inst_440: -// rs1_val==1717986917 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x66666665, 0x3, x2, 1712, x5) - -inst_441: -// rs1_val==858993458 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0x412a, 0x33333332, 0xb505, x2, 1716, x5) - -inst_442: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x1cf7, 0x33333332, -0xb503, x2, 1720, x5) - -inst_443: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x33333332, 0x33333332, 0x66666667, x2, 1724, x5) - -inst_444: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x33333332, 0x33333332, 0x33333334, x2, 1728, x5) - -inst_445: -// rs1_val==858993458 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x33333332, 0x6, x2, 1732, x5) - -inst_446: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x33333332, 0x33333332, -0x55555555, x2, 1736, x5) - -inst_447: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x33333332, 0x33333332, 0x55555556, x2, 1740, x5) - -inst_448: -// rs1_val==858993458 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x33333332, 0x4, x2, 1744, x5) - -inst_449: -// rs1_val==858993458 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x1cf7, 0x33333332, 0xb503, x2, 1748, x5) - -inst_450: -// rs1_val==858993458 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x2, 1752, x5) - -inst_451: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x33333332, 0x33333332, 0x66666665, x2, 1756, x5) - -inst_452: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1760, x5) - -inst_453: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x33333332, 0x33333332, 0x55555554, x2, 1764, x5) - -inst_454: -// rs1_val==858993458 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1768, x5) - -inst_455: -// rs1_val==858993458 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x8992, 0x33333332, 0xb504, x2, 1772, x5) - -inst_456: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x8992, 0x33333332, -0xb504, x2, 1776, x5) - -inst_457: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x33333332, 0x33333332, 0x66666666, x2, 1780, x5) - -inst_458: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x33333332, 0x33333332, 0x33333333, x2, 1784, x5) - -inst_459: -// rs1_val==858993458 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x33333332, 0x5, x2, 1788, x5) - -inst_460: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x33333332, 0x33333332, -0x55555556, x2, 1792, x5) - -inst_461: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x33333332, 0x33333332, 0x55555555, x2, 1796, x5) - -inst_462: -// rs1_val==858993458 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x33333332, 0x3, x2, 1800, x5) - -inst_463: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0xa8f3, 0x55555554, 0xb505, x2, 1804, x5) - -inst_464: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x3047, 0x55555554, -0xb503, x2, 1808, x5) - -inst_465: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x55555554, 0x55555554, 0x66666667, x2, 1812, x5) - -inst_466: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x22222220, 0x55555554, 0x33333334, x2, 1816, x5) - -inst_467: -// rs1_val==1431655764 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 1820, x5) - -inst_468: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x55555554, 0x55555554, -0x55555555, x2, 1824, x5) - -inst_469: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x55555554, 0x55555554, 0x55555556, x2, 1828, x5) - -inst_470: -// rs1_val==1431655764 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1832, x5) - -inst_471: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x3047, 0x55555554, 0xb503, x2, 1836, x5) - -inst_472: -// rs1_val==1431655764 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x2, 1840, x5) - -inst_473: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x55555554, 0x55555554, 0x66666665, x2, 1844, x5) - -inst_474: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x22222222, 0x55555554, 0x33333332, x2, 1848, x5) - -inst_475: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1852, x5) - -inst_476: -// rs1_val==1431655764 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1856, x5) - -inst_477: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x6c9c, 0x55555554, 0xb504, x2, 1860, x5) - -inst_478: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x6c9c, 0x55555554, -0xb504, x2, 1864, x5) - -inst_479: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x55555554, 0x55555554, 0x66666666, x2, 1868, x5) - -inst_480: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x22222221, 0x55555554, 0x33333333, x2, 1872, x5) - -inst_481: -// rs1_val==1431655764 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x4, 0x55555554, 0x5, x2, 1876, x5) - -inst_482: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x55555554, 0x55555554, -0x55555556, x2, 1880, x5) - -inst_483: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x55555554, 0x55555554, 0x55555555, x2, 1884, x5) - -inst_484: -// rs1_val==1431655764 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1888, x5) - -inst_485: -// rs1_val==2 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0xb505, x2, 1892, x5) - -inst_486: -// rs1_val==2 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, -0xb503, x2, 1896, x5) - -inst_487: -// rs1_val==2 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x66666667, x2, 1900, x5) - -inst_488: -// rs1_val==2 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x33333334, x2, 1904, x5) - -inst_489: -// rs1_val==2 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x6, x2, 1908, x5) - -inst_490: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, -0x55555555, x2, 1912, x5) - -inst_491: -// rs1_val==2 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x55555556, x2, 1916, x5) - -inst_492: -// rs1_val==2 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x4, x2, 1920, x5) - -inst_493: -// rs1_val==2 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0xb503, x2, 1924, x5) - -inst_494: -// rs1_val==2 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x0, x2, 1928, x5) - -inst_495: -// rs1_val==2 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x66666665, x2, 1932, x5) - -inst_496: -// rs1_val==2 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x33333332, x2, 1936, x5) - -inst_497: -// rs1_val==2 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x55555554, x2, 1940, x5) - -inst_498: -// rs1_val==2 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x2, 0x2, x2, 1944, x5) - -inst_499: -// rs1_val==2 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0xb504, x2, 1948, x5) - -inst_500: -// rs1_val==2 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, -0xb504, x2, 1952, x5) - -inst_501: -// rs1_val==2 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x66666666, x2, 1956, x5) - -inst_502: -// rs1_val==2 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x33333333, x2, 1960, x5) - -inst_503: -// rs1_val==2 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x5, x2, 1964, x5) - -inst_504: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, -0x55555556, x2, 1968, x5) - -inst_505: -// rs1_val==2 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x55555555, x2, 1972, x5) - -inst_506: -// rs1_val==2 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x2, 0x3, x2, 1976, x5) - -inst_507: -// rs1_val==46340 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0xb504, 0xb504, 0xb505, x2, 1980, x5) - -inst_508: -// rs1_val==46340 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0xb504, -0xb503, x2, 1984, x5) - -inst_509: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0xb504, 0xb504, 0x66666667, x2, 1988, x5) - -inst_510: -// rs1_val==46340 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0xb504, 0xb504, 0x33333334, x2, 1992, x5) - -inst_511: -// rs1_val==46340 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0xb504, 0x6, x2, 1996, x5) - -inst_512: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0xb504, 0xb504, -0x55555555, x2, 2000, x5) - -inst_513: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0xb504, 0xb504, 0x55555556, x2, 2004, x5) - -inst_514: -// rs1_val==46340 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 2008, x5) - -inst_515: -// rs1_val==46340 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0xb504, 0xb503, x2, 2012, x5) - -inst_516: -// rs1_val==46340 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0xb504, 0xb504, 0x0, x2, 2016, x5) - -inst_517: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0xb504, 0xb504, 0x66666665, x2, 2020, x5) - -inst_518: -// rs1_val==46340 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0xb504, 0xb504, 0x33333332, x2, 2024, x5) - -inst_519: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0xb504, 0xb504, 0x55555554, x2, 2028, x5) - -inst_520: -// rs1_val==46340 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 2032, x5) - -inst_521: -// rs1_val==46340 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 2036, x5) - -inst_522: -// rs1_val==46340 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0xb504, -0xb504, x2, 2040, x5) - -inst_523: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0xb504, 0xb504, 0x66666666, x2, 2044, x5) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_524: -// rs1_val==46340 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0xb504, 0xb504, 0x33333333, x2, 0, x5) - -inst_525: -// rs1_val==46340 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 4, x5) - -inst_526: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0xb504, 0xb504, -0x55555556, x2, 8, x5) - -inst_527: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0xb504, 0xb504, 0x55555555, x2, 12, x5) - -inst_528: -// rs1_val==46340 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0xb504, 0x3, x2, 16, x5) - -inst_529: -// rs1_val==-46340 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, 0xb505, x2, 20, x5) - -inst_530: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0xb504, -0xb503, x2, 24, x5) - -inst_531: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, 0x66666667, x2, 28, x5) - -inst_532: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, 0x33333334, x2, 32, x5) - -inst_533: -// rs1_val==-46340 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, -0x2, -0xb504, 0x6, x2, 36, x5) - -inst_534: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, -0x55555555, x2, 40, x5) - -inst_535: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, 0x55555556, x2, 44, x5) - -inst_536: -// rs1_val==-46340 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0xb504, 0x4, x2, 48, x5) - -inst_537: -// rs1_val==-46340 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, -0x1, -0xb504, 0xb503, x2, 52, x5) - -inst_538: -// rs1_val==-46340 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, 0x0, x2, 56, x5) - -inst_539: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, 0x66666665, x2, 60, x5) - -inst_540: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, 0x33333332, x2, 64, x5) - -inst_541: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, 0x55555554, x2, 68, x5) - -inst_542: -// rs1_val==-46340 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0xb504, 0x2, x2, 72, x5) - -inst_543: -// rs1_val==-46340 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0xb504, 0xb504, x2, 76, x5) - -inst_544: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0xb504, -0xb504, x2, 80, x5) - -inst_545: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, 0x66666666, x2, 84, x5) - -inst_546: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, 0x33333333, x2, 88, x5) - -inst_547: -// rs1_val==-46340 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x0, -0xb504, 0x5, x2, 92, x5) - -inst_548: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, -0x55555556, x2, 96, x5) - -inst_549: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, -0xb504, -0xb504, 0x55555555, x2, 100, x5) - -inst_550: -// rs1_val==-46340 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, -0x2, -0xb504, 0x3, x2, 104, x5) - -inst_551: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0x8256, 0x66666666, 0xb505, x2, 108, x5) - -inst_552: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x39f0, 0x66666666, -0xb503, x2, 112, x5) - -inst_553: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x66666666, 0x66666666, 0x66666667, x2, 116, x5) - -inst_554: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x33333332, 0x66666666, 0x33333334, x2, 120, x5) - -inst_555: -// rs1_val==1717986918 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 124, x5) - -inst_556: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x11111111, 0x66666666, -0x55555555, x2, 128, x5) - -inst_557: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x11111110, 0x66666666, 0x55555556, x2, 132, x5) - -inst_558: -// rs1_val==1717986918 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x66666666, 0x4, x2, 136, x5) - -inst_559: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x39f0, 0x66666666, 0xb503, x2, 140, x5) - -inst_560: -// rs1_val==1717986918 and rs2_val==0, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(rem, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x2, 144, x5) - -inst_561: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(rem, x12, x10, x11, 0x1, 0x66666666, 0x66666665, x2, 148, x5) - -inst_562: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(rem, x12, x10, x11, 0x2, 0x66666666, 0x33333332, x2, 152, x5) - -inst_563: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(rem, x12, x10, x11, 0x11111112, 0x66666666, 0x55555554, x2, 156, x5) - -inst_564: -// rs1_val==1717986918 and rs2_val==2, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 160, x5) - -inst_565: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x5e22, 0x66666666, 0xb504, x2, 164, x5) - -inst_566: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(rem, x12, x10, x11, 0x5e22, 0x66666666, -0xb504, x2, 168, x5) - -inst_567: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 172, x5) - -inst_568: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 176, x5) - -inst_569: -// rs1_val==1717986918 and rs2_val==5, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x66666666, 0x5, x2, 180, x5) - -inst_570: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x11111110, 0x66666666, -0x55555556, x2, 184, x5) - -inst_571: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x11111111, 0x66666666, 0x55555555, x2, 188, x5) - -inst_572: -// rs1_val==1717986918 and rs2_val==3, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(rem, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 192, x5) - -inst_573: -// rs1_val==858993459 and rs2_val==46341, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(rem, x12, x10, x11, 0x412b, 0x33333333, 0xb505, x2, 196, x5) - -inst_574: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x1cf8, 0x33333333, -0xb503, x2, 200, x5) - -inst_575: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(rem, x12, x10, x11, 0x33333333, 0x33333333, 0x66666667, x2, 204, x5) - -inst_576: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(rem, x12, x10, x11, 0x33333333, 0x33333333, 0x33333334, x2, 208, x5) - -inst_577: -// rs1_val==858993459 and rs2_val==6, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x33333333, 0x6, x2, 212, x5) - -inst_578: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(rem, x12, x10, x11, 0x33333333, 0x33333333, -0x55555555, x2, 216, x5) - -inst_579: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(rem, x12, x10, x11, 0x33333333, 0x33333333, 0x55555556, x2, 220, x5) - -inst_580: -// rs1_val==858993459 and rs2_val==4, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(rem, x12, x10, x11, 0x3, 0x33333333, 0x4, x2, 224, x5) - -inst_581: -// rs1_val==858993459 and rs2_val==46339, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(rem, x12, x10, x11, 0x1cf8, 0x33333333, 0xb503, x2, 228, x5) - -inst_582: -// rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0, rs1_val == -67108865 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x40000001 -TEST_RR_OP(rem, x12, x10, x11, -0x4000001, -0x4000001, -0x40000001, x2, 232, x5) - -inst_583: -// rs2_val == -536870913, rs1_val == -131073 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:-0x20000001 -TEST_RR_OP(rem, x12, x10, x11, -0x20001, -0x20001, -0x20000001, x2, 236, x5) - -inst_584: -// rs2_val == -33554433, rs1_val == 67108864 -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0x2000001 -TEST_RR_OP(rem, x12, x10, x11, 0x1ffffff, 0x4000000, -0x2000001, x2, 240, x5) - -inst_585: -// rs2_val == -524289, -// opcode: rem ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:-0x80001 -TEST_RR_OP(rem, x12, x10, x11, -0x7, -0x7, -0x80001, x2, 244, x5) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x8_0: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 62*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/remu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/remu-01.S deleted file mode 100644 index f14717295..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/M/src/remu-01.S +++ /dev/null @@ -1,3715 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Wed Aug 4 07:22:49 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/dev2/riscv-ctg/sample_cgfs/rv32em.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the remu instruction of the RISC-V M extension for the remu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32EM") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*M.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",remu) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs2 == rd != rs1, rs1==x3, rs2==x10, rd==x10, rs1_val != rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val > 0 and rs2_val > 0, rs1_val == 32, rs2_val == 4294966783 -// opcode: remu ; op1:x3; op2:x10; dest:x10; op1val:0x20; op2val:0xfffffdff -TEST_RR_OP(remu, x10, x3, x10, 0x20, 0x20, 0xfffffdff, x2, 0, x6) - -inst_1: -// rs1 == rd != rs2, rs1==x1, rs2==x13, rd==x1, rs2_val == 2147483647, -// opcode: remu ; op1:x1; op2:x13; dest:x1; op1val:0x33333332; op2val:0x7fffffff -TEST_RR_OP(remu, x1, x1, x13, 0x33333332, 0x33333332, 0x7fffffff, x2, 4, x6) - -inst_2: -// rs1 == rs2 == rd, rs1==x4, rs2==x4, rd==x4, rs2_val == 3221225471, rs1_val == 32768 -// opcode: remu ; op1:x4; op2:x4; dest:x4; op1val:0x8000; op2val:0x8000 -TEST_RR_OP(remu, x4, x4, x4, 0x0, 0x8000, 0x8000, x2, 8, x6) - -inst_3: -// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x11, rs2_val == 3758096383, -// opcode: remu ; op1:x12; op2:x12; dest:x11; op1val:0xc; op2val:0xc -TEST_RR_OP(remu, x11, x12, x12, 0x0, 0xc, 0xc, x2, 12, x6) - -inst_4: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x7, rs2==x1, rd==x8, rs2_val == 4026531839, rs1_val == 4294705151 -// opcode: remu ; op1:x7; op2:x1; dest:x8; op1val:0xfffbffff; op2val:0xefffffff -TEST_RR_OP(remu, x8, x7, x1, 0xffc0000, 0xfffbffff, 0xefffffff, x2, 16, x6) - -inst_5: -// rs1==x15, rs2==x7, rd==x5, rs2_val == 4160749567, rs1_val == 131072 -// opcode: remu ; op1:x15; op2:x7; dest:x5; op1val:0x20000; op2val:0xf7ffffff -TEST_RR_OP(remu, x5, x15, x7, 0x20000, 0x20000, 0xf7ffffff, x2, 20, x6) - -inst_6: -// rs1==x9, rs2==x5, rd==x0, rs2_val == 4227858431, rs1_val == 1431655765 -// opcode: remu ; op1:x9; op2:x5; dest:x0; op1val:0x55555555; op2val:0xfbffffff -TEST_RR_OP(remu, x0, x9, x5, 0, 0x55555555, 0xfbffffff, x2, 24, x4) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_7: -// rs1==x0, rs2==x3, rd==x7, rs2_val == 4261412863, rs1_val == 3758096383 -// opcode: remu ; op1:x0; op2:x3; dest:x7; op1val:0x0; op2val:0xfdffffff -TEST_RR_OP(remu, x7, x0, x3, 0x0, 0x0, 0xfdffffff, x1, 0, x4) - -inst_8: -// rs1==x2, rs2==x15, rd==x3, rs2_val == 4278190079, -// opcode: remu ; op1:x2; op2:x15; dest:x3; op1val:0x3; op2val:0xfeffffff -TEST_RR_OP(remu, x3, x2, x15, 0x3, 0x3, 0xfeffffff, x1, 4, x4) - -inst_9: -// rs1==x5, rs2==x9, rd==x2, rs2_val == 4286578687, rs1_val == 4294967263 -// opcode: remu ; op1:x5; op2:x9; dest:x2; op1val:0xffffffdf; op2val:0xff7fffff -TEST_RR_OP(remu, x2, x5, x9, 0x7fffe0, 0xffffffdf, 0xff7fffff, x1, 8, x4) - -inst_10: -// rs1==x13, rs2==x6, rd==x9, rs2_val == 4290772991, rs1_val == 16 -// opcode: remu ; op1:x13; op2:x6; dest:x9; op1val:0x10; op2val:0xffbfffff -TEST_RR_OP(remu, x9, x13, x6, 0x10, 0x10, 0xffbfffff, x1, 12, x4) - -inst_11: -// rs1==x6, rs2==x0, rd==x12, rs2_val == 4292870143, rs1_val == 4294967291 -// opcode: remu ; op1:x6; op2:x0; dest:x12; op1val:0xfffffffb; op2val:0x0 -TEST_RR_OP(remu, x12, x6, x0, 0xfffffffb, 0xfffffffb, 0x0, x1, 16, x4) - -inst_12: -// rs1==x10, rs2==x8, rd==x14, rs2_val == 4293918719, rs1_val == 4286578687 -// opcode: remu ; op1:x10; op2:x8; dest:x14; op1val:0xff7fffff; op2val:0xffefffff -TEST_RR_OP(remu, x14, x10, x8, 0xff7fffff, 0xff7fffff, 0xffefffff, x1, 20, x4) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_13: -// rs1==x14, rs2==x2, rd==x15, rs2_val == 4294443007, -// opcode: remu ; op1:x14; op2:x2; dest:x15; op1val:0xb503; op2val:0xfff7ffff -TEST_RR_OP(remu, x15, x14, x2, 0xb503, 0xb503, 0xfff7ffff, x3, 0, x5) - -inst_14: -// rs1==x8, rs2==x14, rd==x13, rs2_val == 4294705151, rs1_val == 4227858431 -// opcode: remu ; op1:x8; op2:x14; dest:x13; op1val:0xfbffffff; op2val:0xfffbffff -TEST_RR_OP(remu, x13, x8, x14, 0xfbffffff, 0xfbffffff, 0xfffbffff, x3, 4, x5) - -inst_15: -// rs1==x11, rs2_val == 4294836223, rs1_val == 4292870143 -// opcode: remu ; op1:x11; op2:x4; dest:x2; op1val:0xffdfffff; op2val:0xfffdffff -TEST_RR_OP(remu, x2, x11, x4, 0xffdfffff, 0xffdfffff, 0xfffdffff, x3, 8, x5) - -inst_16: -// rs2==x11, rs2_val == 4294901759, rs1_val == 4294901759, rs1_val == rs2_val and rs1_val > 0 and rs2_val > 0 -// opcode: remu ; op1:x1; op2:x11; dest:x9; op1val:0xfffeffff; op2val:0xfffeffff -TEST_RR_OP(remu, x9, x1, x11, 0x0, 0xfffeffff, 0xfffeffff, x3, 12, x5) - -inst_17: -// rd==x6, rs2_val == 4294934527, rs1_val == 4294950911 -// opcode: remu ; op1:x7; op2:x2; dest:x6; op1val:0xffffbfff; op2val:0xffff7fff -TEST_RR_OP(remu, x6, x7, x2, 0x4000, 0xffffbfff, 0xffff7fff, x3, 16, x5) - -inst_18: -// rs2_val == 4294950911, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xc; op2val:0xffffbfff -TEST_RR_OP(remu, x12, x10, x11, 0xc, 0xc, 0xffffbfff, x3, 20, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_19: -// rs2_val == 4294959103, rs1_val == 0 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffffdfff -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0xffffdfff, x1, 0, x2) - -inst_20: -// rs2_val == 4294963199, rs1_val == 4294967287 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0xffffefff -TEST_RR_OP(remu, x12, x10, x11, 0xff8, 0xfffffff7, 0xffffefff, x1, 4, x2) - -inst_21: -// rs2_val == 4294965247, rs1_val == 536870912 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xfffff7ff -TEST_RR_OP(remu, x12, x10, x11, 0x20000000, 0x20000000, 0xfffff7ff, x1, 8, x2) - -inst_22: -// rs2_val == 4294966271, rs1_val == 65536 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xfffffbff -TEST_RR_OP(remu, x12, x10, x11, 0x10000, 0x10000, 0xfffffbff, x1, 12, x2) - -inst_23: -// rs2_val == 4294967039, rs1_val == 4294967231 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbf; op2val:0xfffffeff -TEST_RR_OP(remu, x12, x10, x11, 0xc0, 0xffffffbf, 0xfffffeff, x1, 16, x2) - -inst_24: -// rs2_val == 4294967167, rs1_val == 1024 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0xffffff7f -TEST_RR_OP(remu, x12, x10, x11, 0x400, 0x400, 0xffffff7f, x1, 20, x2) - -inst_25: -// rs2_val == 4294967231, rs1_val == 1 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffffffbf -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0xffffffbf, x1, 24, x2) - -inst_26: -// rs2_val == 4294967263, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xffffffdf -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x33333332, 0xffffffdf, x1, 28, x2) - -inst_27: -// rs2_val == 4294967279, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbf; op2val:0xffffffef -TEST_RR_OP(remu, x12, x10, x11, 0xffffffbf, 0xffffffbf, 0xffffffef, x1, 32, x2) - -inst_28: -// rs2_val == 4294967287, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfffffff7 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0xfffffff7, x1, 36, x2) - -inst_29: -// rs2_val == 4294967291, rs1_val == 4294934527 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff7fff; op2val:0xfffffffb -TEST_RR_OP(remu, x12, x10, x11, 0xffff7fff, 0xffff7fff, 0xfffffffb, x1, 40, x2) - -inst_30: -// rs2_val == 4294967293, rs1_val == 4294967294 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0xfffffffd -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xfffffffe, 0xfffffffd, x1, 44, x2) - -inst_31: -// rs2_val == 4294967294, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0xfffffffe -TEST_RR_OP(remu, x12, x10, x11, 0x400, 0x400, 0xfffffffe, x1, 48, x2) - -inst_32: -// rs1_val == 2147483647, rs2_val == 2097152 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x200000 -TEST_RR_OP(remu, x12, x10, x11, 0x1fffff, 0x7fffffff, 0x200000, x1, 52, x2) - -inst_33: -// rs1_val == 3221225471, rs2_val == 512 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffff; op2val:0x200 -TEST_RR_OP(remu, x12, x10, x11, 0x1ff, 0xbfffffff, 0x200, x1, 56, x2) - -inst_34: -// rs1_val == 4026531839, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0xa9bb, 0xefffffff, 0xb503, x1, 60, x2) - -inst_35: -// rs1_val == 4160749567, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0xfffffffe -TEST_RR_OP(remu, x12, x10, x11, 0xf7ffffff, 0xf7ffffff, 0xfffffffe, x1, 64, x2) - -inst_36: -// rs1_val == 4261412863, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffff; op2val:0xffffefff -TEST_RR_OP(remu, x12, x10, x11, 0xfdffffff, 0xfdffffff, 0xffffefff, x1, 68, x2) - -inst_37: -// rs1_val == 4278190079, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfeffffff; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x32333335, 0xfeffffff, 0x66666665, x1, 72, x2) - -inst_38: -// rs1_val == 4290772991, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffff; op2val:0x12 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0xffbfffff, 0x12, x1, 76, x2) - -inst_39: -// rs1_val == 4293918719, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffefffff; op2val:0xe -TEST_RR_OP(remu, x12, x10, x11, 0xd, 0xffefffff, 0xe, x1, 80, x2) - -inst_40: -// rs1_val == 4294443007, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfff7ffff; op2val:0xffffffbf -TEST_RR_OP(remu, x12, x10, x11, 0xfff7ffff, 0xfff7ffff, 0xffffffbf, x1, 84, x2) - -inst_41: -// rs1_val == 4294836223, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffdffff; op2val:0xfffffffd -TEST_RR_OP(remu, x12, x10, x11, 0xfffdffff, 0xfffdffff, 0xfffffffd, x1, 88, x2) - -inst_42: -// rs1_val == 4294959103, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0xffdfffff -TEST_RR_OP(remu, x12, x10, x11, 0x1fe000, 0xffffdfff, 0xffdfffff, x1, 92, x2) - -inst_43: -// rs1_val == 4294963199, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffffefff; op2val:0xa -TEST_RR_OP(remu, x12, x10, x11, 0x9, 0xffffefff, 0xa, x1, 96, x2) - -inst_44: -// rs1_val == 4294965247, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff7ff; op2val:0xfdffffff -TEST_RR_OP(remu, x12, x10, x11, 0x1fff800, 0xfffff7ff, 0xfdffffff, x1, 100, x2) - -inst_45: -// rs1_val == 4294966271, rs2_val == 4 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffbff; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0xfffffbff, 0x4, x1, 104, x2) - -inst_46: -// rs1_val == 4294966783, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffdff; op2val:0xfffffff7 -TEST_RR_OP(remu, x12, x10, x11, 0xfffffdff, 0xfffffdff, 0xfffffff7, x1, 108, x2) - -inst_47: -// rs1_val == 4294967039, rs2_val == 16 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffeff; op2val:0x10 -TEST_RR_OP(remu, x12, x10, x11, 0xf, 0xfffffeff, 0x10, x1, 112, x2) - -inst_48: -// rs1_val == 4294967167, rs2_val == 4096 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffffff7f; op2val:0x1000 -TEST_RR_OP(remu, x12, x10, x11, 0xf7f, 0xffffff7f, 0x1000, x1, 116, x2) - -inst_49: -// rs1_val == 4294967279, rs2_val == 0 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffef; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0xffffffef, 0xffffffef, 0x0, x1, 120, x2) - -inst_50: -// rs1_val == 4294967293, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0xffffdfff -TEST_RR_OP(remu, x12, x10, x11, 0x1ffe, 0xfffffffd, 0xffffdfff, x1, 124, x2) - -inst_51: -// rs2_val == 2147483648, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x80000000 -TEST_RR_OP(remu, x12, x10, x11, 0x55555554, 0x55555554, 0x80000000, x1, 128, x2) - -inst_52: -// rs2_val == 1073741824, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x40000000 -TEST_RR_OP(remu, x12, x10, x11, 0x20, 0x20, 0x40000000, x1, 132, x2) - -inst_53: -// rs2_val == 536870912, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff7fff; op2val:0x20000000 -TEST_RR_OP(remu, x12, x10, x11, 0x1fff7fff, 0xffff7fff, 0x20000000, x1, 136, x2) - -inst_54: -// rs2_val == 268435456, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb; op2val:0x10000000 -TEST_RR_OP(remu, x12, x10, x11, 0xb, 0xb, 0x10000000, x1, 140, x2) - -inst_55: -// rs2_val == 134217728, rs1_val == 2 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x8000000 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x8000000, x1, 144, x2) - -inst_56: -// rs2_val == 67108864, rs1_val == 4194304 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x4000000 -TEST_RR_OP(remu, x12, x10, x11, 0x400000, 0x400000, 0x4000000, x1, 148, x2) - -inst_57: -// rs2_val == 33554432, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2000000 -TEST_RR_OP(remu, x12, x10, x11, 0x1333332, 0x33333332, 0x2000000, x1, 152, x2) - -inst_58: -// rs2_val == 16777216, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1000000 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0x1000000, x1, 156, x2) - -inst_59: -// rs2_val == 8388608, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x800000 -TEST_RR_OP(remu, x12, x10, x11, 0x10, 0x10, 0x800000, x1, 160, x2) - -inst_60: -// rs2_val == 4194304, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff7ff; op2val:0x400000 -TEST_RR_OP(remu, x12, x10, x11, 0x3ff7ff, 0xfffff7ff, 0x400000, x1, 164, x2) - -inst_61: -// rs2_val == 1048576, rs1_val == 134217728 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x100000 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x8000000, 0x100000, x1, 168, x2) - -inst_62: -// rs2_val == 524288, rs1_val == 128 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x80000 -TEST_RR_OP(remu, x12, x10, x11, 0x80, 0x80, 0x80000, x1, 172, x2) - -inst_63: -// rs2_val == 262144, rs1_val == 256 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x40000 -TEST_RR_OP(remu, x12, x10, x11, 0x100, 0x100, 0x40000, x1, 176, x2) - -inst_64: -// rs2_val == 131072, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffdffff; op2val:0x20000 -TEST_RR_OP(remu, x12, x10, x11, 0x1ffff, 0xfffdffff, 0x20000, x1, 180, x2) - -inst_65: -// rs2_val == 65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffffff7f; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0xff7f, 0xffffff7f, 0x10000, x1, 184, x2) - -inst_66: -// rs2_val == 32768, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x8000 -TEST_RR_OP(remu, x12, x10, x11, 0x7ff7, 0xfffffff7, 0x8000, x1, 188, x2) - -inst_67: -// rs2_val == 16384, rs1_val == 1048576 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x4000 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x100000, 0x4000, x1, 192, x2) - -inst_68: -// rs2_val == 8192, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdf; op2val:0x2000 -TEST_RR_OP(remu, x12, x10, x11, 0x1fdf, 0xffffffdf, 0x2000, x1, 196, x2) - -inst_69: -// rs2_val == 2048, rs1_val == 4 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x800 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x800, x1, 200, x2) - -inst_70: -// rs2_val == 1024, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0x400 -TEST_RR_OP(remu, x12, x10, x11, 0x3ff, 0xefffffff, 0x400, x1, 204, x2) - -inst_71: -// rs2_val == 256, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x100 -TEST_RR_OP(remu, x12, x10, x11, 0x67, 0x66666667, 0x100, x1, 208, x2) - -inst_72: -// rs2_val == 128, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x80 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x400, 0x80, x1, 212, x2) - -inst_73: -// rs2_val == 64, rs1_val == 8 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x40 -TEST_RR_OP(remu, x12, x10, x11, 0x8, 0x8, 0x40, x1, 216, x2) - -inst_74: -// rs2_val == 32, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x20 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x20, x1, 220, x2) - -inst_75: -// rs2_val == 8, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x8 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x33333333, 0x8, x1, 224, x2) - -inst_76: -// rs2_val == 2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x8000, 0x2, x1, 228, x2) - -inst_77: -// rs2_val == 1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xefffffff, 0x1, x1, 232, x2) - -inst_78: -// rs1_val == 2147483648, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x80000000, 0x80000000, 0xaaaaaaab, x1, 236, x2) - -inst_79: -// rs1_val == 1073741824, rs2_val == (2**(xlen)-1) -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0xffffffff -TEST_RR_OP(remu, x12, x10, x11, 0x40000000, 0x40000000, 0xffffffff, x1, 240, x2) - -inst_80: -// rs1_val == 268435456, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x10000000, 0x10000000, 0x33333334, x1, 244, x2) - -inst_81: -// rs1_val == 67108864, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x2160, 0x4000000, 0xb504, x1, 248, x2) - -inst_82: -// rs1_val == 33554432, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x20 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x2000000, 0x20, x1, 252, x2) - -inst_83: -// rs1_val == 16777216, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xfff7ffff -TEST_RR_OP(remu, x12, x10, x11, 0x1000000, 0x1000000, 0xfff7ffff, x1, 256, x2) - -inst_84: -// rs1_val == 8388608, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x800000, 0x2, x1, 260, x2) - -inst_85: -// rs1_val == 2097152, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0xffdfffff -TEST_RR_OP(remu, x12, x10, x11, 0x200000, 0x200000, 0xffdfffff, x1, 264, x2) - -inst_86: -// rs1_val == 524288, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xfdffffff -TEST_RR_OP(remu, x12, x10, x11, 0x80000, 0x80000, 0xfdffffff, x1, 268, x2) - -inst_87: -// rs1_val == 262144, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x40000, 0x40000, 0x66666666, x1, 272, x2) - -inst_88: -// rs1_val == 16384, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xfffffeff -TEST_RR_OP(remu, x12, x10, x11, 0x4000, 0x4000, 0xfffffeff, x1, 276, x2) - -inst_89: -// rs1_val == 8192, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xfffffffb -TEST_RR_OP(remu, x12, x10, x11, 0x2000, 0x2000, 0xfffffffb, x1, 280, x2) - -inst_90: -// rs1_val == 4096, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xfffbffff -TEST_RR_OP(remu, x12, x10, x11, 0x1000, 0x1000, 0xfffbffff, x1, 284, x2) - -inst_91: -// rs1_val == 2048, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xfffbffff -TEST_RR_OP(remu, x12, x10, x11, 0x800, 0x800, 0xfffbffff, x1, 288, x2) - -inst_92: -// rs1_val == 512, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0xf -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x200, 0xf, x1, 292, x2) - -inst_93: -// rs1_val == 64, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xff7fffff -TEST_RR_OP(remu, x12, x10, x11, 0x40, 0x40, 0xff7fffff, x1, 296, x2) - -inst_94: -// rs1_val==65536 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x10000, 0x10000, x1, 300, x2) - -inst_95: -// rs1_val==65536 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x10000, 0x1, x1, 304, x2) - -inst_96: -// rs1_val==65536 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x4afb, 0x10000, 0xb505, x1, 308, x2) - -inst_97: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x10000, 0x10000, 0x66666667, x1, 312, x2) - -inst_98: -// rs1_val==65536 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x10000, 0x10000, 0x33333334, x1, 316, x2) - -inst_99: -// rs1_val==65536 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x10000, 0x6, x1, 320, x2) - -inst_100: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x10000, 0x10000, 0xaaaaaaab, x1, 324, x2) - -inst_101: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x10000, 0x10000, 0x55555556, x1, 328, x2) - -inst_102: -// rs1_val==65536 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x10000, 0x4, x1, 332, x2) - -inst_103: -// rs1_val==65536 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x10000, 0xfffe, x1, 336, x2) - -inst_104: -// rs1_val==65536 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x10000, 0x10000, 0x0, x1, 340, x2) - -inst_105: -// rs1_val==65536 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x4afd, 0x10000, 0xb503, x1, 344, x2) - -inst_106: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x10000, 0x10000, 0x66666665, x1, 348, x2) - -inst_107: -// rs1_val==65536 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x10000, 0x10000, 0x33333332, x1, 352, x2) - -inst_108: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x10000, 0x10000, 0xaaaaaaa9, x1, 356, x2) - -inst_109: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x10000, 0x10000, 0x55555554, x1, 360, x2) - -inst_110: -// rs1_val==65536 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x10000, 0x2, x1, 364, x2) - -inst_111: -// rs1_val==65536 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x10000, 0xffff, x1, 368, x2) - -inst_112: -// rs1_val==65536 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x4afc, 0x10000, 0xb504, x1, 372, x2) - -inst_113: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x10000, 0x10000, 0x66666666, x1, 376, x2) - -inst_114: -// rs1_val==65536 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x10000, 0x10000, 0x33333333, x1, 380, x2) - -inst_115: -// rs1_val==65536 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x10000, 0x5, x1, 384, x2) - -inst_116: -// rs1_val==65536 and rs2_val==2863311530, rs2_val == 2863311530 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x10000, 0x10000, 0xaaaaaaaa, x1, 388, x2) - -inst_117: -// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x10000, 0x10000, 0x55555555, x1, 392, x2) - -inst_118: -// rs1_val==65536 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x10000, 0x3, x1, 396, x2) - -inst_119: -// rs1_val==1 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x10000, x1, 400, x2) - -inst_120: -// rs1_val==1 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x1, 0x1, x1, 404, x2) - -inst_121: -// rs1_val==1 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0xb505, x1, 408, x2) - -inst_122: -// rs1_val==1 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x66666667, x1, 412, x2) - -inst_123: -// rs1_val==1 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x33333334, x1, 416, x2) - -inst_124: -// rs1_val==1 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x6, x1, 420, x2) - -inst_125: -// rs1_val==1 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaab, x1, 424, x2) - -inst_126: -// rs1_val==1 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x55555556, x1, 428, x2) - -inst_127: -// rs1_val==1 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x4, x1, 432, x2) - -inst_128: -// rs1_val==1 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0xfffe, x1, 436, x2) - -inst_129: -// rs1_val==1 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x0, x1, 440, x2) - -inst_130: -// rs1_val==1 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0xb503, x1, 444, x2) - -inst_131: -// rs1_val==1 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x66666665, x1, 448, x2) - -inst_132: -// rs1_val==1 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x33333332, x1, 452, x2) - -inst_133: -// rs1_val==1 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaa9, x1, 456, x2) - -inst_134: -// rs1_val==1 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x55555554, x1, 460, x2) - -inst_135: -// rs1_val==1 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x2, x1, 464, x2) - -inst_136: -// rs1_val==1 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0xffff, x1, 468, x2) - -inst_137: -// rs1_val==1 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0xb504, x1, 472, x2) - -inst_138: -// rs1_val==1 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x66666666, x1, 476, x2) - -inst_139: -// rs1_val==1 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x33333333, x1, 480, x2) - -inst_140: -// rs1_val==1 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x5, x1, 484, x2) - -inst_141: -// rs1_val==1 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaaa, x1, 488, x2) - -inst_142: -// rs1_val==1 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x55555555, x1, 492, x2) - -inst_143: -// rs1_val==1 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x1, 0x3, x1, 496, x2) - -inst_144: -// rs1_val==46341 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0x10000, x1, 500, x2) - -inst_145: -// rs1_val==46341 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xb505, 0x1, x1, 504, x2) - -inst_146: -// rs1_val==46341 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 508, x2) - -inst_147: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0x66666667, x1, 512, x2) - -inst_148: -// rs1_val==46341 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0x33333334, x1, 516, x2) - -inst_149: -// rs1_val==46341 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0xb505, 0x6, x1, 520, x2) - -inst_150: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0xaaaaaaab, x1, 524, x2) - -inst_151: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0x55555556, x1, 528, x2) - -inst_152: -// rs1_val==46341 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xb505, 0x4, x1, 532, x2) - -inst_153: -// rs1_val==46341 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0xfffe, x1, 536, x2) - -inst_154: -// rs1_val==46341 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 540, x2) - -inst_155: -// rs1_val==46341 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0xb505, 0xb503, x1, 544, x2) - -inst_156: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0x66666665, x1, 548, x2) - -inst_157: -// rs1_val==46341 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0x33333332, x1, 552, x2) - -inst_158: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0xaaaaaaa9, x1, 556, x2) - -inst_159: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0x55555554, x1, 560, x2) - -inst_160: -// rs1_val==46341 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xb505, 0x2, x1, 564, x2) - -inst_161: -// rs1_val==46341 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0xffff, x1, 568, x2) - -inst_162: -// rs1_val==46341 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 572, x2) - -inst_163: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0x66666666, x1, 576, x2) - -inst_164: -// rs1_val==46341 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0x33333333, x1, 580, x2) - -inst_165: -// rs1_val==46341 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xb505, 0x5, x1, 584, x2) - -inst_166: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0xaaaaaaaa, x1, 588, x2) - -inst_167: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0xb505, 0xb505, 0x55555555, x1, 592, x2) - -inst_168: -// rs1_val==46341 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xb505, 0x3, x1, 596, x2) - -inst_169: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x6667, 0x66666667, 0x10000, x1, 600, x2) - -inst_170: -// rs1_val==1717986919 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x66666667, 0x1, x1, 604, x2) - -inst_171: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x8257, 0x66666667, 0xb505, x1, 608, x2) - -inst_172: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 612, x2) - -inst_173: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x33333333, 0x66666667, 0x33333334, x1, 616, x2) - -inst_174: -// rs1_val==1717986919 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x66666667, 0x6, x1, 620, x2) - -inst_175: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x66666667, 0x66666667, 0xaaaaaaab, x1, 624, x2) - -inst_176: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x11111111, 0x66666667, 0x55555556, x1, 628, x2) - -inst_177: -// rs1_val==1717986919 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x66666667, 0x4, x1, 632, x2) - -inst_178: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x3335, 0x66666667, 0xfffe, x1, 636, x2) - -inst_179: -// rs1_val==1717986919 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 640, x2) - -inst_180: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x39f1, 0x66666667, 0xb503, x1, 644, x2) - -inst_181: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 648, x2) - -inst_182: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x66666667, 0x33333332, x1, 652, x2) - -inst_183: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x66666667, 0x66666667, 0xaaaaaaa9, x1, 656, x2) - -inst_184: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x11111113, 0x66666667, 0x55555554, x1, 660, x2) - -inst_185: -// rs1_val==1717986919 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x66666667, 0x2, x1, 664, x2) - -inst_186: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0xcccd, 0x66666667, 0xffff, x1, 668, x2) - -inst_187: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x5e23, 0x66666667, 0xb504, x1, 672, x2) - -inst_188: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 676, x2) - -inst_189: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x66666667, 0x33333333, x1, 680, x2) - -inst_190: -// rs1_val==1717986919 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x66666667, 0x5, x1, 684, x2) - -inst_191: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x66666667, 0x66666667, 0xaaaaaaaa, x1, 688, x2) - -inst_192: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x11111112, 0x66666667, 0x55555555, x1, 692, x2) - -inst_193: -// rs1_val==1717986919 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x66666667, 0x3, x1, 696, x2) - -inst_194: -// rs1_val==858993460 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x3334, 0x33333334, 0x10000, x1, 700, x2) - -inst_195: -// rs1_val==858993460 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x33333334, 0x1, x1, 704, x2) - -inst_196: -// rs1_val==858993460 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x412c, 0x33333334, 0xb505, x1, 708, x2) - -inst_197: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x33333334, 0x33333334, 0x66666667, x1, 712, x2) - -inst_198: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 716, x2) - -inst_199: -// rs1_val==858993460 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x33333334, 0x6, x1, 720, x2) - -inst_200: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x33333334, 0x33333334, 0xaaaaaaab, x1, 724, x2) - -inst_201: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x33333334, 0x33333334, 0x55555556, x1, 728, x2) - -inst_202: -// rs1_val==858993460 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x33333334, 0x4, x1, 732, x2) - -inst_203: -// rs1_val==858993460 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x999a, 0x33333334, 0xfffe, x1, 736, x2) - -inst_204: -// rs1_val==858993460 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 740, x2) - -inst_205: -// rs1_val==858993460 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x1cf9, 0x33333334, 0xb503, x1, 744, x2) - -inst_206: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x33333334, 0x33333334, 0x66666665, x1, 748, x2) - -inst_207: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x33333334, 0x33333332, x1, 752, x2) - -inst_208: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x33333334, 0x33333334, 0xaaaaaaa9, x1, 756, x2) - -inst_209: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x33333334, 0x33333334, 0x55555554, x1, 760, x2) - -inst_210: -// rs1_val==858993460 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x33333334, 0x2, x1, 764, x2) - -inst_211: -// rs1_val==858993460 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x6667, 0x33333334, 0xffff, x1, 768, x2) - -inst_212: -// rs1_val==858993460 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x8994, 0x33333334, 0xb504, x1, 772, x2) - -inst_213: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x33333334, 0x33333334, 0x66666666, x1, 776, x2) - -inst_214: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x33333334, 0x33333333, x1, 780, x2) - -inst_215: -// rs1_val==858993460 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x33333334, 0x5, x1, 784, x2) - -inst_216: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x33333334, 0x33333334, 0xaaaaaaaa, x1, 788, x2) - -inst_217: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x33333334, 0x33333334, 0x55555555, x1, 792, x2) - -inst_218: -// rs1_val==858993460 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x33333334, 0x3, x1, 796, x2) - -inst_219: -// rs1_val==6 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0x10000, x1, 800, x2) - -inst_220: -// rs1_val==6 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x6, 0x1, x1, 804, x2) - -inst_221: -// rs1_val==6 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0xb505, x1, 808, x2) - -inst_222: -// rs1_val==6 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0x66666667, x1, 812, x2) - -inst_223: -// rs1_val==6 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0x33333334, x1, 816, x2) - -inst_224: -// rs1_val==6 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x6, 0x6, x1, 820, x2) - -inst_225: -// rs1_val==6 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0xaaaaaaab, x1, 824, x2) - -inst_226: -// rs1_val==6 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0x55555556, x1, 828, x2) - -inst_227: -// rs1_val==6 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x6, 0x4, x1, 832, x2) - -inst_228: -// rs1_val==6 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0xfffe, x1, 836, x2) - -inst_229: -// rs1_val==6 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0x0, x1, 840, x2) - -inst_230: -// rs1_val==6 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0xb503, x1, 844, x2) - -inst_231: -// rs1_val==6 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0x66666665, x1, 848, x2) - -inst_232: -// rs1_val==6 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0x33333332, x1, 852, x2) - -inst_233: -// rs1_val==6 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0xaaaaaaa9, x1, 856, x2) - -inst_234: -// rs1_val==6 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0x55555554, x1, 860, x2) - -inst_235: -// rs1_val==6 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x6, 0x2, x1, 864, x2) - -inst_236: -// rs1_val==6 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0xffff, x1, 868, x2) - -inst_237: -// rs1_val==6 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0xb504, x1, 872, x2) - -inst_238: -// rs1_val==6 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0x66666666, x1, 876, x2) - -inst_239: -// rs1_val==6 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0x33333333, x1, 880, x2) - -inst_240: -// rs1_val==6 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x6, 0x5, x1, 884, x2) - -inst_241: -// rs1_val==6 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0xaaaaaaaa, x1, 888, x2) - -inst_242: -// rs1_val==6 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x6, 0x6, 0x55555555, x1, 892, x2) - -inst_243: -// rs1_val==6 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x6, 0x3, x1, 896, x2) - -inst_244: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0xaaab, 0xaaaaaaab, 0x10000, x1, 900, x2) - -inst_245: -// rs1_val==2863311531 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x1, x1, 904, x2) - -inst_246: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x9ce4, 0xaaaaaaab, 0xb505, x1, 908, x2) - -inst_247: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x44444444, 0xaaaaaaab, 0x66666667, x1, 912, x2) - -inst_248: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x1111110f, 0xaaaaaaab, 0x33333334, x1, 916, x2) - -inst_249: -// rs1_val==2863311531 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0xaaaaaaab, 0x6, x1, 920, x2) - -inst_250: -// rs1_val==2863311531 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaab, x1, 924, x2) - -inst_251: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x55555555, 0xaaaaaaab, 0x55555556, x1, 928, x2) - -inst_252: -// rs1_val==2863311531 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0xaaaaaaab, 0x4, x1, 932, x2) - -inst_253: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0xaaaaaaab, 0xfffe, x1, 936, x2) - -inst_254: -// rs1_val==2863311531 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x0, x1, 940, x2) - -inst_255: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x6091, 0xaaaaaaab, 0xb503, x1, 944, x2) - -inst_256: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x44444446, 0xaaaaaaab, 0x66666665, x1, 948, x2) - -inst_257: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x11111115, 0xaaaaaaab, 0x33333332, x1, 952, x2) - -inst_258: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0xaaaaaaab, 0xaaaaaaa9, x1, 956, x2) - -inst_259: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0xaaaaaaab, 0x55555554, x1, 960, x2) - -inst_260: -// rs1_val==2863311531 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xaaaaaaab, 0x2, x1, 964, x2) - -inst_261: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x5556, 0xaaaaaaab, 0xffff, x1, 968, x2) - -inst_262: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x2437, 0xaaaaaaab, 0xb504, x1, 972, x2) - -inst_263: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x44444445, 0xaaaaaaab, 0x66666666, x1, 976, x2) - -inst_264: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x11111112, 0xaaaaaaab, 0x33333333, x1, 980, x2) - -inst_265: -// rs1_val==2863311531 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xaaaaaaab, 0x5, x1, 984, x2) - -inst_266: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xaaaaaaab, 0xaaaaaaaa, x1, 988, x2) - -inst_267: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xaaaaaaab, 0x55555555, x1, 992, x2) - -inst_268: -// rs1_val==2863311531 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x3, x1, 996, x2) - -inst_269: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x5556, 0x55555556, 0x10000, x1, 1000, x2) - -inst_270: -// rs1_val==1431655766 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x55555556, 0x1, x1, 1004, x2) - -inst_271: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0xa8f5, 0x55555556, 0xb505, x1, 1008, x2) - -inst_272: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x55555556, 0x55555556, 0x66666667, x1, 1012, x2) - -inst_273: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x22222222, 0x55555556, 0x33333334, x1, 1016, x2) - -inst_274: -// rs1_val==1431655766 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x55555556, 0x6, x1, 1020, x2) - -inst_275: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x55555556, 0x55555556, 0xaaaaaaab, x1, 1024, x2) - -inst_276: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 1028, x2) - -inst_277: -// rs1_val==1431655766 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x55555556, 0x4, x1, 1032, x2) - -inst_278: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x55555556, 0xfffe, x1, 1036, x2) - -inst_279: -// rs1_val==1431655766 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 1040, x2) - -inst_280: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x3049, 0x55555556, 0xb503, x1, 1044, x2) - -inst_281: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x55555556, 0x55555556, 0x66666665, x1, 1048, x2) - -inst_282: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x22222224, 0x55555556, 0x33333332, x1, 1052, x2) - -inst_283: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x55555556, 0x55555556, 0xaaaaaaa9, x1, 1056, x2) - -inst_284: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 1060, x2) - -inst_285: -// rs1_val==1431655766 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x55555556, 0x2, x1, 1064, x2) - -inst_286: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0xaaab, 0x55555556, 0xffff, x1, 1068, x2) - -inst_287: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x6c9e, 0x55555556, 0xb504, x1, 1072, x2) - -inst_288: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x55555556, 0x55555556, 0x66666666, x1, 1076, x2) - -inst_289: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x22222223, 0x55555556, 0x33333333, x1, 1080, x2) - -inst_290: -// rs1_val==1431655766 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x55555556, 0x5, x1, 1084, x2) - -inst_291: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x55555556, 0x55555556, 0xaaaaaaaa, x1, 1088, x2) - -inst_292: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x55555556, 0x55555555, x1, 1092, x2) - -inst_293: -// rs1_val==1431655766 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x55555556, 0x3, x1, 1096, x2) - -inst_294: -// rs1_val==4 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x10000, x1, 1100, x2) - -inst_295: -// rs1_val==4 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x4, 0x1, x1, 1104, x2) - -inst_296: -// rs1_val==4 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0xb505, x1, 1108, x2) - -inst_297: -// rs1_val==4 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x66666667, x1, 1112, x2) - -inst_298: -// rs1_val==4 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x33333334, x1, 1116, x2) - -inst_299: -// rs1_val==4 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x6, x1, 1120, x2) - -inst_300: -// rs1_val==4 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0xaaaaaaab, x1, 1124, x2) - -inst_301: -// rs1_val==4 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x55555556, x1, 1128, x2) - -inst_302: -// rs1_val==4 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x4, 0x4, x1, 1132, x2) - -inst_303: -// rs1_val==4 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0xfffe, x1, 1136, x2) - -inst_304: -// rs1_val==4 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x0, x1, 1140, x2) - -inst_305: -// rs1_val==4 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0xb503, x1, 1144, x2) - -inst_306: -// rs1_val==4 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x66666665, x1, 1148, x2) - -inst_307: -// rs1_val==4 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x33333332, x1, 1152, x2) - -inst_308: -// rs1_val==4 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0xaaaaaaa9, x1, 1156, x2) - -inst_309: -// rs1_val==4 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x55555554, x1, 1160, x2) - -inst_310: -// rs1_val==4 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x4, 0x2, x1, 1164, x2) - -inst_311: -// rs1_val==4 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0xffff, x1, 1168, x2) - -inst_312: -// rs1_val==4 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0xb504, x1, 1172, x2) - -inst_313: -// rs1_val==4 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x66666666, x1, 1176, x2) - -inst_314: -// rs1_val==4 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x33333333, x1, 1180, x2) - -inst_315: -// rs1_val==4 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x5, x1, 1184, x2) - -inst_316: -// rs1_val==4 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0xaaaaaaaa, x1, 1188, x2) - -inst_317: -// rs1_val==4 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x4, 0x55555555, x1, 1192, x2) - -inst_318: -// rs1_val==4 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x4, 0x3, x1, 1196, x2) - -inst_319: -// rs1_val==65534 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0x10000, x1, 1200, x2) - -inst_320: -// rs1_val==65534 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xfffe, 0x1, x1, 1204, x2) - -inst_321: -// rs1_val==65534 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x4af9, 0xfffe, 0xb505, x1, 1208, x2) - -inst_322: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0x66666667, x1, 1212, x2) - -inst_323: -// rs1_val==65534 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0x33333334, x1, 1216, x2) - -inst_324: -// rs1_val==65534 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0xfffe, 0x6, x1, 1220, x2) - -inst_325: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0xaaaaaaab, x1, 1224, x2) - -inst_326: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0x55555556, x1, 1228, x2) - -inst_327: -// rs1_val==65534 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0xfffe, 0x4, x1, 1232, x2) - -inst_328: -// rs1_val==65534 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xfffe, 0xfffe, x1, 1236, x2) - -inst_329: -// rs1_val==65534 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0x0, x1, 1240, x2) - -inst_330: -// rs1_val==65534 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x4afb, 0xfffe, 0xb503, x1, 1244, x2) - -inst_331: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0x66666665, x1, 1248, x2) - -inst_332: -// rs1_val==65534 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0x33333332, x1, 1252, x2) - -inst_333: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0xaaaaaaa9, x1, 1256, x2) - -inst_334: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0x55555554, x1, 1260, x2) - -inst_335: -// rs1_val==65534 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xfffe, 0x2, x1, 1264, x2) - -inst_336: -// rs1_val==65534 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0xffff, x1, 1268, x2) - -inst_337: -// rs1_val==65534 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x4afa, 0xfffe, 0xb504, x1, 1272, x2) - -inst_338: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0x66666666, x1, 1276, x2) - -inst_339: -// rs1_val==65534 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0x33333333, x1, 1280, x2) - -inst_340: -// rs1_val==65534 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0xfffe, 0x5, x1, 1284, x2) - -inst_341: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0xaaaaaaaa, x1, 1288, x2) - -inst_342: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0xfffe, 0xfffe, 0x55555555, x1, 1292, x2) - -inst_343: -// rs1_val==65534 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0xfffe, 0x3, x1, 1296, x2) - -inst_344: -// rs1_val==0 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x10000, x1, 1300, x2) - -inst_345: -// rs1_val==0 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x1, x1, 1304, x2) - -inst_346: -// rs1_val==0 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0xb505, x1, 1308, x2) - -inst_347: -// rs1_val==0 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x66666667, x1, 1312, x2) - -inst_348: -// rs1_val==0 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x33333334, x1, 1316, x2) - -inst_349: -// rs1_val==0 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x6, x1, 1320, x2) - -inst_350: -// rs1_val==0 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0xaaaaaaab, x1, 1324, x2) - -inst_351: -// rs1_val==0 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x55555556, x1, 1328, x2) - -inst_352: -// rs1_val==0 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x4, x1, 1332, x2) - -inst_353: -// rs1_val==0 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0xfffe, x1, 1336, x2) - -inst_354: -// rs1_val==0 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1340, x2) - -inst_355: -// rs1_val==0 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0xb503, x1, 1344, x2) - -inst_356: -// rs1_val==0 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x66666665, x1, 1348, x2) - -inst_357: -// rs1_val==0 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x33333332, x1, 1352, x2) - -inst_358: -// rs1_val==0 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0xaaaaaaa9, x1, 1356, x2) - -inst_359: -// rs1_val==0 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x55555554, x1, 1360, x2) - -inst_360: -// rs1_val==0 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x2, x1, 1364, x2) - -inst_361: -// rs1_val==0 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0xffff, x1, 1368, x2) - -inst_362: -// rs1_val==0 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0xb504, x1, 1372, x2) - -inst_363: -// rs1_val==0 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x66666666, x1, 1376, x2) - -inst_364: -// rs1_val==0 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x33333333, x1, 1380, x2) - -inst_365: -// rs1_val==0 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x5, x1, 1384, x2) - -inst_366: -// rs1_val==0 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0xaaaaaaaa, x1, 1388, x2) - -inst_367: -// rs1_val==0 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x55555555, x1, 1392, x2) - -inst_368: -// rs1_val==0 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x0, 0x3, x1, 1396, x2) - -inst_369: -// rs1_val==46339 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0x10000, x1, 1400, x2) - -inst_370: -// rs1_val==46339 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xb503, 0x1, x1, 1404, x2) - -inst_371: -// rs1_val==46339 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0xb505, x1, 1408, x2) - -inst_372: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0x66666667, x1, 1412, x2) - -inst_373: -// rs1_val==46339 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0x33333334, x1, 1416, x2) - -inst_374: -// rs1_val==46339 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xb503, 0x6, x1, 1420, x2) - -inst_375: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0xaaaaaaab, x1, 1424, x2) - -inst_376: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0x55555556, x1, 1428, x2) - -inst_377: -// rs1_val==46339 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0xb503, 0x4, x1, 1432, x2) - -inst_378: -// rs1_val==46339 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0xfffe, x1, 1436, x2) - -inst_379: -// rs1_val==46339 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1440, x2) - -inst_380: -// rs1_val==46339 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1444, x2) - -inst_381: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0x66666665, x1, 1448, x2) - -inst_382: -// rs1_val==46339 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0x33333332, x1, 1452, x2) - -inst_383: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0xaaaaaaa9, x1, 1456, x2) - -inst_384: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0x55555554, x1, 1460, x2) - -inst_385: -// rs1_val==46339 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xb503, 0x2, x1, 1464, x2) - -inst_386: -// rs1_val==46339 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0xffff, x1, 1468, x2) - -inst_387: -// rs1_val==46339 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0xb504, x1, 1472, x2) - -inst_388: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0x66666666, x1, 1476, x2) - -inst_389: -// rs1_val==46339 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0x33333333, x1, 1480, x2) - -inst_390: -// rs1_val==46339 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0xb503, 0x5, x1, 1484, x2) - -inst_391: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0xaaaaaaaa, x1, 1488, x2) - -inst_392: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0xb503, 0xb503, 0x55555555, x1, 1492, x2) - -inst_393: -// rs1_val==46339 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xb503, 0x3, x1, 1496, x2) - -inst_394: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x6665, 0x66666665, 0x10000, x1, 1500, x2) - -inst_395: -// rs1_val==1717986917 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x66666665, 0x1, x1, 1504, x2) - -inst_396: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x8255, 0x66666665, 0xb505, x1, 1508, x2) - -inst_397: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x66666665, 0x66666665, 0x66666667, x1, 1512, x2) - -inst_398: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x33333331, 0x66666665, 0x33333334, x1, 1516, x2) - -inst_399: -// rs1_val==1717986917 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x66666665, 0x6, x1, 1520, x2) - -inst_400: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x66666665, 0x66666665, 0xaaaaaaab, x1, 1524, x2) - -inst_401: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x1111110f, 0x66666665, 0x55555556, x1, 1528, x2) - -inst_402: -// rs1_val==1717986917 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x66666665, 0x4, x1, 1532, x2) - -inst_403: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x3333, 0x66666665, 0xfffe, x1, 1536, x2) - -inst_404: -// rs1_val==1717986917 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1540, x2) - -inst_405: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x39ef, 0x66666665, 0xb503, x1, 1544, x2) - -inst_406: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1548, x2) - -inst_407: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x66666665, 0x33333332, x1, 1552, x2) - -inst_408: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x66666665, 0x66666665, 0xaaaaaaa9, x1, 1556, x2) - -inst_409: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x11111111, 0x66666665, 0x55555554, x1, 1560, x2) - -inst_410: -// rs1_val==1717986917 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x66666665, 0x2, x1, 1564, x2) - -inst_411: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0xcccb, 0x66666665, 0xffff, x1, 1568, x2) - -inst_412: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x5e21, 0x66666665, 0xb504, x1, 1572, x2) - -inst_413: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x66666665, 0x66666665, 0x66666666, x1, 1576, x2) - -inst_414: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x66666665, 0x33333333, x1, 1580, x2) - -inst_415: -// rs1_val==1717986917 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x66666665, 0x5, x1, 1584, x2) - -inst_416: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x66666665, 0x66666665, 0xaaaaaaaa, x1, 1588, x2) - -inst_417: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x11111110, 0x66666665, 0x55555555, x1, 1592, x2) - -inst_418: -// rs1_val==1717986917 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x66666665, 0x3, x1, 1596, x2) - -inst_419: -// rs1_val==858993458 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x3332, 0x33333332, 0x10000, x1, 1600, x2) - -inst_420: -// rs1_val==858993458 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x33333332, 0x1, x1, 1604, x2) - -inst_421: -// rs1_val==858993458 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x412a, 0x33333332, 0xb505, x1, 1608, x2) - -inst_422: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x33333332, 0x66666667, x1, 1612, x2) - -inst_423: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x33333332, 0x33333334, x1, 1616, x2) - -inst_424: -// rs1_val==858993458 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x33333332, 0x6, x1, 1620, x2) - -inst_425: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x33333332, 0xaaaaaaab, x1, 1624, x2) - -inst_426: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x33333332, 0x55555556, x1, 1628, x2) - -inst_427: -// rs1_val==858993458 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x33333332, 0x4, x1, 1632, x2) - -inst_428: -// rs1_val==858993458 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x9998, 0x33333332, 0xfffe, x1, 1636, x2) - -inst_429: -// rs1_val==858993458 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1640, x2) - -inst_430: -// rs1_val==858993458 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x1cf7, 0x33333332, 0xb503, x1, 1644, x2) - -inst_431: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x33333332, 0x66666665, x1, 1648, x2) - -inst_432: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1652, x2) - -inst_433: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x33333332, 0xaaaaaaa9, x1, 1656, x2) - -inst_434: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x33333332, 0x55555554, x1, 1660, x2) - -inst_435: -// rs1_val==858993458 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x33333332, 0x2, x1, 1664, x2) - -inst_436: -// rs1_val==858993458 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x6665, 0x33333332, 0xffff, x1, 1668, x2) - -inst_437: -// rs1_val==858993458 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x8992, 0x33333332, 0xb504, x1, 1672, x2) - -inst_438: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x33333332, 0x66666666, x1, 1676, x2) - -inst_439: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x33333332, 0x33333333, x1, 1680, x2) - -inst_440: -// rs1_val==858993458 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x33333332, 0x5, x1, 1684, x2) - -inst_441: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x33333332, 0xaaaaaaaa, x1, 1688, x2) - -inst_442: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x33333332, 0x55555555, x1, 1692, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x33333332, 0x3, x1, 1696, x2) - -inst_444: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0xaaa9, 0xaaaaaaa9, 0x10000, x1, 1700, x2) - -inst_445: -// rs1_val==2863311529 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x1, x1, 1704, x2) - -inst_446: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x9ce2, 0xaaaaaaa9, 0xb505, x1, 1708, x2) - -inst_447: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x44444442, 0xaaaaaaa9, 0x66666667, x1, 1712, x2) - -inst_448: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x1111110d, 0xaaaaaaa9, 0x33333334, x1, 1716, x2) - -inst_449: -// rs1_val==2863311529 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0x6, x1, 1720, x2) - -inst_450: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0xaaaaaaab, x1, 1724, x2) - -inst_451: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x55555553, 0xaaaaaaa9, 0x55555556, x1, 1728, x2) - -inst_452: -// rs1_val==2863311529 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0x4, x1, 1732, x2) - -inst_453: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xfffe, x1, 1736, x2) - -inst_454: -// rs1_val==2863311529 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x0, x1, 1740, x2) - -inst_455: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x608f, 0xaaaaaaa9, 0xb503, x1, 1744, x2) - -inst_456: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x44444444, 0xaaaaaaa9, 0x66666665, x1, 1748, x2) - -inst_457: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x11111113, 0xaaaaaaa9, 0x33333332, x1, 1752, x2) - -inst_458: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xaaaaaaa9, x1, 1756, x2) - -inst_459: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0x55555554, x1, 1760, x2) - -inst_460: -// rs1_val==2863311529 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0x2, x1, 1764, x2) - -inst_461: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x5554, 0xaaaaaaa9, 0xffff, x1, 1768, x2) - -inst_462: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x2435, 0xaaaaaaa9, 0xb504, x1, 1772, x2) - -inst_463: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x44444443, 0xaaaaaaa9, 0x66666666, x1, 1776, x2) - -inst_464: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x11111110, 0xaaaaaaa9, 0x33333333, x1, 1780, x2) - -inst_465: -// rs1_val==2863311529 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0xaaaaaaa9, 0x5, x1, 1784, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x55555554, 0x4, x1, 1788, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x55555554, 0xfffe, x1, 1792, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1796, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x3047, 0x55555554, 0xb503, x1, 1800, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x55555554, 0x55555554, 0x66666665, x1, 1804, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x22222222, 0x55555554, 0x33333332, x1, 1808, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x55555554, 0x55555554, 0xaaaaaaa9, x1, 1812, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1816, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x55555554, 0x2, x1, 1820, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0xaaa9, 0x55555554, 0xffff, x1, 1824, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x6c9c, 0x55555554, 0xb504, x1, 1828, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x55555554, 0x55555554, 0x66666666, x1, 1832, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x22222221, 0x55555554, 0x33333333, x1, 1836, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x55555554, 0x5, x1, 1840, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x55555554, 0x55555554, 0xaaaaaaaa, x1, 1844, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x55555554, 0x55555554, 0x55555555, x1, 1848, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x55555554, 0x3, x1, 1852, x2) - -inst_483: -// rs1_val==2 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x10000, x1, 1856, x2) - -inst_484: -// rs1_val==2 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x2, 0x1, x1, 1860, x2) - -inst_485: -// rs1_val==2 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0xb505, x1, 1864, x2) - -inst_486: -// rs1_val==2 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x66666667, x1, 1868, x2) - -inst_487: -// rs1_val==2 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x33333334, x1, 1872, x2) - -inst_488: -// rs1_val==2 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x6, x1, 1876, x2) - -inst_489: -// rs1_val==2 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0xaaaaaaab, x1, 1880, x2) - -inst_490: -// rs1_val==2 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x55555556, x1, 1884, x2) - -inst_491: -// rs1_val==2 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x4, x1, 1888, x2) - -inst_492: -// rs1_val==2 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0xfffe, x1, 1892, x2) - -inst_493: -// rs1_val==2 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1896, x2) - -inst_494: -// rs1_val==2 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0xb503, x1, 1900, x2) - -inst_495: -// rs1_val==2 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x66666665, x1, 1904, x2) - -inst_496: -// rs1_val==2 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x33333332, x1, 1908, x2) - -inst_497: -// rs1_val==2 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0xaaaaaaa9, x1, 1912, x2) - -inst_498: -// rs1_val==2 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x55555554, x1, 1916, x2) - -inst_499: -// rs1_val==2 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x2, 0x2, x1, 1920, x2) - -inst_500: -// rs1_val==2 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0xffff, x1, 1924, x2) - -inst_501: -// rs1_val==2 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0xb504, x1, 1928, x2) - -inst_502: -// rs1_val==2 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x66666666, x1, 1932, x2) - -inst_503: -// rs1_val==2 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x33333333, x1, 1936, x2) - -inst_504: -// rs1_val==2 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x5, x1, 1940, x2) - -inst_505: -// rs1_val==2 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0xaaaaaaaa, x1, 1944, x2) - -inst_506: -// rs1_val==2 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x55555555, x1, 1948, x2) - -inst_507: -// rs1_val==2 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x2, 0x3, x1, 1952, x2) - -inst_508: -// rs1_val==65535 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0xffff, 0xffff, 0x10000, x1, 1956, x2) - -inst_509: -// rs1_val==65535 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xffff, 0x1, x1, 1960, x2) - -inst_510: -// rs1_val==65535 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x4afa, 0xffff, 0xb505, x1, 1964, x2) - -inst_511: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0xffff, 0xffff, 0x66666667, x1, 1968, x2) - -inst_512: -// rs1_val==65535 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0xffff, 0xffff, 0x33333334, x1, 1972, x2) - -inst_513: -// rs1_val==65535 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0xffff, 0x6, x1, 1976, x2) - -inst_514: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0xffff, 0xffff, 0xaaaaaaab, x1, 1980, x2) - -inst_515: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0xffff, 0xffff, 0x55555556, x1, 1984, x2) - -inst_516: -// rs1_val==65535 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0xffff, 0x4, x1, 1988, x2) - -inst_517: -// rs1_val==65535 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xffff, 0xfffe, x1, 1992, x2) - -inst_518: -// rs1_val==65535 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0xffff, 0xffff, 0x0, x1, 1996, x2) - -inst_519: -// rs1_val==65535 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x4afc, 0xffff, 0xb503, x1, 2000, x2) - -inst_520: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0xffff, 0xffff, 0x66666665, x1, 2004, x2) - -inst_521: -// rs1_val==65535 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0xffff, 0xffff, 0x33333332, x1, 2008, x2) - -inst_522: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0xffff, 0xffff, 0xaaaaaaa9, x1, 2012, x2) - -inst_523: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0xffff, 0xffff, 0x55555554, x1, 2016, x2) - -inst_524: -// rs1_val==65535 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xffff, 0x2, x1, 2020, x2) - -inst_525: -// rs1_val==65535 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xffff, 0xffff, x1, 2024, x2) - -inst_526: -// rs1_val==65535 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x4afb, 0xffff, 0xb504, x1, 2028, x2) - -inst_527: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0xffff, 0xffff, 0x66666666, x1, 2032, x2) - -inst_528: -// rs1_val==65535 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0xffff, 0xffff, 0x33333333, x1, 2036, x2) - -inst_529: -// rs1_val==65535 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xffff, 0x5, x1, 2040, x2) - -inst_530: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0xffff, 0xffff, 0xaaaaaaaa, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_531: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0xffff, 0xffff, 0x55555555, x1, 0, x2) - -inst_532: -// rs1_val==65535 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xffff, 0x3, x1, 4, x2) - -inst_533: -// rs1_val==46340 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0x10000, x1, 8, x2) - -inst_534: -// rs1_val==46340 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xb504, 0x1, x1, 12, x2) - -inst_535: -// rs1_val==46340 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0xb505, x1, 16, x2) - -inst_536: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0x66666667, x1, 20, x2) - -inst_537: -// rs1_val==46340 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0x33333334, x1, 24, x2) - -inst_538: -// rs1_val==46340 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0xb504, 0x6, x1, 28, x2) - -inst_539: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0xaaaaaaab, x1, 32, x2) - -inst_540: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0x55555556, x1, 36, x2) - -inst_541: -// rs1_val==46340 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xb504, 0x4, x1, 40, x2) - -inst_542: -// rs1_val==46340 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0xfffe, x1, 44, x2) - -inst_543: -// rs1_val==46340 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 48, x2) - -inst_544: -// rs1_val==46340 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xb504, 0xb503, x1, 52, x2) - -inst_545: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0x66666665, x1, 56, x2) - -inst_546: -// rs1_val==46340 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0x33333332, x1, 60, x2) - -inst_547: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0xaaaaaaa9, x1, 64, x2) - -inst_548: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0x55555554, x1, 68, x2) - -inst_549: -// rs1_val==46340 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xb504, 0x2, x1, 72, x2) - -inst_550: -// rs1_val==46340 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0xffff, x1, 76, x2) - -inst_551: -// rs1_val==46340 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 80, x2) - -inst_552: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0x66666666, x1, 84, x2) - -inst_553: -// rs1_val==46340 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0x33333333, x1, 88, x2) - -inst_554: -// rs1_val==46340 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xb504, 0x5, x1, 92, x2) - -inst_555: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0xaaaaaaaa, x1, 96, x2) - -inst_556: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0xb504, 0xb504, 0x55555555, x1, 100, x2) - -inst_557: -// rs1_val==46340 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0xb504, 0x3, x1, 104, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x6666, 0x66666666, 0x10000, x1, 108, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x66666666, 0x1, x1, 112, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x8256, 0x66666666, 0xb505, x1, 116, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x66666666, 0x66666666, 0x66666667, x1, 120, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x33333332, 0x66666666, 0x33333334, x1, 124, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x66666666, 0x6, x1, 128, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x66666666, 0x66666666, 0xaaaaaaab, x1, 132, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x11111110, 0x66666666, 0x55555556, x1, 136, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x66666666, 0x4, x1, 140, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x3334, 0x66666666, 0xfffe, x1, 144, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 148, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x39f0, 0x66666666, 0xb503, x1, 152, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x66666666, 0x66666665, x1, 156, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x66666666, 0x33333332, x1, 160, x2) - -inst_572: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x66666666, 0x66666666, 0xaaaaaaa9, x1, 164, x2) - -inst_573: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x11111112, 0x66666666, 0x55555554, x1, 168, x2) - -inst_574: -// rs1_val==1717986918 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x66666666, 0x2, x1, 172, x2) - -inst_575: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0xcccc, 0x66666666, 0xffff, x1, 176, x2) - -inst_576: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x5e22, 0x66666666, 0xb504, x1, 180, x2) - -inst_577: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 184, x2) - -inst_578: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x1, 188, x2) - -inst_579: -// rs1_val==1717986918 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x66666666, 0x5, x1, 192, x2) - -inst_580: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x66666666, 0x66666666, 0xaaaaaaaa, x1, 196, x2) - -inst_581: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x11111111, 0x66666666, 0x55555555, x1, 200, x2) - -inst_582: -// rs1_val==1717986918 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x66666666, 0x3, x1, 204, x2) - -inst_583: -// rs1_val==858993459 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x3333, 0x33333333, 0x10000, x1, 208, x2) - -inst_584: -// rs1_val==858993459 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x33333333, 0x1, x1, 212, x2) - -inst_585: -// rs1_val==858993459 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x412b, 0x33333333, 0xb505, x1, 216, x2) - -inst_586: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x33333333, 0x33333333, 0x66666667, x1, 220, x2) - -inst_587: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x33333333, 0x33333333, 0x33333334, x1, 224, x2) - -inst_588: -// rs1_val==858993459 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x33333333, 0x6, x1, 228, x2) - -inst_589: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x33333333, 0x33333333, 0xaaaaaaab, x1, 232, x2) - -inst_590: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x33333333, 0x33333333, 0x55555556, x1, 236, x2) - -inst_591: -// rs1_val==858993459 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x33333333, 0x4, x1, 240, x2) - -inst_592: -// rs1_val==858993459 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x9999, 0x33333333, 0xfffe, x1, 244, x2) - -inst_593: -// rs1_val==858993459 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 248, x2) - -inst_594: -// rs1_val==2863311529 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0x3, x1, 252, x2) - -inst_595: -// rs1_val==858993459 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x1cf8, 0x33333333, 0xb503, x1, 256, x2) - -inst_596: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x33333333, 0x33333333, 0x66666665, x1, 260, x2) - -inst_597: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 264, x2) - -inst_598: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x33333333, 0x33333333, 0xaaaaaaa9, x1, 268, x2) - -inst_599: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x33333333, 0x33333333, 0x55555554, x1, 272, x2) - -inst_600: -// rs1_val==858993459 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x33333333, 0x2, x1, 276, x2) - -inst_601: -// rs1_val==858993459 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x6666, 0x33333333, 0xffff, x1, 280, x2) - -inst_602: -// rs1_val==858993459 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x8993, 0x33333333, 0xb504, x1, 284, x2) - -inst_603: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x33333333, 0x33333333, 0x66666666, x1, 288, x2) - -inst_604: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 292, x2) - -inst_605: -// rs1_val==858993459 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x4, 0x33333333, 0x5, x1, 296, x2) - -inst_606: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x33333333, 0x33333333, 0xaaaaaaaa, x1, 300, x2) - -inst_607: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x33333333, 0x33333333, 0x55555555, x1, 304, x2) - -inst_608: -// rs1_val==858993459 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x33333333, 0x3, x1, 308, x2) - -inst_609: -// rs1_val==5 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0x10000, x1, 312, x2) - -inst_610: -// rs1_val==5 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x5, 0x1, x1, 316, x2) - -inst_611: -// rs1_val==5 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0xb505, x1, 320, x2) - -inst_612: -// rs1_val==5 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0x66666667, x1, 324, x2) - -inst_613: -// rs1_val==5 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0x33333334, x1, 328, x2) - -inst_614: -// rs1_val==5 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0x6, x1, 332, x2) - -inst_615: -// rs1_val==5 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0xaaaaaaab, x1, 336, x2) - -inst_616: -// rs1_val==5 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0x55555556, x1, 340, x2) - -inst_617: -// rs1_val==5 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x5, 0x4, x1, 344, x2) - -inst_618: -// rs1_val==5 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0xfffe, x1, 348, x2) - -inst_619: -// rs1_val==5 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0x0, x1, 352, x2) - -inst_620: -// rs1_val==5 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0xb503, x1, 356, x2) - -inst_621: -// rs1_val==5 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0x66666665, x1, 360, x2) - -inst_622: -// rs1_val==5 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0x33333332, x1, 364, x2) - -inst_623: -// rs1_val==5 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0xaaaaaaa9, x1, 368, x2) - -inst_624: -// rs1_val==5 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0x55555554, x1, 372, x2) - -inst_625: -// rs1_val==5 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x5, 0x2, x1, 376, x2) - -inst_626: -// rs1_val==5 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0xffff, x1, 380, x2) - -inst_627: -// rs1_val==5 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0xb504, x1, 384, x2) - -inst_628: -// rs1_val==5 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0x66666666, x1, 388, x2) - -inst_629: -// rs1_val==5 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0x33333333, x1, 392, x2) - -inst_630: -// rs1_val==5 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x5, 0x5, x1, 396, x2) - -inst_631: -// rs1_val==5 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0xaaaaaaaa, x1, 400, x2) - -inst_632: -// rs1_val==5 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x5, 0x5, 0x55555555, x1, 404, x2) - -inst_633: -// rs1_val==5 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0x5, 0x3, x1, 408, x2) - -inst_634: -// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0xaaaa, 0xaaaaaaaa, 0x10000, x1, 412, x2) - -inst_635: -// rs1_val==2863311530 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x1, x1, 416, x2) - -inst_636: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x9ce3, 0xaaaaaaaa, 0xb505, x1, 420, x2) - -inst_637: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x44444443, 0xaaaaaaaa, 0x66666667, x1, 424, x2) - -inst_638: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x1111110e, 0xaaaaaaaa, 0x33333334, x1, 428, x2) - -inst_639: -// rs1_val==2863311530 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0xaaaaaaaa, 0x6, x1, 432, x2) - -inst_640: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0xaaaaaaab, x1, 436, x2) - -inst_641: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x55555554, 0xaaaaaaaa, 0x55555556, x1, 440, x2) - -inst_642: -// rs1_val==2863311530 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0xaaaaaaaa, 0x4, x1, 444, x2) - -inst_643: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0xaaaaaaaa, 0xfffe, x1, 448, x2) - -inst_644: -// rs1_val==2863311530 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x0, x1, 452, x2) - -inst_645: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x6090, 0xaaaaaaaa, 0xb503, x1, 456, x2) - -inst_646: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x44444445, 0xaaaaaaaa, 0x66666665, x1, 460, x2) - -inst_647: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x11111114, 0xaaaaaaaa, 0x33333332, x1, 464, x2) - -inst_648: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0xaaaaaaa9, x1, 468, x2) - -inst_649: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0xaaaaaaaa, 0x55555554, x1, 472, x2) - -inst_650: -// rs1_val==2863311530 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x2, x1, 476, x2) - -inst_651: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x5555, 0xaaaaaaaa, 0xffff, x1, 480, x2) - -inst_652: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x2436, 0xaaaaaaaa, 0xb504, x1, 484, x2) - -inst_653: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x44444444, 0xaaaaaaaa, 0x66666666, x1, 488, x2) - -inst_654: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x11111111, 0xaaaaaaaa, 0x33333333, x1, 492, x2) - -inst_655: -// rs1_val==2863311530 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x5, x1, 496, x2) - -inst_656: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaaa, x1, 500, x2) - -inst_657: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555555, x1, 504, x2) - -inst_658: -// rs1_val==2863311530 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x2, 0xaaaaaaaa, 0x3, x1, 508, x2) - -inst_659: -// rs1_val==1431655765 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x5555, 0x55555555, 0x10000, x1, 512, x2) - -inst_660: -// rs1_val==1431655765 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x55555555, 0x1, x1, 516, x2) - -inst_661: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0xa8f4, 0x55555555, 0xb505, x1, 520, x2) - -inst_662: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x55555555, 0x55555555, 0x66666667, x1, 524, x2) - -inst_663: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x22222221, 0x55555555, 0x33333334, x1, 528, x2) - -inst_664: -// rs1_val==1431655765 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x55555555, 0x6, x1, 532, x2) - -inst_665: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x55555555, 0x55555555, 0xaaaaaaab, x1, 536, x2) - -inst_666: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x55555555, 0x55555555, 0x55555556, x1, 540, x2) - -inst_667: -// rs1_val==1431655765 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x55555555, 0x4, x1, 544, x2) - -inst_668: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x55555555, 0xfffe, x1, 548, x2) - -inst_669: -// rs1_val==1431655765 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 552, x2) - -inst_670: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x3048, 0x55555555, 0xb503, x1, 556, x2) - -inst_671: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x55555555, 0x55555555, 0x66666665, x1, 560, x2) - -inst_672: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x22222223, 0x55555555, 0x33333332, x1, 564, x2) - -inst_673: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x55555555, 0x55555555, 0xaaaaaaa9, x1, 568, x2) - -inst_674: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 572, x2) - -inst_675: -// rs1_val==1431655765 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x55555555, 0x2, x1, 576, x2) - -inst_676: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0xaaaa, 0x55555555, 0xffff, x1, 580, x2) - -inst_677: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x6c9d, 0x55555555, 0xb504, x1, 584, x2) - -inst_678: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x55555555, 0x55555555, 0x66666666, x1, 588, x2) - -inst_679: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x22222222, 0x55555555, 0x33333333, x1, 592, x2) - -inst_680: -// rs1_val==1431655765 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x55555555, 0x5, x1, 596, x2) - -inst_681: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x55555555, 0x55555555, 0xaaaaaaaa, x1, 600, x2) - -inst_682: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 604, x2) - -inst_683: -// rs1_val==1431655765 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x55555555, 0x3, x1, 608, x2) - -inst_684: -// rs1_val==3 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0x10000, x1, 612, x2) - -inst_685: -// rs1_val==3 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x3, 0x1, x1, 616, x2) - -inst_686: -// rs1_val==3 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0xb505, x1, 620, x2) - -inst_687: -// rs1_val==3 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0x66666667, x1, 624, x2) - -inst_688: -// rs1_val==3 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0x33333334, x1, 628, x2) - -inst_689: -// rs1_val==3 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0x6, x1, 632, x2) - -inst_690: -// rs1_val==3 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0xaaaaaaab, x1, 636, x2) - -inst_691: -// rs1_val==3 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0x55555556, x1, 640, x2) - -inst_692: -// rs1_val==3 and rs2_val==4, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0x4, x1, 644, x2) - -inst_693: -// rs1_val==3 and rs2_val==65534, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xfffe -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0xfffe, x1, 648, x2) - -inst_694: -// rs1_val==3 and rs2_val==0, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0x0, x1, 652, x2) - -inst_695: -// rs1_val==3 and rs2_val==46339, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0xb503, x1, 656, x2) - -inst_696: -// rs1_val==3 and rs2_val==1717986917, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0x66666665, x1, 660, x2) - -inst_697: -// rs1_val==3 and rs2_val==858993458, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0x33333332, x1, 664, x2) - -inst_698: -// rs1_val==3 and rs2_val==2863311529, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaa9 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0xaaaaaaa9, x1, 668, x2) - -inst_699: -// rs1_val==3 and rs2_val==1431655764, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0x55555554, x1, 672, x2) - -inst_700: -// rs1_val==3 and rs2_val==2, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(remu, x12, x10, x11, 0x1, 0x3, 0x2, x1, 676, x2) - -inst_701: -// rs1_val==3 and rs2_val==65535, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffff -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0xffff, x1, 680, x2) - -inst_702: -// rs1_val==3 and rs2_val==46340, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0xb504, x1, 684, x2) - -inst_703: -// rs1_val==3 and rs2_val==1717986918, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0x66666666, x1, 688, x2) - -inst_704: -// rs1_val==3 and rs2_val==858993459, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0x33333333, x1, 692, x2) - -inst_705: -// rs1_val==3 and rs2_val==5, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0x5, x1, 696, x2) - -inst_706: -// rs1_val==3 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0xaaaaaaaa, x1, 700, x2) - -inst_707: -// rs1_val==3 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x3, 0x3, 0x55555555, x1, 704, x2) - -inst_708: -// rs1_val==3 and rs2_val==3, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x3, 0x3, x1, 708, x2) - -inst_709: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa -TEST_RR_OP(remu, x12, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0xaaaaaaaa, x1, 712, x2) - -inst_710: -// rs1_val == (2**(xlen)-1), -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xffffffef -TEST_RR_OP(remu, x12, x10, x11, 0x10, 0xffffffff, 0xffffffef, x1, 716, x2) - -inst_711: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555555 -TEST_RR_OP(remu, x12, x10, x11, 0x55555554, 0xaaaaaaa9, 0x55555555, x1, 720, x2) - -inst_712: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x10000 -TEST_RR_OP(remu, x12, x10, x11, 0x5554, 0x55555554, 0x10000, x1, 724, x2) - -inst_713: -// rs1_val==1431655764 and rs2_val==1, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x55555554, 0x1, x1, 728, x2) - -inst_714: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(remu, x12, x10, x11, 0xa8f3, 0x55555554, 0xb505, x1, 732, x2) - -inst_715: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(remu, x12, x10, x11, 0x55555554, 0x55555554, 0x66666667, x1, 736, x2) - -inst_716: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(remu, x12, x10, x11, 0x22222220, 0x55555554, 0x33333334, x1, 740, x2) - -inst_717: -// rs1_val==1431655764 and rs2_val==6, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(remu, x12, x10, x11, 0x0, 0x55555554, 0x6, x1, 744, x2) - -inst_718: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaab -TEST_RR_OP(remu, x12, x10, x11, 0x55555554, 0x55555554, 0xaaaaaaab, x1, 748, x2) - -inst_719: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(remu, x12, x10, x11, 0x55555554, 0x55555554, 0x55555556, x1, 752, x2) - -inst_720: -// rs2_val == 3221225471, rs1_val == 32768 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xbfffffff -TEST_RR_OP(remu, x12, x10, x11, 0x8000, 0x8000, 0xbfffffff, x1, 756, x2) - -inst_721: -// rs2_val == 3758096383, -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xc; op2val:0xdfffffff -TEST_RR_OP(remu, x12, x10, x11, 0xc, 0xc, 0xdfffffff, x1, 760, x2) - -inst_722: -// rs2_val == 4227858431, rs1_val == 1431655765 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xfbffffff -TEST_RR_OP(remu, x12, x10, x11, 0x55555555, 0x55555555, 0xfbffffff, x1, 764, x2) - -inst_723: -// rs2_val == 4261412863, rs1_val == 3758096383 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffff; op2val:0xfdffffff -TEST_RR_OP(remu, x12, x10, x11, 0xdfffffff, 0xdfffffff, 0xfdffffff, x1, 768, x2) - -inst_724: -// rs2_val == 4292870143, rs1_val == 4294967291 -// opcode: remu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffb; op2val:0xffdfffff -TEST_RR_OP(remu, x12, x10, x11, 0x1ffffc, 0xfffffffb, 0xffdfffff, x1, 772, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 194*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-add-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-add-01.S deleted file mode 100644 index a85ce8b44..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-add-01.S +++ /dev/null @@ -1,3004 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the add instruction of the RISC-V E extension for the add covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",add) - -RVTEST_SIGBASE( x10,signature_x10_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x7, rs2==x9, rd==x5, rs1_val != rs2_val, rs1_val < 0 and rs2_val < 0, rs2_val == -16385, rs1_val == -2049 -// opcode: add ; op1:x7; op2:x9; dest:x5; op1val:-0x801; op2val:-0x4001 -TEST_RR_OP(add, x5, x7, x9, 0xffffb7fe, -0x801, -0x4001, x10, 0, x11) - -inst_1: -// rs1 == rd != rs2, rs1==x12, rs2==x3, rd==x12, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs1_val == -262145, rs2_val == (2**(xlen-1)-1) -// opcode: add ; op1:x12; op2:x3; dest:x12; op1val:-0x40001; op2val:0x7fffffff -TEST_RR_OP(add, x12, x12, x3, 0x7ffbfffe, -0x40001, 0x7fffffff, x10, 4, x11) - -inst_2: -// rs2 == rd != rs1, rs1==x6, rs2==x1, rd==x1, rs2_val == -1073741825, rs1_val == -4194305 -// opcode: add ; op1:x6; op2:x1; dest:x1; op1val:-0x400001; op2val:-0x40000001 -TEST_RR_OP(add, x1, x6, x1, 0xbfbffffe, -0x400001, -0x40000001, x10, 8, x11) - -inst_3: -// rs1 == rs2 != rd, rs1==x2, rs2==x2, rd==x7, rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 -// opcode: add ; op1:x2; op2:x2; dest:x7; op1val:0x20; op2val:0x20 -TEST_RR_OP(add, x7, x2, x2, 0x40, 0x20, 0x20, x10, 12, x11) - -inst_4: -// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == -268435457, rs1_val == 4 -// opcode: add ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 -TEST_RR_OP(add, x0, x0, x0, 0, 0x0, 0x0, x10, 16, x11) - -inst_5: -// rs1==x8, rs2==x4, rd==x14, rs2_val == -134217729, rs1_val == -16777217 -// opcode: add ; op1:x8; op2:x4; dest:x14; op1val:-0x1000001; op2val:-0x8000001 -TEST_RR_OP(add, x14, x8, x4, 0xf6fffffe, -0x1000001, -0x8000001, x10, 20, x11) - -inst_6: -// rs1==x4, rs2==x5, rd==x15, rs2_val == -67108865, -// opcode: add ; op1:x4; op2:x5; dest:x15; op1val:0x7; op2val:-0x4000001 -TEST_RR_OP(add, x15, x4, x5, 0xfc000006, 0x7, -0x4000001, x10, 24, x1) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x14, rs2==x11, rd==x6, rs2_val == -33554433, -// opcode: add ; op1:x14; op2:x11; dest:x6; op1val:0x33333333; op2val:-0x2000001 -TEST_RR_OP(add, x6, x14, x11, 0x31333332, 0x33333333, -0x2000001, x4, 0, x1) - -inst_8: -// rs1==x3, rs2==x10, rd==x2, rs2_val == -16777217, rs1_val == 2048 -// opcode: add ; op1:x3; op2:x10; dest:x2; op1val:0x800; op2val:-0x1000001 -TEST_RR_OP(add, x2, x3, x10, 0xff0007ff, 0x800, -0x1000001, x4, 4, x1) - -inst_9: -// rs1==x13, rs2==x6, rd==x9, rs2_val == -8388609, rs1_val == -17 -// opcode: add ; op1:x13; op2:x6; dest:x9; op1val:-0x11; op2val:-0x800001 -TEST_RR_OP(add, x9, x13, x6, 0xff7fffee, -0x11, -0x800001, x4, 8, x1) - -inst_10: -// rs1==x15, rs2==x14, rd==x13, rs2_val == -4194305, rs1_val == -5 -// opcode: add ; op1:x15; op2:x14; dest:x13; op1val:-0x5; op2val:-0x400001 -TEST_RR_OP(add, x13, x15, x14, 0xffbffffa, -0x5, -0x400001, x4, 12, x1) - -inst_11: -// rs1==x11, rs2==x12, rd==x3, rs2_val == -2097153, rs1_val == -33554433 -// opcode: add ; op1:x11; op2:x12; dest:x3; op1val:-0x2000001; op2val:-0x200001 -TEST_RR_OP(add, x3, x11, x12, 0xfddffffe, -0x2000001, -0x200001, x4, 16, x1) - -inst_12: -// rs1==x5, rs2==x15, rd==x11, rs2_val == -1048577, rs1_val == -131073 -// opcode: add ; op1:x5; op2:x15; dest:x11; op1val:-0x20001; op2val:-0x100001 -TEST_RR_OP(add, x11, x5, x15, 0xffedfffe, -0x20001, -0x100001, x4, 20, x2) - -inst_13: -// rs1==x1, rs2==x13, rd==x10, rs2_val == -524289, rs1_val == -2097153 -// opcode: add ; op1:x1; op2:x13; dest:x10; op1val:-0x200001; op2val:-0x80001 -TEST_RR_OP(add, x10, x1, x13, 0xffd7fffe, -0x200001, -0x80001, x4, 24, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_14: -// rs1==x10, rs2==x8, rd==x4, rs2_val == -262145, rs1_val == 128 -// opcode: add ; op1:x10; op2:x8; dest:x4; op1val:0x80; op2val:-0x40001 -TEST_RR_OP(add, x4, x10, x8, 0xfffc007f, 0x80, -0x40001, x1, 0, x2) - -inst_15: -// rs1==x9, rs2==x7, rd==x8, rs2_val == -131073, -// opcode: add ; op1:x9; op2:x7; dest:x8; op1val:-0x2000001; op2val:-0x20001 -TEST_RR_OP(add, x8, x9, x7, 0xfdfdfffe, -0x2000001, -0x20001, x1, 4, x2) - -inst_16: -// rs2_val == -65537, rs1_val == -1431655766 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x10001 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9aaa9, -0x55555556, -0x10001, x1, 8, x2) - -inst_17: -// rs2_val == -32769, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x8001 -TEST_RR_OP(add, x12, x10, x11, 0x3332b331, 0x33333332, -0x8001, x1, 12, x2) - -inst_18: -// rs2_val == -8193, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x2001 -TEST_RR_OP(add, x12, x10, x11, 0xffffe002, 0x3, -0x2001, x1, 16, x2) - -inst_19: -// rs2_val == -4097, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xfffff008, 0x9, -0x1001, x1, 20, x2) - -inst_20: -// rs2_val == -2049, rs1_val == -524289 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x801 -TEST_RR_OP(add, x12, x10, x11, 0xfff7f7fe, -0x80001, -0x801, x1, 24, x2) - -inst_21: -// rs2_val == -1025, rs1_val == 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x401 -TEST_RR_OP(add, x12, x10, x11, 0xfffffbff, 0x0, -0x401, x1, 28, x2) - -inst_22: -// rs2_val == -513, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x201 -TEST_RR_OP(add, x12, x10, x11, 0xfffff5fe, -0x801, -0x201, x1, 32, x2) - -inst_23: -// rs2_val == -257, rs1_val == 1431655765 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x101 -TEST_RR_OP(add, x12, x10, x11, 0x55555454, 0x55555555, -0x101, x1, 36, x2) - -inst_24: -// rs2_val == -129, rs1_val == -3 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0xffffff7c, -0x3, -0x81, x1, 40, x2) - -inst_25: -// rs2_val == -65, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x41 -TEST_RR_OP(add, x12, x10, x11, 0xffdfffbe, -0x200001, -0x41, x1, 44, x2) - -inst_26: -// rs2_val == -33, rs1_val == -129 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x21 -TEST_RR_OP(add, x12, x10, x11, 0xffffff5e, -0x81, -0x21, x1, 48, x2) - -inst_27: -// rs2_val == -17, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x11 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, 0x9, -0x11, x1, 52, x2) - -inst_28: -// rs2_val == -9, rs1_val == -16385 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x9 -TEST_RR_OP(add, x12, x10, x11, 0xffffbff6, -0x4001, -0x9, x1, 56, x2) - -inst_29: -// rs2_val == -5, rs1_val == 134217728 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0x5 -TEST_RR_OP(add, x12, x10, x11, 0x7fffffb, 0x8000000, -0x5, x1, 60, x2) - -inst_30: -// rs2_val == -3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffdffffc, -0x200001, -0x3, x1, 64, x2) - -inst_31: -// rs2_val == -2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffffbffd, -0x4001, -0x2, x1, 68, x2) - -inst_32: -// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 131072 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000 -TEST_RR_OP(add, x12, x10, x11, 0x8001ffff, 0x7fffffff, 0x20000, x1, 72, x2) - -inst_33: -// rs1_val == -1073741825, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x26666664, -0x40000001, 0x66666665, x1, 76, x2) - -inst_34: -// rs1_val == -536870913, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x8001 -TEST_RR_OP(add, x12, x10, x11, 0xdfff7ffe, -0x20000001, -0x8001, x1, 80, x2) - -inst_35: -// rs1_val == -268435457, rs2_val == 32 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x20 -TEST_RR_OP(add, x12, x10, x11, 0xf000001f, -0x10000001, 0x20, x1, 84, x2) - -inst_36: -// rs1_val == -134217729, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0xf7ffff7e, -0x8000001, -0x81, x1, 88, x2) - -inst_37: -// rs1_val == -67108865, rs2_val == 1048576 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x100000 -TEST_RR_OP(add, x12, x10, x11, 0xfc0fffff, -0x4000001, 0x100000, x1, 92, x2) - -inst_38: -// rs1_val == -8388609, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x4 -TEST_RR_OP(add, x12, x10, x11, 0xff7ffffb, -0x800001, -0x4, x1, 96, x2) - -inst_39: -// rs1_val == -1048577, rs2_val == 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffefffff, -0x100001, 0x0, x1, 100, x2) - -inst_40: -// rs1_val == -65537, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xfffeeffe, -0x10001, -0x1001, x1, 104, x2) - -inst_41: -// rs1_val == -32769, rs2_val == 524288 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x80000 -TEST_RR_OP(add, x12, x10, x11, 0x77fff, -0x8001, 0x80000, x1, 108, x2) - -inst_42: -// rs1_val == -8193, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffffdfff, -0x2001, 0x0, x1, 112, x2) - -inst_43: -// rs1_val == -4097, rs2_val == 262144 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 -TEST_RR_OP(add, x12, x10, x11, 0x3efff, -0x1001, 0x40000, x1, 116, x2) - -inst_44: -// rs1_val == -1025, rs2_val == 256 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x100 -TEST_RR_OP(add, x12, x10, x11, 0xfffffcff, -0x401, 0x100, x1, 120, x2) - -inst_45: -// rs1_val == -513, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x2001 -TEST_RR_OP(add, x12, x10, x11, 0xffffddfe, -0x201, -0x2001, x1, 124, x2) - -inst_46: -// rs1_val == -257, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xffffeefe, -0x101, -0x1001, x1, 128, x2) - -inst_47: -// rs1_val == -65, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x11 -TEST_RR_OP(add, x12, x10, x11, 0xffffffae, -0x41, -0x11, x1, 132, x2) - -inst_48: -// rs1_val == -33, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x7 -TEST_RR_OP(add, x12, x10, x11, 0xffffffe6, -0x21, 0x7, x1, 136, x2) - -inst_49: -// rs1_val == -9, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x2000001 -TEST_RR_OP(add, x12, x10, x11, 0xfdfffff6, -0x9, -0x2000001, x1, 140, x2) - -inst_50: -// rs1_val == -2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x6 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, -0x2, -0x6, x1, 144, x2) - -inst_51: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x80000000 -TEST_RR_OP(add, x12, x10, x11, 0x80000006, 0x6, -0x80000000, x1, 148, x2) - -inst_52: -// rs2_val == 1073741824, rs1_val == 536870912 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x40000000 -TEST_RR_OP(add, x12, x10, x11, 0x60000000, 0x20000000, 0x40000000, x1, 152, x2) - -inst_53: -// rs2_val == 536870912, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x20000000 -TEST_RR_OP(add, x12, x10, x11, 0xe0000000, -0x40000000, 0x20000000, x1, 156, x2) - -inst_54: -// rs2_val == 268435456, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10000000 -TEST_RR_OP(add, x12, x10, x11, 0xbaaaaaaa, -0x55555556, 0x10000000, x1, 160, x2) - -inst_55: -// rs2_val == 134217728, rs1_val == 1 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8000000 -TEST_RR_OP(add, x12, x10, x11, 0x8000001, 0x1, 0x8000000, x1, 164, x2) - -inst_56: -// rs2_val == 67108864, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4000000 -TEST_RR_OP(add, x12, x10, x11, 0x59555554, 0x55555554, 0x4000000, x1, 168, x2) - -inst_57: -// rs2_val == 33554432, rs1_val == 64 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x2000000 -TEST_RR_OP(add, x12, x10, x11, 0x2000040, 0x40, 0x2000000, x1, 172, x2) - -inst_58: -// rs2_val == 16777216, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1000000 -TEST_RR_OP(add, x12, x10, x11, 0x1000003, 0x3, 0x1000000, x1, 176, x2) - -inst_59: -// rs2_val == 8388608, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:0x800000 -TEST_RR_OP(add, x12, x10, x11, 0x7ffffa, -0x6, 0x800000, x1, 180, x2) - -inst_60: -// rs2_val == 4194304, rs1_val == 16 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 -TEST_RR_OP(add, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) - -inst_61: -// rs2_val == 2097152, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x200000 -TEST_RR_OP(add, x12, x10, x11, 0xfffff, -0x100001, 0x200000, x1, 188, x2) - -inst_62: -// rs2_val == 65536, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 -TEST_RR_OP(add, x12, x10, x11, 0x10000, 0x0, 0x10000, x1, 192, x2) - -inst_63: -// rs2_val == 32768, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x8000 -TEST_RR_OP(add, x12, x10, x11, 0xffff7fff, -0x10001, 0x8000, x1, 196, x2) - -inst_64: -// rs2_val == 16384, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4000 -TEST_RR_OP(add, x12, x10, x11, 0xffff8afd, -0xb503, 0x4000, x1, 200, x2) - -inst_65: -// rs2_val == 8192, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2000 -TEST_RR_OP(add, x12, x10, x11, 0x55557555, 0x55555555, 0x2000, x1, 204, x2) - -inst_66: -// rs2_val == 4096, rs1_val == 65536 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1000 -TEST_RR_OP(add, x12, x10, x11, 0x11000, 0x10000, 0x1000, x1, 208, x2) - -inst_67: -// rs2_val == 2048, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x800 -TEST_RR_OP(add, x12, x10, x11, 0x800, 0x0, 0x800, x1, 212, x2) - -inst_68: -// rs2_val == 1024, rs1_val == 524288 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x400 -TEST_RR_OP(add, x12, x10, x11, 0x80400, 0x80000, 0x400, x1, 216, x2) - -inst_69: -// rs2_val == 512, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x200 -TEST_RR_OP(add, x12, x10, x11, 0x1fd, -0x3, 0x200, x1, 220, x2) - -inst_70: -// rs2_val == 128, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x80 -TEST_RR_OP(add, x12, x10, x11, 0xfffffe7f, -0x201, 0x80, x1, 224, x2) - -inst_71: -// rs2_val == 64, rs1_val == 2 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x40 -TEST_RR_OP(add, x12, x10, x11, 0x42, 0x2, 0x40, x1, 228, x2) - -inst_72: -// rs2_val == 16, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x10 -TEST_RR_OP(add, x12, x10, x11, 0xffe0000f, -0x200001, 0x10, x1, 232, x2) - -inst_73: -// rs2_val == 8, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x8 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff7, -0x11, 0x8, x1, 236, x2) - -inst_74: -// rs2_val == 4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffb, -0x9, 0x4, x1, 240, x2) - -inst_75: -// rs2_val == 2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffffffc1, -0x41, 0x2, x1, 244, x2) - -inst_76: -// rs2_val == 1, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x1 -TEST_RR_OP(add, x12, x10, x11, 0x40000000, 0x3fffffff, 0x1, x1, 248, x2) - -inst_77: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x80000006, -0x80000000, 0x6, x1, 252, x2) - -inst_78: -// rs1_val == 1073741824, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x40000005, 0x40000000, 0x5, x1, 256, x2) - -inst_79: -// rs1_val == 268435456, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfff4afc, 0x10000000, -0xb504, x1, 260, x2) - -inst_80: -// rs1_val == 67108864, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x4000006, 0x4000000, 0x6, x1, 264, x2) - -inst_81: -// rs1_val == 33554432, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x9 -TEST_RR_OP(add, x12, x10, x11, 0x1fffff7, 0x2000000, -0x9, x1, 268, x2) - -inst_82: -// rs1_val == 16777216, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x7 -TEST_RR_OP(add, x12, x10, x11, 0xfffff9, 0x1000000, -0x7, x1, 272, x2) - -inst_83: -// rs1_val == 8388608, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x2 -TEST_RR_OP(add, x12, x10, x11, 0x7ffffe, 0x800000, -0x2, x1, 276, x2) - -inst_84: -// rs1_val == 4194304, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x201 -TEST_RR_OP(add, x12, x10, x11, 0x3ffdff, 0x400000, -0x201, x1, 280, x2) - -inst_85: -// rs1_val == 2097152, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x8 -TEST_RR_OP(add, x12, x10, x11, 0x1ffff8, 0x200000, -0x8, x1, 284, x2) - -inst_86: -// rs1_val == 1048576, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x40000001 -TEST_RR_OP(add, x12, x10, x11, 0xc00fffff, 0x100000, -0x40000001, x1, 288, x2) - -inst_87: -// rs1_val == 262144, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0x3ff7f, 0x40000, -0x81, x1, 292, x2) - -inst_88: -// rs1_val == 131072, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x40000001 -TEST_RR_OP(add, x12, x10, x11, 0xc001ffff, 0x20000, -0x40000001, x1, 296, x2) - -inst_89: -// rs1_val == 32768, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x1000000 -TEST_RR_OP(add, x12, x10, x11, 0x1008000, 0x8000, 0x1000000, x1, 300, x2) - -inst_90: -// rs1_val == 16384, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x40000000 -TEST_RR_OP(add, x12, x10, x11, 0xc0004000, 0x4000, -0x40000000, x1, 304, x2) - -inst_91: -// rs1_val == 8192, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x40000 -TEST_RR_OP(add, x12, x10, x11, 0x42000, 0x2000, 0x40000, x1, 308, x2) - -inst_92: -// rs1_val == 4096, rs2_val == -1431655766 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaabaaa, 0x1000, -0x55555556, x1, 312, x2) - -inst_93: -// rs1_val == 1024, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x8000001 -TEST_RR_OP(add, x12, x10, x11, 0xf80003ff, 0x400, -0x8000001, x1, 316, x2) - -inst_94: -// rs1_val == 512, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1 -TEST_RR_OP(add, x12, x10, x11, 0x201, 0x200, 0x1, x1, 320, x2) - -inst_95: -// rs1_val == 256, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x10001 -TEST_RR_OP(add, x12, x10, x11, 0xffff00ff, 0x100, -0x10001, x1, 324, x2) - -inst_96: -// rs1_val == 8, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80001 -TEST_RR_OP(add, x12, x10, x11, 0xfff80007, 0x8, -0x80001, x1, 328, x2) - -inst_97: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a0a, 0xb505, 0xb505, x1, 332, x2) - -inst_98: -// rs1_val==46341 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0xb505, -0xb503, x1, 336, x2) - -inst_99: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0xb505, 0x66666667, x1, 340, x2) - -inst_100: -// rs1_val==46341 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0xb505, 0x33333334, x1, 344, x2) - -inst_101: -// rs1_val==46341 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0xb505, 0x6, x1, 348, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, 0xb505, -0x55555555, x1, 352, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0xb505, 0x55555556, x1, 356, x2) - -inst_104: -// rs1_val==46341 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb505, 0x4, x1, 360, x2) - -inst_105: -// rs1_val==46341 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb505, 0xb503, x1, 364, x2) - -inst_106: -// rs1_val==46341 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 368, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb505, 0x66666665, x1, 372, x2) - -inst_108: -// rs1_val==46341 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb505, 0x33333332, x1, 376, x2) - -inst_109: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb505, 0x55555554, x1, 380, x2) - -inst_110: -// rs1_val==46341 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 384, x2) - -inst_111: -// rs1_val==46341 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb505, 0xb504, x1, 388, x2) - -inst_112: -// rs1_val==46341 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb505, -0xb504, x1, 392, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb505, 0x66666666, x1, 396, x2) - -inst_114: -// rs1_val==46341 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb505, 0x33333333, x1, 400, x2) - -inst_115: -// rs1_val==46341 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb505, 0x5, x1, 404, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb505, -0x55555556, x1, 408, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb505, 0x55555555, x1, 412, x2) - -inst_118: -// rs1_val==46341 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb505, 0x3, x1, 416, x2) - -inst_119: -// rs1_val==-46339 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x2, -0xb503, 0xb505, x1, 420, x2) - -inst_120: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95fa, -0xb503, -0xb503, x1, 424, x2) - -inst_121: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6665b164, -0xb503, 0x66666667, x1, 428, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33327e31, -0xb503, 0x33333334, x1, 432, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, -0xb503, 0x6, x1, 436, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0xb503, -0x55555555, x1, 440, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5554a053, -0xb503, 0x55555556, x1, 444, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb503, 0x4, x1, 448, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb503, 0xb503, x1, 452, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 456, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb503, 0x66666665, x1, 460, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb503, 0x33333332, x1, 464, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb503, 0x55555554, x1, 468, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 472, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb503, 0xb504, x1, 476, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb503, -0xb504, x1, 480, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb503, 0x66666666, x1, 484, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb503, 0x33333333, x1, 488, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb503, 0x5, x1, 492, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb503, -0x55555556, x1, 496, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb503, 0x55555555, x1, 500, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb503, 0x3, x1, 504, x2) - -inst_141: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0x66666667, 0xb505, x1, 508, x2) - -inst_142: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b164, 0x66666667, -0xb503, x1, 512, x2) - -inst_143: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xccccccce, 0x66666667, 0x66666667, x1, 516, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x66666667, 0x33333334, x1, 520, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x66666667, 0x6, x1, 524, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111112, 0x66666667, -0x55555555, x1, 528, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x66666667, 0x55555556, x1, 532, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666667, 0x4, x1, 536, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666667, 0xb503, x1, 540, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 544, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666667, 0x66666665, x1, 548, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666667, 0x33333332, x1, 552, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666667, 0x55555554, x1, 556, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666667, 0x2, x1, 560, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666667, 0xb504, x1, 564, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666667, -0xb504, x1, 568, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666667, 0x66666666, x1, 572, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666667, 0x33333333, x1, 576, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666667, 0x5, x1, 580, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666667, -0x55555556, x1, 584, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666667, 0x55555555, x1, 588, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666667, 0x3, x1, 592, x2) - -inst_163: -// rs1_val==858993460 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0x33333334, 0xb505, x1, 596, x2) - -inst_164: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e31, 0x33333334, -0xb503, x1, 600, x2) - -inst_165: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x33333334, 0x66666667, x1, 604, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x33333334, 0x33333334, x1, 608, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x33333334, 0x6, x1, 612, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, 0x33333334, -0x55555555, x1, 616, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x33333334, 0x55555556, x1, 620, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333334, 0x4, x1, 624, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333334, 0xb503, x1, 628, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 632, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333334, 0x66666665, x1, 636, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333334, 0x33333332, x1, 640, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333334, 0x55555554, x1, 644, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 648, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333334, 0xb504, x1, 652, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333334, -0xb504, x1, 656, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333334, 0x66666666, x1, 660, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333334, 0x33333333, x1, 664, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333334, 0x5, x1, 668, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333334, -0x55555556, x1, 672, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333334, 0x55555555, x1, 676, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 680, x2) - -inst_185: -// rs1_val==6 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0x6, 0xb505, x1, 684, x2) - -inst_186: -// rs1_val==6 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, 0x6, -0xb503, x1, 688, x2) - -inst_187: -// rs1_val==6 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x6, 0x66666667, x1, 692, x2) - -inst_188: -// rs1_val==6 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x6, 0x33333334, x1, 696, x2) - -inst_189: -// rs1_val==6 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xc, 0x6, 0x6, x1, 700, x2) - -inst_190: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, 0x6, -0x55555555, x1, 704, x2) - -inst_191: -// rs1_val==6 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x6, 0x55555556, x1, 708, x2) - -inst_192: -// rs1_val==6 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x6, 0x4, x1, 712, x2) - -inst_193: -// rs1_val==6 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x6, 0xb503, x1, 716, x2) - -inst_194: -// rs1_val==6 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x6, 0x0, x1, 720, x2) - -inst_195: -// rs1_val==6 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x6, 0x66666665, x1, 724, x2) - -inst_196: -// rs1_val==6 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x6, 0x33333332, x1, 728, x2) - -inst_197: -// rs1_val==6 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x6, 0x55555554, x1, 732, x2) - -inst_198: -// rs1_val==6 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x6, 0x2, x1, 736, x2) - -inst_199: -// rs1_val==6 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x6, 0xb504, x1, 740, x2) - -inst_200: -// rs1_val==6 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x6, -0xb504, x1, 744, x2) - -inst_201: -// rs1_val==6 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x6, 0x66666666, x1, 748, x2) - -inst_202: -// rs1_val==6 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x6, 0x33333333, x1, 752, x2) - -inst_203: -// rs1_val==6 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb, 0x6, 0x5, x1, 756, x2) - -inst_204: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x6, -0x55555556, x1, 760, x2) - -inst_205: -// rs1_val==6 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x6, 0x55555555, x1, 764, x2) - -inst_206: -// rs1_val==6 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x6, 0x3, x1, 768, x2) - -inst_207: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, -0x55555555, 0xb505, x1, 772, x2) - -inst_208: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0x55555555, -0xb503, x1, 776, x2) - -inst_209: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x11111112, -0x55555555, 0x66666667, x1, 780, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, -0x55555555, 0x33333334, x1, 784, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, -0x55555555, 0x6, x1, 788, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, -0x55555555, -0x55555555, x1, 792, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x1, 796, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 800, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555555, 0xb503, x1, 804, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 808, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555555, 0x66666665, x1, 812, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555555, 0x33333332, x1, 816, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 820, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x2, x1, 824, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555555, 0xb504, x1, 828, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555555, -0xb504, x1, 832, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555555, 0x66666666, x1, 836, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555555, 0x33333333, x1, 840, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555555, 0x5, x1, 844, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555555, -0x55555556, x1, 848, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555555, 0x55555555, x1, 852, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x3, x1, 856, x2) - -inst_229: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0x55555556, 0xb505, x1, 860, x2) - -inst_230: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a053, 0x55555556, -0xb503, x1, 864, x2) - -inst_231: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x55555556, 0x66666667, x1, 868, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x55555556, 0x33333334, x1, 872, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x55555556, 0x6, x1, 876, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0x55555556, -0x55555555, x1, 880, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x55555556, 0x55555556, x1, 884, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555556, 0x4, x1, 888, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555556, 0xb503, x1, 892, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 896, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555556, 0x66666665, x1, 900, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555556, 0x33333332, x1, 904, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555556, 0x55555554, x1, 908, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555556, 0x2, x1, 912, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555556, 0xb504, x1, 916, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555556, -0xb504, x1, 920, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555556, 0x66666666, x1, 924, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555556, 0x33333333, x1, 928, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555556, 0x5, x1, 932, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x1, 936, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555556, 0x55555555, x1, 940, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555556, 0x3, x1, 944, x2) - -inst_251: -// rs1_val==4 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x4, 0xb505, x1, 948, x2) - -inst_252: -// rs1_val==4 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x4, -0xb503, x1, 952, x2) - -inst_253: -// rs1_val==4 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x4, 0x66666667, x1, 956, x2) - -inst_254: -// rs1_val==4 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x4, 0x33333334, x1, 960, x2) - -inst_255: -// rs1_val==4 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x4, 0x6, x1, 964, x2) - -inst_256: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 968, x2) - -inst_257: -// rs1_val==4 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x4, 0x55555556, x1, 972, x2) - -inst_258: -// rs1_val==4 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x4, 0x4, x1, 976, x2) - -inst_259: -// rs1_val==4 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 980, x2) - -inst_260: -// rs1_val==4 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x4, 0x0, x1, 984, x2) - -inst_261: -// rs1_val==4 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x4, 0x66666665, x1, 988, x2) - -inst_262: -// rs1_val==4 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 992, x2) - -inst_263: -// rs1_val==4 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x4, 0x55555554, x1, 996, x2) - -inst_264: -// rs1_val==4 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x4, 0x2, x1, 1000, x2) - -inst_265: -// rs1_val==4 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x4, 0xb504, x1, 1004, x2) - -inst_266: -// rs1_val==4 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x4, -0xb504, x1, 1008, x2) - -inst_267: -// rs1_val==4 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x4, 0x66666666, x1, 1012, x2) - -inst_268: -// rs1_val==4 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1016, x2) - -inst_269: -// rs1_val==4 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x4, 0x5, x1, 1020, x2) - -inst_270: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1024, x2) - -inst_271: -// rs1_val==4 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x4, 0x55555555, x1, 1028, x2) - -inst_272: -// rs1_val==4 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1032, x2) - -inst_273: -// rs1_val==46339 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb503, 0xb505, x1, 1036, x2) - -inst_274: -// rs1_val==46339 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb503, -0xb503, x1, 1040, x2) - -inst_275: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb503, 0x66666667, x1, 1044, x2) - -inst_276: -// rs1_val==46339 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb503, 0x33333334, x1, 1048, x2) - -inst_277: -// rs1_val==46339 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb503, 0x6, x1, 1052, x2) - -inst_278: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb503, -0x55555555, x1, 1056, x2) - -inst_279: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb503, 0x55555556, x1, 1060, x2) - -inst_280: -// rs1_val==46339 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1064, x2) - -inst_281: -// rs1_val==46339 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a06, 0xb503, 0xb503, x1, 1068, x2) - -inst_282: -// rs1_val==46339 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1072, x2) - -inst_283: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0xb503, 0x66666665, x1, 1076, x2) - -inst_284: -// rs1_val==46339 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0xb503, 0x33333332, x1, 1080, x2) - -inst_285: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0xb503, 0x55555554, x1, 1084, x2) - -inst_286: -// rs1_val==46339 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb503, 0x2, x1, 1088, x2) - -inst_287: -// rs1_val==46339 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb503, 0xb504, x1, 1092, x2) - -inst_288: -// rs1_val==46339 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1096, x2) - -inst_289: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb503, 0x66666666, x1, 1100, x2) - -inst_290: -// rs1_val==46339 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb503, 0x33333333, x1, 1104, x2) - -inst_291: -// rs1_val==46339 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb503, 0x5, x1, 1108, x2) - -inst_292: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, 0xb503, -0x55555556, x1, 1112, x2) - -inst_293: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb503, 0x55555555, x1, 1116, x2) - -inst_294: -// rs1_val==46339 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb503, 0x3, x1, 1120, x2) - -inst_295: -// rs1_val==0 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1124, x2) - -inst_296: -// rs1_val==0 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1128, x2) - -inst_297: -// rs1_val==0 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1132, x2) - -inst_298: -// rs1_val==0 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1136, x2) - -inst_299: -// rs1_val==0 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1140, x2) - -inst_300: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1144, x2) - -inst_301: -// rs1_val==0 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1148, x2) - -inst_302: -// rs1_val==0 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1152, x2) - -inst_303: -// rs1_val==0 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1156, x2) - -inst_304: -// rs1_val==0 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1160, x2) - -inst_305: -// rs1_val==0 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1164, x2) - -inst_306: -// rs1_val==0 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1168, x2) - -inst_307: -// rs1_val==0 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1172, x2) - -inst_308: -// rs1_val==0 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1176, x2) - -inst_309: -// rs1_val==0 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1180, x2) - -inst_310: -// rs1_val==0 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1184, x2) - -inst_311: -// rs1_val==0 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1188, x2) - -inst_312: -// rs1_val==0 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1192, x2) - -inst_313: -// rs1_val==0 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1196, x2) - -inst_314: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1200, x2) - -inst_315: -// rs1_val==0 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1204, x2) - -inst_316: -// rs1_val==0 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1208, x2) - -inst_317: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666665, 0xb505, x1, 1212, x2) - -inst_318: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666665, -0xb503, x1, 1216, x2) - -inst_319: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666665, 0x66666667, x1, 1220, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666665, 0x33333334, x1, 1224, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666665, 0x6, x1, 1228, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666665, -0x55555555, x1, 1232, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666665, 0x55555556, x1, 1236, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666665, 0x4, x1, 1240, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0x66666665, 0xb503, x1, 1244, x2) - -inst_326: -// rs1_val==858993459 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) - -inst_327: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333333, 0x66666665, x1, 1252, x2) - -inst_328: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333333, 0x33333332, x1, 1256, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333333, 0x55555554, x1, 1260, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333333, 0x2, x1, 1264, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333333, 0xb504, x1, 1268, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333333, -0xb504, x1, 1272, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333333, 0x66666666, x1, 1276, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333333, 0x33333333, x1, 1280, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333333, 0x5, x1, 1284, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333333, -0x55555556, x1, 1288, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333333, 0x55555555, x1, 1292, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333333, 0x3, x1, 1296, x2) - -inst_339: -// rs1_val==5 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x5, 0xb505, x1, 1300, x2) - -inst_340: -// rs1_val==5 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x5, -0xb503, x1, 1304, x2) - -inst_341: -// rs1_val==5 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x5, 0x66666667, x1, 1308, x2) - -inst_342: -// rs1_val==5 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x5, 0x33333334, x1, 1312, x2) - -inst_343: -// rs1_val==5 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb, 0x5, 0x6, x1, 1316, x2) - -inst_344: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x5, -0x55555555, x1, 1320, x2) - -inst_345: -// rs1_val==5 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x5, 0x55555556, x1, 1324, x2) - -inst_346: -// rs1_val==5 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x5, 0x4, x1, 1328, x2) - -inst_347: -// rs1_val==5 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x5, 0xb503, x1, 1332, x2) - -inst_348: -// rs1_val==5 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) - -inst_349: -// rs1_val==5 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x5, 0x66666665, x1, 1340, x2) - -inst_350: -// rs1_val==5 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1344, x2) - -inst_351: -// rs1_val==5 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x5, 0x55555554, x1, 1348, x2) - -inst_352: -// rs1_val==5 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1352, x2) - -inst_353: -// rs1_val==5 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x5, 0xb504, x1, 1356, x2) - -inst_354: -// rs1_val==5 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x5, -0xb504, x1, 1360, x2) - -inst_355: -// rs1_val==5 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x5, 0x66666666, x1, 1364, x2) - -inst_356: -// rs1_val==5 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x5, 0x33333333, x1, 1368, x2) - -inst_357: -// rs1_val==5 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x5, 0x5, x1, 1372, x2) - -inst_358: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1376, x2) - -inst_359: -// rs1_val==5 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x5, 0x55555555, x1, 1380, x2) - -inst_360: -// rs1_val==5 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x5, 0x3, x1, 1384, x2) - -inst_361: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555556, 0xb505, x1, 1388, x2) - -inst_362: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555556, -0xb503, x1, 1392, x2) - -inst_363: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555556, 0x66666667, x1, 1396, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555556, 0x33333334, x1, 1400, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555556, 0x6, x1, 1404, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555556, -0x55555555, x1, 1408, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555556, 0x55555556, x1, 1412, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1416, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, -0x55555556, 0xb503, x1, 1420, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x1111110f, -0x55555556, 0x66666665, x1, 1428, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, -0x55555556, 0x33333332, x1, 1432, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1436, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x2, x1, 1440, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555556, 0xb504, x1, 1444, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0x55555556, -0xb504, x1, 1448, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555556, 0x66666666, x1, 1452, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555556, 0x33333333, x1, 1456, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1460, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, -0x55555556, -0x55555556, x1, 1464, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1468, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555556, 0x3, x1, 1472, x2) - -inst_383: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555555, 0xb505, x1, 1476, x2) - -inst_384: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555555, -0xb503, x1, 1480, x2) - -inst_385: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555555, 0x66666667, x1, 1484, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555555, 0x33333334, x1, 1488, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555555, 0x6, x1, 1492, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x1, 1496, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555555, 0x55555556, x1, 1500, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555555, 0x4, x1, 1504, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555555, 0xb503, x1, 1508, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555555, 0x66666665, x1, 1516, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555555, 0x33333332, x1, 1520, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555555, 0x55555554, x1, 1524, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1528, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555555, 0xb504, x1, 1532, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555555, -0xb504, x1, 1536, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555555, 0x66666666, x1, 1540, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555555, 0x33333333, x1, 1544, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555555, 0x5, x1, 1548, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1552, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555555, 0x55555555, x1, 1556, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555555, 0x3, x1, 1560, x2) - -inst_405: -// rs1_val==3 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x3, 0xb505, x1, 1564, x2) - -inst_406: -// rs1_val==3 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x3, -0xb503, x1, 1568, x2) - -inst_407: -// rs1_val==3 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x3, 0x66666667, x1, 1572, x2) - -inst_408: -// rs1_val==3 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1576, x2) - -inst_409: -// rs1_val==3 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x3, 0x6, x1, 1580, x2) - -inst_410: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x3, -0x55555555, x1, 1584, x2) - -inst_411: -// rs1_val==3 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x3, 0x55555556, x1, 1588, x2) - -inst_412: -// rs1_val==3 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1592, x2) - -inst_413: -// rs1_val==3 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x3, 0xb503, x1, 1596, x2) - -inst_414: -// rs1_val==3 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) - -inst_415: -// rs1_val==3 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x3, 0x66666665, x1, 1604, x2) - -inst_416: -// rs1_val==3 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x3, 0x33333332, x1, 1608, x2) - -inst_417: -// rs1_val==3 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1612, x2) - -inst_418: -// rs1_val==3 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x3, 0x2, x1, 1616, x2) - -inst_419: -// rs1_val==3 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1620, x2) - -inst_420: -// rs1_val==3 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1624, x2) - -inst_421: -// rs1_val==3 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x3, 0x66666666, x1, 1628, x2) - -inst_422: -// rs1_val==3 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x3, 0x33333333, x1, 1632, x2) - -inst_423: -// rs1_val==3 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x3, 0x5, x1, 1636, x2) - -inst_424: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x3, -0x55555556, x1, 1640, x2) - -inst_425: -// rs1_val==3 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x3, 0x55555555, x1, 1644, x2) - -inst_426: -// rs1_val==3 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x3, 0x3, x1, 1648, x2) - -inst_427: -// rs1_val==1717986917 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1652, x2) - -inst_428: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xccccccca, 0x66666665, 0x66666665, x1, 1656, x2) - -inst_429: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x66666665, 0x33333332, x1, 1660, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x66666665, 0x55555554, x1, 1664, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1668, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666665, 0xb504, x1, 1672, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b161, 0x66666665, -0xb504, x1, 1676, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666665, 0x66666666, x1, 1680, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666665, 0x33333333, x1, 1684, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666665, 0x5, x1, 1688, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x1111110f, 0x66666665, -0x55555556, x1, 1692, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666665, 0x55555555, x1, 1696, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666665, 0x3, x1, 1700, x2) - -inst_440: -// rs1_val==858993458 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333332, 0xb505, x1, 1704, x2) - -inst_441: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333332, -0xb503, x1, 1708, x2) - -inst_442: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333332, 0x66666667, x1, 1712, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333332, 0x33333334, x1, 1716, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333332, 0x6, x1, 1720, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333332, -0x55555555, x1, 1724, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333332, 0x55555556, x1, 1728, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1732, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0x33333332, 0xb503, x1, 1736, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1740, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x33333332, 0x66666665, x1, 1744, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666664, 0x33333332, 0x33333332, x1, 1748, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x33333332, 0x55555554, x1, 1752, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333332, 0x2, x1, 1756, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333332, 0xb504, x1, 1760, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, 0x33333332, -0xb504, x1, 1764, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333332, 0x66666666, x1, 1768, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333332, 0x33333333, x1, 1772, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1776, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, 0x33333332, -0x55555556, x1, 1780, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333332, 0x55555555, x1, 1784, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333332, 0x3, x1, 1788, x2) - -inst_462: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555554, 0xb505, x1, 1792, x2) - -inst_463: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555554, -0xb503, x1, 1796, x2) - -inst_464: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555554, 0x66666667, x1, 1800, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555554, 0x33333334, x1, 1804, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555554, 0x6, x1, 1808, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1812, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555554, 0x55555556, x1, 1816, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555554, 0x4, x1, 1820, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0x55555554, 0xb503, x1, 1824, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1828, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x55555554, 0x66666665, x1, 1832, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x55555554, 0x33333332, x1, 1836, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa8, 0x55555554, 0x55555554, x1, 1840, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1844, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555554, 0xb504, x1, 1848, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a050, 0x55555554, -0xb504, x1, 1852, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555554, 0x66666666, x1, 1856, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555554, 0x33333333, x1, 1860, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555554, 0x5, x1, 1864, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1868, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555554, 0x55555555, x1, 1872, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1876, x2) - -inst_484: -// rs1_val==2 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1880, x2) - -inst_485: -// rs1_val==2 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1884, x2) - -inst_486: -// rs1_val==2 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x2, 0x66666667, x1, 1888, x2) - -inst_487: -// rs1_val==2 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1892, x2) - -inst_488: -// rs1_val==2 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x2, 0x6, x1, 1896, x2) - -inst_489: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x2, -0x55555555, x1, 1900, x2) - -inst_490: -// rs1_val==2 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x2, 0x55555556, x1, 1904, x2) - -inst_491: -// rs1_val==2 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1908, x2) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x2, 0xb503, x1, 1912, x2) - -inst_493: -// rs1_val==2 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1916, x2) - -inst_494: -// rs1_val==2 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1920, x2) - -inst_495: -// rs1_val==2 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x2, 0x33333332, x1, 1924, x2) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1928, x2) - -inst_497: -// rs1_val==2 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x2, 0x2, x1, 1932, x2) - -inst_498: -// rs1_val==2 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1936, x2) - -inst_499: -// rs1_val==2 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1940, x2) - -inst_500: -// rs1_val==2 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x2, 0x66666666, x1, 1944, x2) - -inst_501: -// rs1_val==2 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x2, 0x33333333, x1, 1948, x2) - -inst_502: -// rs1_val==2 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1952, x2) - -inst_503: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x2, -0x55555556, x1, 1956, x2) - -inst_504: -// rs1_val==2 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1960, x2) - -inst_505: -// rs1_val==2 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x2, 0x3, x1, 1964, x2) - -inst_506: -// rs1_val==46340 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb504, 0xb505, x1, 1968, x2) - -inst_507: -// rs1_val==46340 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb504, -0xb503, x1, 1972, x2) - -inst_508: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb504, 0x66666667, x1, 1976, x2) - -inst_509: -// rs1_val==46340 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb504, 0x33333334, x1, 1980, x2) - -inst_510: -// rs1_val==46340 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb504, 0x6, x1, 1984, x2) - -inst_511: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb504, -0x55555555, x1, 1988, x2) - -inst_512: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb504, 0x55555556, x1, 1992, x2) - -inst_513: -// rs1_val==46340 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb504, 0x4, x1, 1996, x2) - -inst_514: -// rs1_val==46340 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb504, 0xb503, x1, 2000, x2) - -inst_515: -// rs1_val==46340 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 2004, x2) - -inst_516: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb504, 0x66666665, x1, 2008, x2) - -inst_517: -// rs1_val==46340 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb504, 0x33333332, x1, 2012, x2) - -inst_518: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb504, 0x55555554, x1, 2016, x2) - -inst_519: -// rs1_val==46340 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2020, x2) - -inst_520: -// rs1_val==46340 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb504, 0xb504, x1, 2024, x2) - -inst_521: -// rs1_val==46340 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb504, -0xb504, x1, 2028, x2) - -inst_522: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb504, 0x66666666, x1, 2032, x2) - -inst_523: -// rs1_val==46340 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb504, 0x33333333, x1, 2036, x2) - -inst_524: -// rs1_val==46340 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb504, 0x5, x1, 2040, x2) - -inst_525: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb504, -0x55555556, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_526: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb504, 0x55555555, x1, 0, x2) - -inst_527: -// rs1_val==46340 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 4, x2) - -inst_528: -// rs1_val==-46340 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb504, 0xb505, x1, 8, x2) - -inst_529: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb504, -0xb503, x1, 12, x2) - -inst_530: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb504, 0x66666667, x1, 16, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb504, 0x33333334, x1, 20, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb504, 0x6, x1, 24, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb504, -0x55555555, x1, 28, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb504, 0x55555556, x1, 32, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb504, 0x4, x1, 36, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 40, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 44, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6665b161, -0xb504, 0x66666665, x1, 48, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, -0xb504, 0x33333332, x1, 52, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5554a050, -0xb504, 0x55555554, x1, 56, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 60, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb504, 0xb504, x1, 64, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f8, -0xb504, -0xb504, x1, 68, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb504, 0x66666666, x1, 72, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb504, 0x33333333, x1, 76, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb504, 0x5, x1, 80, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0xb504, -0x55555556, x1, 84, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb504, 0x55555555, x1, 88, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 92, x2) - -inst_550: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666666, 0xb505, x1, 96, x2) - -inst_551: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666666, -0xb503, x1, 100, x2) - -inst_552: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666666, 0x66666667, x1, 104, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666666, 0x33333334, x1, 108, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666666, 0x6, x1, 112, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666666, -0x55555555, x1, 116, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666666, 0x55555556, x1, 120, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666666, 0x4, x1, 124, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666666, 0xb503, x1, 128, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 132, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666666, 0x66666665, x1, 136, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666666, 0x33333332, x1, 140, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666666, 0x55555554, x1, 144, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666666, 0x2, x1, 148, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666666, 0xb504, x1, 152, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666666, -0xb504, x1, 156, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666666, 0x66666666, x1, 160, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666666, 0x33333333, x1, 164, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666666, 0x5, x1, 168, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666666, -0x55555556, x1, 172, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666666, 0x55555555, x1, 176, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666666, 0x3, x1, 180, x2) - -inst_572: -// rs1_val==858993459 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333333, 0xb505, x1, 184, x2) - -inst_573: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333333, -0xb503, x1, 188, x2) - -inst_574: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333333, 0x66666667, x1, 192, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333333, 0x33333334, x1, 196, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333333, 0x6, x1, 200, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333333, -0x55555555, x1, 204, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333333, 0x55555556, x1, 208, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 212, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333333, 0xb503, x1, 216, x2) - -inst_581: -// rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x20000001 -TEST_RR_OP(add, x12, x10, x11, 0xe000001f, 0x20, -0x20000001, x1, 220, x2) - -inst_582: -// rs2_val == -268435457, rs1_val == 4 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10000001 -TEST_RR_OP(add, x12, x10, x11, 0xf0000003, 0x4, -0x10000001, x1, 224, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x10_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x10_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S deleted file mode 100644 index 619bf6f28..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S +++ /dev/null @@ -1,2894 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the addi instruction of the RISC-V E extension for the addi covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",addi) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x6, rd==x5, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 536870912 -// opcode: addi ; op1:x6; dest:x5; op1val:0x20000000; immval:-0x800 -TEST_IMM_OP( addi, x5, x6, 0x1ffff800, 0x20000000, -0x800, x4, 0, x8) - -inst_1: -// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: addi ; op1:x10; dest:x10; op1val:0x7fffffff; immval:0x667 -TEST_IMM_OP( addi, x10, x10, 0x80000666, 0x7fffffff, 0x667, x4, 4, x8) - -inst_2: -// rs1==x14, rd==x0, rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 -// opcode: addi ; op1:x14; dest:x0; op1val:-0x40000001; immval:0x40 -TEST_IMM_OP( addi, x0, x14, 0, -0x40000001, 0x40, x4, 8, x8) - -inst_3: -// rs1==x2, rd==x11, rs1_val == -536870913, -// opcode: addi ; op1:x2; dest:x11; op1val:-0x20000001; immval:0x556 -TEST_IMM_OP( addi, x11, x2, 0xe0000555, -0x20000001, 0x556, x4, 12, x8) - -inst_4: -// rs1==x15, rd==x6, rs1_val == -268435457, imm_val == -17, rs1_val < 0 and imm_val < 0 -// opcode: addi ; op1:x15; dest:x6; op1val:-0x10000001; immval:-0x11 -TEST_IMM_OP( addi, x6, x15, 0xefffffee, -0x10000001, -0x11, x4, 16, x8) - -inst_5: -// rs1==x13, rd==x1, rs1_val == -134217729, -// opcode: addi ; op1:x13; dest:x1; op1val:-0x8000001; immval:-0x4 -TEST_IMM_OP( addi, x1, x13, 0xf7fffffb, -0x8000001, -0x4, x4, 20, x8) - -inst_6: -// rs1==x5, rd==x3, rs1_val == -67108865, imm_val == 0 -// opcode: addi ; op1:x5; dest:x3; op1val:-0x4000001; immval:0x0 -TEST_IMM_OP( addi, x3, x5, 0xfbffffff, -0x4000001, 0x0, x4, 24, x8) - -inst_7: -// rs1==x0, rd==x15, rs1_val == -33554433, -// opcode: addi ; op1:x0; dest:x15; op1val:0x0; immval:0x0 -TEST_IMM_OP( addi, x15, x0, 0x0, 0x0, 0x0, x4, 28, x8) - -inst_8: -// rs1==x12, rd==x7, rs1_val == -16777217, imm_val == 4 -// opcode: addi ; op1:x12; dest:x7; op1val:-0x1000001; immval:0x4 -TEST_IMM_OP( addi, x7, x12, 0xff000003, -0x1000001, 0x4, x4, 32, x8) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_9: -// rs1==x1, rd==x8, rs1_val == -8388609, -// opcode: addi ; op1:x1; dest:x8; op1val:-0x800001; immval:0x40 -TEST_IMM_OP( addi, x8, x1, 0xff80003f, -0x800001, 0x40, x5, 0, x6) - -inst_10: -// rs1==x11, rd==x4, rs1_val == -4194305, imm_val == -5 -// opcode: addi ; op1:x11; dest:x4; op1val:-0x400001; immval:-0x5 -TEST_IMM_OP( addi, x4, x11, 0xffbffffa, -0x400001, -0x5, x5, 4, x6) - -inst_11: -// rs1==x7, rd==x13, rs1_val == -2097153, imm_val == 1365 -// opcode: addi ; op1:x7; dest:x13; op1val:-0x200001; immval:0x555 -TEST_IMM_OP( addi, x13, x7, 0xffe00554, -0x200001, 0x555, x5, 8, x6) - -inst_12: -// rs1==x3, rd==x14, rs1_val == -1048577, -// opcode: addi ; op1:x3; dest:x14; op1val:-0x100001; immval:-0x11 -TEST_IMM_OP( addi, x14, x3, 0xffefffee, -0x100001, -0x11, x5, 12, x6) - -inst_13: -// rs1==x4, rd==x2, rs1_val == -524289, -// opcode: addi ; op1:x4; dest:x2; op1val:-0x80001; immval:-0xa -TEST_IMM_OP( addi, x2, x4, 0xfff7fff5, -0x80001, -0xa, x5, 16, x6) - -inst_14: -// rs1==x8, rd==x9, rs1_val == -262145, imm_val == -513 -// opcode: addi ; op1:x8; dest:x9; op1val:-0x40001; immval:-0x201 -TEST_IMM_OP( addi, x9, x8, 0xfffbfdfe, -0x40001, -0x201, x5, 20, x6) - -inst_15: -// rs1==x9, rd==x12, rs1_val == -131073, -// opcode: addi ; op1:x9; dest:x12; op1val:-0x20001; immval:0x3 -TEST_IMM_OP( addi, x12, x9, 0xfffe0002, -0x20001, 0x3, x5, 24, x6) - -inst_16: -// rs1_val == -65537, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff0004, -0x10001, 0x5, x5, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs1_val == -32769, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff8005, -0x8001, 0x6, x1, 0, x2) - -inst_18: -// rs1_val == -16385, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x8 -TEST_IMM_OP( addi, x11, x10, 0xffffbff7, -0x4001, -0x8, x1, 4, x2) - -inst_19: -// rs1_val == -8193, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x6 -TEST_IMM_OP( addi, x11, x10, 0xffffdff9, -0x2001, -0x6, x1, 8, x2) - -inst_20: -// rs1_val == -4097, imm_val == -33 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x21 -TEST_IMM_OP( addi, x11, x10, 0xffffefde, -0x1001, -0x21, x1, 12, x2) - -inst_21: -// rs1_val == -2049, imm_val == 512 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x801; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0xfffff9ff, -0x801, 0x200, x1, 16, x2) - -inst_22: -// rs1_val == -1025, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x401; immval:-0x6 -TEST_IMM_OP( addi, x11, x10, 0xfffffbf9, -0x401, -0x6, x1, 20, x2) - -inst_23: -// rs1_val == -513, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x201; immval:-0x21 -TEST_IMM_OP( addi, x11, x10, 0xfffffdde, -0x201, -0x21, x1, 24, x2) - -inst_24: -// rs1_val == -257, imm_val == 2 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x101; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffffff01, -0x101, 0x2, x1, 28, x2) - -inst_25: -// rs1_val == -129, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffac, -0x81, 0x2d, x1, 32, x2) - -inst_26: -// rs1_val == -65, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffeb, -0x41, 0x2c, x1, 36, x2) - -inst_27: -// rs1_val == -33, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffffffe1, -0x21, 0x2, x1, 40, x2) - -inst_28: -// rs1_val == -17, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xfffffff5, -0x11, 0x6, x1, 44, x2) - -inst_29: -// rs1_val == -9, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x9; immval:-0x5 -TEST_IMM_OP( addi, x11, x10, 0xfffffff2, -0x9, -0x5, x1, 48, x2) - -inst_30: -// rs1_val == -5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x27, -0x5, 0x2c, x1, 52, x2) - -inst_31: -// rs1_val == -3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x3; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd1, -0x3, -0x2c, x1, 56, x2) - -inst_32: -// rs1_val == -2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa -TEST_IMM_OP( addi, x11, x10, 0xfffffff4, -0x2, -0xa, x1, 60, x2) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1) -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x7ff -TEST_IMM_OP( addi, x11, x10, 0xbd02, 0xb503, 0x7ff, x1, 64, x2) - -inst_34: -// imm_val == -1025, rs1_val == 1024 -// opcode: addi ; op1:x10; dest:x11; op1val:0x400; immval:-0x401 -TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x400, -0x401, x1, 68, x2) - -inst_35: -// imm_val == -257, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x101 -TEST_IMM_OP( addi, x11, x10, 0xffffeefe, -0x1001, -0x101, x1, 72, x2) - -inst_36: -// imm_val == -129, rs1_val == 134217728 -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x81 -TEST_IMM_OP( addi, x11, x10, 0x7ffff7f, 0x8000000, -0x81, x1, 76, x2) - -inst_37: -// imm_val == -65, rs1_val == 4 -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0xffffffc3, 0x4, -0x41, x1, 80, x2) - -inst_38: -// imm_val == -9, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x9 -TEST_IMM_OP( addi, x11, x10, 0xffffffb6, -0x41, -0x9, x1, 84, x2) - -inst_39: -// imm_val == -3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x3 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x6, -0x3, x1, 88, x2) - -inst_40: -// imm_val == -2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:-0x2 -TEST_IMM_OP( addi, x11, x10, 0xfffffff9, -0x5, -0x2, x1, 92, x2) - -inst_41: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: addi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x80000556, -0x80000000, 0x556, x1, 96, x2) - -inst_42: -// rs1_val == 1073741824, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x400 -TEST_IMM_OP( addi, x11, x10, 0x3ffffc00, 0x40000000, -0x400, x1, 100, x2) - -inst_43: -// rs1_val == 268435456, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x10000000, 0x10000000, 0x0, x1, 104, x2) - -inst_44: -// rs1_val == 67108864, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0xa -TEST_IMM_OP( addi, x11, x10, 0x3fffff6, 0x4000000, -0xa, x1, 108, x2) - -inst_45: -// rs1_val == 33554432, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2000000; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x200002e, 0x2000000, 0x2e, x1, 112, x2) - -inst_46: -// rs1_val == 16777216, -// opcode: addi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x1000667, 0x1000000, 0x667, x1, 116, x2) - -inst_47: -// rs1_val == 8388608, -// opcode: addi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0x7fffbf, 0x800000, -0x41, x1, 120, x2) - -inst_48: -// rs1_val == 4194304, -// opcode: addi ; op1:x10; dest:x11; op1val:0x400000; immval:-0x101 -TEST_IMM_OP( addi, x11, x10, 0x3ffeff, 0x400000, -0x101, x1, 124, x2) - -inst_49: -// rs1_val == 2097152, -// opcode: addi ; op1:x10; dest:x11; op1val:0x200000; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x200555, 0x200000, 0x555, x1, 128, x2) - -inst_50: -// rs1_val == 1048576, -// opcode: addi ; op1:x10; dest:x11; op1val:0x100000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x100000, 0x100000, 0x0, x1, 132, x2) - -inst_51: -// rs1_val == 524288, -// opcode: addi ; op1:x10; dest:x11; op1val:0x80000; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x80005, 0x80000, 0x5, x1, 136, x2) - -inst_52: -// rs1_val == 262144, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40000; immval:0x3ff -TEST_IMM_OP( addi, x11, x10, 0x403ff, 0x40000, 0x3ff, x1, 140, x2) - -inst_53: -// rs1_val == 131072, -// opcode: addi ; op1:x10; dest:x11; op1val:0x20000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x20000, 0x20000, 0x0, x1, 144, x2) - -inst_54: -// rs1_val == 65536, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10000; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0x10200, 0x10000, 0x200, x1, 148, x2) - -inst_55: -// rs1_val == 32768, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x7 -TEST_IMM_OP( addi, x11, x10, 0x7ff9, 0x8000, -0x7, x1, 152, x2) - -inst_56: -// rs1_val == 16384, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4000; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x4333, 0x4000, 0x333, x1, 156, x2) - -inst_57: -// rs1_val == 8192, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2000; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x2555, 0x2000, 0x555, x1, 160, x2) - -inst_58: -// rs1_val == 4096, imm_val == -1366 -// opcode: addi ; op1:x10; dest:x11; op1val:0x1000; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaa, 0x1000, -0x556, x1, 164, x2) - -inst_59: -// rs1_val == 2048, -// opcode: addi ; op1:x10; dest:x11; op1val:0x800; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xe65, 0x800, 0x665, x1, 168, x2) - -inst_60: -// rs1_val == 512, -// opcode: addi ; op1:x10; dest:x11; op1val:0x200; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0x1bf, 0x200, -0x41, x1, 172, x2) - -inst_61: -// rs1_val == 256, -// opcode: addi ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff -TEST_IMM_OP( addi, x11, x10, 0x4ff, 0x100, 0x3ff, x1, 176, x2) - -inst_62: -// rs1_val == 128, -// opcode: addi ; op1:x10; dest:x11; op1val:0x80; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x6e6, 0x80, 0x666, x1, 180, x2) - -inst_63: -// rs1_val == 64, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x373, 0x40, 0x333, x1, 184, x2) - -inst_64: -// rs1_val == 32, -// opcode: addi ; op1:x10; dest:x11; op1val:0x20; immval:0x7ff -TEST_IMM_OP( addi, x11, x10, 0x81f, 0x20, 0x7ff, x1, 188, x2) - -inst_65: -// rs1_val == 16, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0x210, 0x10, 0x200, x1, 192, x2) - -inst_66: -// rs1_val == 8, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x8, 0x0, x1, 196, x2) - -inst_67: -// rs1_val == 2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x3 -TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x2, -0x3, x1, 200, x2) - -inst_68: -// rs1_val == 1, imm_val == 256 -// opcode: addi ; op1:x10; dest:x11; op1val:0x1; immval:0x100 -TEST_IMM_OP( addi, x11, x10, 0x101, 0x1, 0x100, x1, 204, x2) - -inst_69: -// imm_val == 1024, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x400 -TEST_IMM_OP( addi, x11, x10, 0x3bf, -0x41, 0x400, x1, 208, x2) - -inst_70: -// imm_val == 128, rs1_val == 0 -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x80 -TEST_IMM_OP( addi, x11, x10, 0x80, 0x0, 0x80, x1, 212, x2) - -inst_71: -// imm_val == 32, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x20 -TEST_IMM_OP( addi, x11, x10, 0x20, 0x0, 0x20, x1, 216, x2) - -inst_72: -// imm_val == 16, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 -TEST_IMM_OP( addi, x11, x10, 0x8010, 0x8000, 0x10, x1, 220, x2) - -inst_73: -// imm_val == 8, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 -TEST_IMM_OP( addi, x11, x10, 0x0, -0x8, 0x8, x1, 224, x2) - -inst_74: -// imm_val == 1, rs1_val == 1431655765 -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555555, 0x1, x1, 228, x2) - -inst_75: -// rs1_val==46341 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb533, 0xb505, 0x2e, x1, 232, x2) - -inst_76: -// rs1_val==46341 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d9, 0xb505, -0x2c, x1, 236, x2) - -inst_77: -// rs1_val==46341 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6c, 0xb505, 0x667, x1, 240, x2) - -inst_78: -// rs1_val==46341 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb839, 0xb505, 0x334, x1, 244, x2) - -inst_79: -// rs1_val==46341 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb50b, 0xb505, 0x6, x1, 248, x2) - -inst_80: -// rs1_val==46341 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafb0, 0xb505, -0x555, x1, 252, x2) - -inst_81: -// rs1_val==46341 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba5b, 0xb505, 0x556, x1, 256, x2) - -inst_82: -// rs1_val==46341 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb505, 0x4, x1, 260, x2) - -inst_83: -// rs1_val==46341 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb505, 0x2c, x1, 264, x2) - -inst_84: -// rs1_val==46341 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb505, 0x0, x1, 268, x2) - -inst_85: -// rs1_val==46341 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb505, 0x665, x1, 272, x2) - -inst_86: -// rs1_val==46341 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb505, 0x332, x1, 276, x2) - -inst_87: -// rs1_val==46341 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb505, 0x554, x1, 280, x2) - -inst_88: -// rs1_val==46341 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb505, 0x2, x1, 284, x2) - -inst_89: -// rs1_val==46341 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb505, 0x2d, x1, 288, x2) - -inst_90: -// rs1_val==46341 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb505, -0x2d, x1, 292, x2) - -inst_91: -// rs1_val==46341 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb505, 0x666, x1, 296, x2) - -inst_92: -// rs1_val==46341 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb505, 0x333, x1, 300, x2) - -inst_93: -// rs1_val==46341 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb505, 0x5, x1, 304, x2) - -inst_94: -// rs1_val==46341 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb505, -0x556, x1, 308, x2) - -inst_95: -// rs1_val==46341 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb505, 0x555, x1, 312, x2) - -inst_96: -// rs1_val==46341 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb505, 0x3, x1, 316, x2) - -inst_97: -// rs1_val==-46339 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xffff4b2b, -0xb503, 0x2e, x1, 320, x2) - -inst_98: -// rs1_val==-46339 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4ad1, -0xb503, -0x2c, x1, 324, x2) - -inst_99: -// rs1_val==-46339 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xffff5164, -0xb503, 0x667, x1, 328, x2) - -inst_100: -// rs1_val==-46339 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xffff4e31, -0xb503, 0x334, x1, 332, x2) - -inst_101: -// rs1_val==-46339 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff4b03, -0xb503, 0x6, x1, 336, x2) - -inst_102: -// rs1_val==-46339 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff45a8, -0xb503, -0x555, x1, 340, x2) - -inst_103: -// rs1_val==-46339 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff5053, -0xb503, 0x556, x1, 344, x2) - -inst_104: -// rs1_val==-46339 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb503, 0x4, x1, 348, x2) - -inst_105: -// rs1_val==-46339 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb503, 0x2c, x1, 352, x2) - -inst_106: -// rs1_val==-46339 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xffff4afd, -0xb503, 0x0, x1, 356, x2) - -inst_107: -// rs1_val==-46339 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb503, 0x665, x1, 360, x2) - -inst_108: -// rs1_val==-46339 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb503, 0x332, x1, 364, x2) - -inst_109: -// rs1_val==-46339 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb503, 0x554, x1, 368, x2) - -inst_110: -// rs1_val==-46339 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb503, 0x2, x1, 372, x2) - -inst_111: -// rs1_val==-46339 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb503, 0x2d, x1, 376, x2) - -inst_112: -// rs1_val==-46339 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb503, -0x2d, x1, 380, x2) - -inst_113: -// rs1_val==-46339 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb503, 0x666, x1, 384, x2) - -inst_114: -// rs1_val==-46339 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb503, 0x333, x1, 388, x2) - -inst_115: -// rs1_val==-46339 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb503, 0x5, x1, 392, x2) - -inst_116: -// rs1_val==-46339 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb503, -0x556, x1, 396, x2) - -inst_117: -// rs1_val==-46339 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb503, 0x555, x1, 400, x2) - -inst_118: -// rs1_val==-46339 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb503, 0x3, x1, 404, x2) - -inst_119: -// rs1_val==1717986919 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666695, 0x66666667, 0x2e, x1, 408, x2) - -inst_120: -// rs1_val==1717986919 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x6666663b, 0x66666667, -0x2c, x1, 412, x2) - -inst_121: -// rs1_val==1717986919 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666cce, 0x66666667, 0x667, x1, 416, x2) - -inst_122: -// rs1_val==1717986919 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x6666699b, 0x66666667, 0x334, x1, 420, x2) - -inst_123: -// rs1_val==1717986919 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666d, 0x66666667, 0x6, x1, 424, x2) - -inst_124: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666112, 0x66666667, -0x555, x1, 428, x2) - -inst_125: -// rs1_val==1717986919 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbd, 0x66666667, 0x556, x1, 432, x2) - -inst_126: -// rs1_val==1717986919 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666667, 0x4, x1, 436, x2) - -inst_127: -// rs1_val==1717986919 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666667, 0x2c, x1, 440, x2) - -inst_128: -// rs1_val==1717986919 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666667, 0x0, x1, 444, x2) - -inst_129: -// rs1_val==1717986919 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666667, 0x665, x1, 448, x2) - -inst_130: -// rs1_val==1717986919 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666667, 0x332, x1, 452, x2) - -inst_131: -// rs1_val==1717986919 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666667, 0x554, x1, 456, x2) - -inst_132: -// rs1_val==1717986919 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666667, 0x2, x1, 460, x2) - -inst_133: -// rs1_val==1717986919 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666667, 0x2d, x1, 464, x2) - -inst_134: -// rs1_val==1717986919 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666667, -0x2d, x1, 468, x2) - -inst_135: -// rs1_val==1717986919 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666667, 0x666, x1, 472, x2) - -inst_136: -// rs1_val==1717986919 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666667, 0x333, x1, 476, x2) - -inst_137: -// rs1_val==1717986919 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666667, 0x5, x1, 480, x2) - -inst_138: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666667, -0x556, x1, 484, x2) - -inst_139: -// rs1_val==1717986919 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666667, 0x555, x1, 488, x2) - -inst_140: -// rs1_val==1717986919 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666667, 0x3, x1, 492, x2) - -inst_141: -// rs1_val==858993460 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333362, 0x33333334, 0x2e, x1, 496, x2) - -inst_142: -// rs1_val==858993460 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333308, 0x33333334, -0x2c, x1, 500, x2) - -inst_143: -// rs1_val==858993460 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x3333399b, 0x33333334, 0x667, x1, 504, x2) - -inst_144: -// rs1_val==858993460 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333668, 0x33333334, 0x334, x1, 508, x2) - -inst_145: -// rs1_val==858993460 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x3333333a, 0x33333334, 0x6, x1, 512, x2) - -inst_146: -// rs1_val==858993460 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332ddf, 0x33333334, -0x555, x1, 516, x2) - -inst_147: -// rs1_val==858993460 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x3333388a, 0x33333334, 0x556, x1, 520, x2) - -inst_148: -// rs1_val==858993460 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333334, 0x4, x1, 524, x2) - -inst_149: -// rs1_val==858993460 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333334, 0x2c, x1, 528, x2) - -inst_150: -// rs1_val==858993460 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333334, 0x0, x1, 532, x2) - -inst_151: -// rs1_val==858993460 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333334, 0x665, x1, 536, x2) - -inst_152: -// rs1_val==858993460 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333334, 0x332, x1, 540, x2) - -inst_153: -// rs1_val==858993460 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333334, 0x554, x1, 544, x2) - -inst_154: -// rs1_val==858993460 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333334, 0x2, x1, 548, x2) - -inst_155: -// rs1_val==858993460 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333334, 0x2d, x1, 552, x2) - -inst_156: -// rs1_val==858993460 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333334, -0x2d, x1, 556, x2) - -inst_157: -// rs1_val==858993460 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333334, 0x666, x1, 560, x2) - -inst_158: -// rs1_val==858993460 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333334, 0x333, x1, 564, x2) - -inst_159: -// rs1_val==858993460 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333334, 0x5, x1, 568, x2) - -inst_160: -// rs1_val==858993460 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333334, -0x556, x1, 572, x2) - -inst_161: -// rs1_val==858993460 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333334, 0x555, x1, 576, x2) - -inst_162: -// rs1_val==858993460 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333334, 0x3, x1, 580, x2) - -inst_163: -// rs1_val==6 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x34, 0x6, 0x2e, x1, 584, x2) - -inst_164: -// rs1_val==6 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffda, 0x6, -0x2c, x1, 588, x2) - -inst_165: -// rs1_val==6 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66d, 0x6, 0x667, x1, 592, x2) - -inst_166: -// rs1_val==6 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33a, 0x6, 0x334, x1, 596, x2) - -inst_167: -// rs1_val==6 and imm_val==6, rs1_val == imm_val -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xc, 0x6, 0x6, x1, 600, x2) - -inst_168: -// rs1_val==6 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffab1, 0x6, -0x555, x1, 604, x2) - -inst_169: -// rs1_val==6 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55c, 0x6, 0x556, x1, 608, x2) - -inst_170: -// rs1_val==6 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x6, 0x4, x1, 612, x2) - -inst_171: -// rs1_val==6 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x32, 0x6, 0x2c, x1, 616, x2) - -inst_172: -// rs1_val==6 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x6, 0x0, x1, 620, x2) - -inst_173: -// rs1_val==6 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x6, 0x665, x1, 624, x2) - -inst_174: -// rs1_val==6 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x6, 0x332, x1, 628, x2) - -inst_175: -// rs1_val==6 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x6, 0x554, x1, 632, x2) - -inst_176: -// rs1_val==6 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x6, 0x2, x1, 636, x2) - -inst_177: -// rs1_val==6 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33, 0x6, 0x2d, x1, 640, x2) - -inst_178: -// rs1_val==6 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x6, -0x2d, x1, 644, x2) - -inst_179: -// rs1_val==6 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66c, 0x6, 0x666, x1, 648, x2) - -inst_180: -// rs1_val==6 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x339, 0x6, 0x333, x1, 652, x2) - -inst_181: -// rs1_val==6 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb, 0x6, 0x5, x1, 656, x2) - -inst_182: -// rs1_val==6 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x6, -0x556, x1, 660, x2) - -inst_183: -// rs1_val==6 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55b, 0x6, 0x555, x1, 664, x2) - -inst_184: -// rs1_val==6 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x6, 0x3, x1, 668, x2) - -inst_185: -// rs1_val==-1431655765 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad9, -0x55555555, 0x2e, x1, 672, x2) - -inst_186: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7f, -0x55555555, -0x2c, x1, 676, x2) - -inst_187: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xaaaab112, -0x55555555, 0x667, x1, 680, x2) - -inst_188: -// rs1_val==-1431655765 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddf, -0x55555555, 0x334, x1, 684, x2) - -inst_189: -// rs1_val==-1431655765 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab1, -0x55555555, 0x6, x1, 688, x2) - -inst_190: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa556, -0x55555555, -0x555, x1, 692, x2) - -inst_191: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaab001, -0x55555555, 0x556, x1, 696, x2) - -inst_192: -// rs1_val==-1431655765 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x1, 700, x2) - -inst_193: -// rs1_val==-1431655765 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555555, 0x2c, x1, 704, x2) - -inst_194: -// rs1_val==-1431655765 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x1, 708, x2) - -inst_195: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555555, 0x665, x1, 712, x2) - -inst_196: -// rs1_val==-1431655765 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555555, 0x332, x1, 716, x2) - -inst_197: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x1, 720, x2) - -inst_198: -// rs1_val==-1431655765 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555555, 0x2, x1, 724, x2) - -inst_199: -// rs1_val==-1431655765 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555555, 0x2d, x1, 728, x2) - -inst_200: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555555, -0x2d, x1, 732, x2) - -inst_201: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555555, 0x666, x1, 736, x2) - -inst_202: -// rs1_val==-1431655765 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555555, 0x333, x1, 740, x2) - -inst_203: -// rs1_val==-1431655765 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555555, 0x5, x1, 744, x2) - -inst_204: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555555, -0x556, x1, 748, x2) - -inst_205: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555555, 0x555, x1, 752, x2) - -inst_206: -// rs1_val==-1431655765 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555555, 0x3, x1, 756, x2) - -inst_207: -// rs1_val==1431655766 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555584, 0x55555556, 0x2e, x1, 760, x2) - -inst_208: -// rs1_val==1431655766 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x5555552a, 0x55555556, -0x2c, x1, 764, x2) - -inst_209: -// rs1_val==1431655766 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbd, 0x55555556, 0x667, x1, 768, x2) - -inst_210: -// rs1_val==1431655766 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x5555588a, 0x55555556, 0x334, x1, 772, x2) - -inst_211: -// rs1_val==1431655766 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555c, 0x55555556, 0x6, x1, 776, x2) - -inst_212: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555001, 0x55555556, -0x555, x1, 780, x2) - -inst_213: -// rs1_val==1431655766 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aac, 0x55555556, 0x556, x1, 784, x2) - -inst_214: -// rs1_val==1431655766 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555556, 0x4, x1, 788, x2) - -inst_215: -// rs1_val==1431655766 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555556, 0x2c, x1, 792, x2) - -inst_216: -// rs1_val==1431655766 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555556, 0x0, x1, 796, x2) - -inst_217: -// rs1_val==1431655766 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555556, 0x665, x1, 800, x2) - -inst_218: -// rs1_val==1431655766 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555556, 0x332, x1, 804, x2) - -inst_219: -// rs1_val==1431655766 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555556, 0x554, x1, 808, x2) - -inst_220: -// rs1_val==1431655766 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555556, 0x2, x1, 812, x2) - -inst_221: -// rs1_val==1431655766 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555556, 0x2d, x1, 816, x2) - -inst_222: -// rs1_val==1431655766 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555556, -0x2d, x1, 820, x2) - -inst_223: -// rs1_val==1431655766 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555556, 0x666, x1, 824, x2) - -inst_224: -// rs1_val==1431655766 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555556, 0x333, x1, 828, x2) - -inst_225: -// rs1_val==1431655766 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555556, 0x5, x1, 832, x2) - -inst_226: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555556, -0x556, x1, 836, x2) - -inst_227: -// rs1_val==1431655766 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555556, 0x555, x1, 840, x2) - -inst_228: -// rs1_val==1431655766 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555556, 0x3, x1, 844, x2) - -inst_229: -// rs1_val==4 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x32, 0x4, 0x2e, x1, 848, x2) - -inst_230: -// rs1_val==4 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x4, -0x2c, x1, 852, x2) - -inst_231: -// rs1_val==4 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x4, 0x667, x1, 856, x2) - -inst_232: -// rs1_val==4 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x4, 0x334, x1, 860, x2) - -inst_233: -// rs1_val==4 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x4, 0x6, x1, 864, x2) - -inst_234: -// rs1_val==4 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x4, -0x555, x1, 868, x2) - -inst_235: -// rs1_val==4 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x4, 0x556, x1, 872, x2) - -inst_236: -// rs1_val==4 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x4, 0x4, x1, 876, x2) - -inst_237: -// rs1_val==4 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x30, 0x4, 0x2c, x1, 880, x2) - -inst_238: -// rs1_val==4 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x4, 0x0, x1, 884, x2) - -inst_239: -// rs1_val==4 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x4, 0x665, x1, 888, x2) - -inst_240: -// rs1_val==4 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x4, 0x332, x1, 892, x2) - -inst_241: -// rs1_val==4 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x4, 0x554, x1, 896, x2) - -inst_242: -// rs1_val==4 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x4, 0x2, x1, 900, x2) - -inst_243: -// rs1_val==4 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x31, 0x4, 0x2d, x1, 904, x2) - -inst_244: -// rs1_val==4 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x4, -0x2d, x1, 908, x2) - -inst_245: -// rs1_val==4 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x4, 0x666, x1, 912, x2) - -inst_246: -// rs1_val==4 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x4, 0x333, x1, 916, x2) - -inst_247: -// rs1_val==4 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x4, 0x5, x1, 920, x2) - -inst_248: -// rs1_val==4 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x4, -0x556, x1, 924, x2) - -inst_249: -// rs1_val==4 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x4, 0x555, x1, 928, x2) - -inst_250: -// rs1_val==4 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x4, 0x3, x1, 932, x2) - -inst_251: -// rs1_val==46339 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb503, 0x2e, x1, 936, x2) - -inst_252: -// rs1_val==46339 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb503, -0x2c, x1, 940, x2) - -inst_253: -// rs1_val==46339 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb503, 0x667, x1, 944, x2) - -inst_254: -// rs1_val==46339 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb503, 0x334, x1, 948, x2) - -inst_255: -// rs1_val==46339 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb503, 0x6, x1, 952, x2) - -inst_256: -// rs1_val==46339 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb503, -0x555, x1, 956, x2) - -inst_257: -// rs1_val==46339 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb503, 0x556, x1, 960, x2) - -inst_258: -// rs1_val==46339 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb503, 0x4, x1, 964, x2) - -inst_259: -// rs1_val==46339 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb52f, 0xb503, 0x2c, x1, 968, x2) - -inst_260: -// rs1_val==46339 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb503, 0xb503, 0x0, x1, 972, x2) - -inst_261: -// rs1_val==46339 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb68, 0xb503, 0x665, x1, 976, x2) - -inst_262: -// rs1_val==46339 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb835, 0xb503, 0x332, x1, 980, x2) - -inst_263: -// rs1_val==46339 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba57, 0xb503, 0x554, x1, 984, x2) - -inst_264: -// rs1_val==46339 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb503, 0x2, x1, 988, x2) - -inst_265: -// rs1_val==46339 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb503, 0x2d, x1, 992, x2) - -inst_266: -// rs1_val==46339 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d6, 0xb503, -0x2d, x1, 996, x2) - -inst_267: -// rs1_val==46339 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb503, 0x666, x1, 1000, x2) - -inst_268: -// rs1_val==46339 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb503, 0x333, x1, 1004, x2) - -inst_269: -// rs1_val==46339 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb503, 0x5, x1, 1008, x2) - -inst_270: -// rs1_val==46339 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafad, 0xb503, -0x556, x1, 1012, x2) - -inst_271: -// rs1_val==46339 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb503, 0x555, x1, 1016, x2) - -inst_272: -// rs1_val==46339 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb503, 0x3, x1, 1020, x2) - -inst_273: -// rs1_val==0 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x2e, 0x0, 0x2e, x1, 1024, x2) - -inst_274: -// rs1_val==0 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd4, 0x0, -0x2c, x1, 1028, x2) - -inst_275: -// rs1_val==0 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x667, 0x0, 0x667, x1, 1032, x2) - -inst_276: -// rs1_val==0 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x334, 0x0, 0x334, x1, 1036, x2) - -inst_277: -// rs1_val==0 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x0, 0x6, x1, 1040, x2) - -inst_278: -// rs1_val==0 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaab, 0x0, -0x555, x1, 1044, x2) - -inst_279: -// rs1_val==0 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x556, 0x0, 0x556, x1, 1048, x2) - -inst_280: -// rs1_val==0 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x0, 0x4, x1, 1052, x2) - -inst_281: -// rs1_val==0 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2c, 0x0, 0x2c, x1, 1056, x2) - -inst_282: -// rs1_val==0 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x0, 0x0, 0x0, x1, 1060, x2) - -inst_283: -// rs1_val==0 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x665, 0x0, 0x665, x1, 1064, x2) - -inst_284: -// rs1_val==0 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x332, 0x0, 0x332, x1, 1068, x2) - -inst_285: -// rs1_val==0 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x554, 0x0, 0x554, x1, 1072, x2) - -inst_286: -// rs1_val==0 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x2, 0x0, 0x2, x1, 1076, x2) - -inst_287: -// rs1_val==0 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x2d, 0x0, 0x2d, x1, 1080, x2) - -inst_288: -// rs1_val==0 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd3, 0x0, -0x2d, x1, 1084, x2) - -inst_289: -// rs1_val==0 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x666, 0x0, 0x666, x1, 1088, x2) - -inst_290: -// rs1_val==0 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x333, 0x0, 0x333, x1, 1092, x2) - -inst_291: -// rs1_val==0 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x0, 0x5, x1, 1096, x2) - -inst_292: -// rs1_val==0 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaa, 0x0, -0x556, x1, 1100, x2) - -inst_293: -// rs1_val==0 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x555, 0x0, 0x555, x1, 1104, x2) - -inst_294: -// rs1_val==0 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x0, 0x3, x1, 1108, x2) - -inst_295: -// rs1_val==1717986917 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666665, 0x2e, x1, 1112, x2) - -inst_296: -// rs1_val==1717986917 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666665, -0x2c, x1, 1116, x2) - -inst_297: -// rs1_val==1717986917 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666665, 0x667, x1, 1120, x2) - -inst_298: -// rs1_val==1717986917 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666665, 0x334, x1, 1124, x2) - -inst_299: -// rs1_val==1717986917 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666665, 0x6, x1, 1128, x2) - -inst_300: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666665, -0x555, x1, 1132, x2) - -inst_301: -// rs1_val==1717986917 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666665, 0x556, x1, 1136, x2) - -inst_302: -// rs1_val==1717986917 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666665, 0x4, x1, 1140, x2) - -inst_303: -// rs1_val==1717986917 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666691, 0x66666665, 0x2c, x1, 1144, x2) - -inst_304: -// rs1_val==1717986917 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666665, 0x66666665, 0x0, x1, 1148, x2) - -inst_305: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555556, -0x555, x1, 1152, x2) - -inst_306: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555556, 0x556, x1, 1156, x2) - -inst_307: -// rs1_val==-1431655766 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x1, 1160, x2) - -inst_308: -// rs1_val==-1431655766 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad6, -0x55555556, 0x2c, x1, 1164, x2) - -inst_309: -// rs1_val==-1431655766 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1168, x2) - -inst_310: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xaaaab10f, -0x55555556, 0x665, x1, 1172, x2) - -inst_311: -// rs1_val==-1431655766 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddc, -0x55555556, 0x332, x1, 1176, x2) - -inst_312: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x1, 1180, x2) - -inst_313: -// rs1_val==-1431655766 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaac, -0x55555556, 0x2, x1, 1184, x2) - -inst_314: -// rs1_val==-1431655766 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555556, 0x2d, x1, 1188, x2) - -inst_315: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7d, -0x55555556, -0x2d, x1, 1192, x2) - -inst_316: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555556, 0x666, x1, 1196, x2) - -inst_317: -// rs1_val==-1431655766 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555556, 0x333, x1, 1200, x2) - -inst_318: -// rs1_val==-1431655766 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1204, x2) - -inst_319: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa554, -0x55555556, -0x556, x1, 1208, x2) - -inst_320: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x1, 1212, x2) - -inst_321: -// rs1_val==-1431655766 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555556, 0x3, x1, 1216, x2) - -inst_322: -// rs1_val==1431655765 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555555, 0x2e, x1, 1220, x2) - -inst_323: -// rs1_val==1431655765 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555555, -0x2c, x1, 1224, x2) - -inst_324: -// rs1_val==1431655765 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555555, 0x667, x1, 1228, x2) - -inst_325: -// rs1_val==1431655765 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555555, 0x334, x1, 1232, x2) - -inst_326: -// rs1_val==1431655765 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555555, 0x6, x1, 1236, x2) - -inst_327: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555555, -0x555, x1, 1240, x2) - -inst_328: -// rs1_val==1431655765 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555555, 0x556, x1, 1244, x2) - -inst_329: -// rs1_val==1431655765 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555555, 0x4, x1, 1248, x2) - -inst_330: -// rs1_val==1431655765 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555555, 0x2c, x1, 1252, x2) - -inst_331: -// rs1_val==1431655765 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555555, 0x55555555, 0x0, x1, 1256, x2) - -inst_332: -// rs1_val==1431655765 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555555, 0x665, x1, 1260, x2) - -inst_333: -// rs1_val==1431655765 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555555, 0x332, x1, 1264, x2) - -inst_334: -// rs1_val==1431655765 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555555, 0x554, x1, 1268, x2) - -inst_335: -// rs1_val==1431655765 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555555, 0x2, x1, 1272, x2) - -inst_336: -// rs1_val==1431655765 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555555, 0x2d, x1, 1276, x2) - -inst_337: -// rs1_val==1431655765 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555555, -0x2d, x1, 1280, x2) - -inst_338: -// rs1_val==1431655765 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555555, 0x666, x1, 1284, x2) - -inst_339: -// rs1_val==1431655765 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555555, 0x333, x1, 1288, x2) - -inst_340: -// rs1_val==1431655765 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555555, 0x5, x1, 1292, x2) - -inst_341: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555555, -0x556, x1, 1296, x2) - -inst_342: -// rs1_val==1431655765 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555555, 0x555, x1, 1300, x2) - -inst_343: -// rs1_val==1431655765 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555555, 0x3, x1, 1304, x2) - -inst_344: -// rs1_val==3 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x31, 0x3, 0x2e, x1, 1308, x2) - -inst_345: -// rs1_val==3 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x3, -0x2c, x1, 1312, x2) - -inst_346: -// rs1_val==3 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x3, 0x667, x1, 1316, x2) - -inst_347: -// rs1_val==3 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x3, 0x334, x1, 1320, x2) - -inst_348: -// rs1_val==3 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x3, 0x6, x1, 1324, x2) - -inst_349: -// rs1_val==3 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x3, -0x555, x1, 1328, x2) - -inst_350: -// rs1_val==3 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x3, 0x556, x1, 1332, x2) - -inst_351: -// rs1_val==3 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x3, 0x4, x1, 1336, x2) - -inst_352: -// rs1_val==3 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2f, 0x3, 0x2c, x1, 1340, x2) - -inst_353: -// rs1_val==3 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x3, 0x0, x1, 1344, x2) - -inst_354: -// rs1_val==3 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x668, 0x3, 0x665, x1, 1348, x2) - -inst_355: -// rs1_val==3 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x335, 0x3, 0x332, x1, 1352, x2) - -inst_356: -// rs1_val==3 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x557, 0x3, 0x554, x1, 1356, x2) - -inst_357: -// rs1_val==3 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x3, 0x2, x1, 1360, x2) - -inst_358: -// rs1_val==3 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x30, 0x3, 0x2d, x1, 1364, x2) - -inst_359: -// rs1_val==3 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x3, -0x2d, x1, 1368, x2) - -inst_360: -// rs1_val==3 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x3, 0x666, x1, 1372, x2) - -inst_361: -// rs1_val==3 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x3, 0x333, x1, 1376, x2) - -inst_362: -// rs1_val==3 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x3, 0x5, x1, 1380, x2) - -inst_363: -// rs1_val==3 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x3, -0x556, x1, 1384, x2) - -inst_364: -// rs1_val==3 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x3, 0x555, x1, 1388, x2) - -inst_365: -// rs1_val==3 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x3, 0x3, x1, 1392, x2) - -inst_366: -// rs1_val==1717986917 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666cca, 0x66666665, 0x665, x1, 1396, x2) - -inst_367: -// rs1_val==1717986917 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666997, 0x66666665, 0x332, x1, 1400, x2) - -inst_368: -// rs1_val==1717986917 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bb9, 0x66666665, 0x554, x1, 1404, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666665, 0x2, x1, 1408, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666665, 0x2d, x1, 1412, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666638, 0x66666665, -0x2d, x1, 1416, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666665, 0x666, x1, 1420, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666665, 0x333, x1, 1424, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666665, 0x5, x1, 1428, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x6666610f, 0x66666665, -0x556, x1, 1432, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666665, 0x555, x1, 1436, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666665, 0x3, x1, 1440, x2) - -inst_378: -// rs1_val==858993458 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333332, 0x2e, x1, 1444, x2) - -inst_379: -// rs1_val==858993458 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333332, -0x2c, x1, 1448, x2) - -inst_380: -// rs1_val==858993458 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333332, 0x667, x1, 1452, x2) - -inst_381: -// rs1_val==858993458 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333332, 0x334, x1, 1456, x2) - -inst_382: -// rs1_val==858993458 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333332, 0x6, x1, 1460, x2) - -inst_383: -// rs1_val==858993458 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333332, -0x555, x1, 1464, x2) - -inst_384: -// rs1_val==858993458 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333332, 0x556, x1, 1468, x2) - -inst_385: -// rs1_val==858993458 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333332, 0x4, x1, 1472, x2) - -inst_386: -// rs1_val==858993458 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x3333335e, 0x33333332, 0x2c, x1, 1476, x2) - -inst_387: -// rs1_val==858993458 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333332, 0x33333332, 0x0, x1, 1480, x2) - -inst_388: -// rs1_val==858993458 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333997, 0x33333332, 0x665, x1, 1484, x2) - -inst_389: -// rs1_val==858993458 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333664, 0x33333332, 0x332, x1, 1488, x2) - -inst_390: -// rs1_val==858993458 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333886, 0x33333332, 0x554, x1, 1492, x2) - -inst_391: -// rs1_val==858993458 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333332, 0x2, x1, 1496, x2) - -inst_392: -// rs1_val==858993458 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333332, 0x2d, x1, 1500, x2) - -inst_393: -// rs1_val==858993458 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333305, 0x33333332, -0x2d, x1, 1504, x2) - -inst_394: -// rs1_val==858993458 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333332, 0x666, x1, 1508, x2) - -inst_395: -// rs1_val==858993458 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333332, 0x333, x1, 1512, x2) - -inst_396: -// rs1_val==858993458 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333332, 0x5, x1, 1516, x2) - -inst_397: -// rs1_val==858993458 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332ddc, 0x33333332, -0x556, x1, 1520, x2) - -inst_398: -// rs1_val==858993458 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333332, 0x555, x1, 1524, x2) - -inst_399: -// rs1_val==858993458 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333332, 0x3, x1, 1528, x2) - -inst_400: -// rs1_val==1431655764 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555554, 0x2e, x1, 1532, x2) - -inst_401: -// rs1_val==1431655764 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555554, -0x2c, x1, 1536, x2) - -inst_402: -// rs1_val==1431655764 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555554, 0x667, x1, 1540, x2) - -inst_403: -// rs1_val==1431655764 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555554, 0x334, x1, 1544, x2) - -inst_404: -// rs1_val==1431655764 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555554, 0x6, x1, 1548, x2) - -inst_405: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555554, -0x555, x1, 1552, x2) - -inst_406: -// rs1_val==1431655764 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555554, 0x556, x1, 1556, x2) - -inst_407: -// rs1_val==1431655764 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555554, 0x4, x1, 1560, x2) - -inst_408: -// rs1_val==1431655764 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555580, 0x55555554, 0x2c, x1, 1564, x2) - -inst_409: -// rs1_val==1431655764 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555554, 0x55555554, 0x0, x1, 1568, x2) - -inst_410: -// rs1_val==1431655764 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bb9, 0x55555554, 0x665, x1, 1572, x2) - -inst_411: -// rs1_val==1431655764 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555886, 0x55555554, 0x332, x1, 1576, x2) - -inst_412: -// rs1_val==1431655764 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aa8, 0x55555554, 0x554, x1, 1580, x2) - -inst_413: -// rs1_val==1431655764 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555554, 0x2, x1, 1584, x2) - -inst_414: -// rs1_val==1431655764 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555554, 0x2d, x1, 1588, x2) - -inst_415: -// rs1_val==1431655764 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555527, 0x55555554, -0x2d, x1, 1592, x2) - -inst_416: -// rs1_val==1431655764 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555554, 0x666, x1, 1596, x2) - -inst_417: -// rs1_val==1431655764 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555554, 0x333, x1, 1600, x2) - -inst_418: -// rs1_val==1431655764 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555554, 0x5, x1, 1604, x2) - -inst_419: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55554ffe, 0x55555554, -0x556, x1, 1608, x2) - -inst_420: -// rs1_val==1431655764 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555554, 0x555, x1, 1612, x2) - -inst_421: -// rs1_val==1431655764 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555554, 0x3, x1, 1616, x2) - -inst_422: -// rs1_val==2 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x30, 0x2, 0x2e, x1, 1620, x2) - -inst_423: -// rs1_val==2 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x2, -0x2c, x1, 1624, x2) - -inst_424: -// rs1_val==2 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x2, 0x667, x1, 1628, x2) - -inst_425: -// rs1_val==2 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x2, 0x334, x1, 1632, x2) - -inst_426: -// rs1_val==2 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x2, 0x6, x1, 1636, x2) - -inst_427: -// rs1_val==2 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x2, -0x555, x1, 1640, x2) - -inst_428: -// rs1_val==2 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x2, 0x556, x1, 1644, x2) - -inst_429: -// rs1_val==2 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x2, 0x4, x1, 1648, x2) - -inst_430: -// rs1_val==2 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2e, 0x2, 0x2c, x1, 1652, x2) - -inst_431: -// rs1_val==2 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x2, 0x2, 0x0, x1, 1656, x2) - -inst_432: -// rs1_val==2 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x667, 0x2, 0x665, x1, 1660, x2) - -inst_433: -// rs1_val==2 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x334, 0x2, 0x332, x1, 1664, x2) - -inst_434: -// rs1_val==2 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x556, 0x2, 0x554, x1, 1668, x2) - -inst_435: -// rs1_val==2 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x2, 0x2, x1, 1672, x2) - -inst_436: -// rs1_val==2 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x2f, 0x2, 0x2d, x1, 1676, x2) - -inst_437: -// rs1_val==2 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd5, 0x2, -0x2d, x1, 1680, x2) - -inst_438: -// rs1_val==2 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x668, 0x2, 0x666, x1, 1684, x2) - -inst_439: -// rs1_val==2 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x335, 0x2, 0x333, x1, 1688, x2) - -inst_440: -// rs1_val==2 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x2, 0x5, x1, 1692, x2) - -inst_441: -// rs1_val==2 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaac, 0x2, -0x556, x1, 1696, x2) - -inst_442: -// rs1_val==2 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x557, 0x2, 0x555, x1, 1700, x2) - -inst_443: -// rs1_val==2 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x2, 0x3, x1, 1704, x2) - -inst_444: -// rs1_val==46340 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb504, 0x2e, x1, 1708, x2) - -inst_445: -// rs1_val==46340 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb504, -0x2c, x1, 1712, x2) - -inst_446: -// rs1_val==46340 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb504, 0x667, x1, 1716, x2) - -inst_447: -// rs1_val==46340 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb504, 0x334, x1, 1720, x2) - -inst_448: -// rs1_val==46340 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb504, 0x6, x1, 1724, x2) - -inst_449: -// rs1_val==46340 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb504, -0x555, x1, 1728, x2) - -inst_450: -// rs1_val==46340 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb504, 0x556, x1, 1732, x2) - -inst_451: -// rs1_val==46340 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb504, 0x4, x1, 1736, x2) - -inst_452: -// rs1_val==46340 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb504, 0x2c, x1, 1740, x2) - -inst_453: -// rs1_val==46340 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb504, 0xb504, 0x0, x1, 1744, x2) - -inst_454: -// rs1_val==46340 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb504, 0x665, x1, 1748, x2) - -inst_455: -// rs1_val==46340 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb504, 0x332, x1, 1752, x2) - -inst_456: -// rs1_val==46340 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb504, 0x554, x1, 1756, x2) - -inst_457: -// rs1_val==46340 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb504, 0x2, x1, 1760, x2) - -inst_458: -// rs1_val==46340 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb504, 0x2d, x1, 1764, x2) - -inst_459: -// rs1_val==46340 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb504, -0x2d, x1, 1768, x2) - -inst_460: -// rs1_val==46340 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb504, 0x666, x1, 1772, x2) - -inst_461: -// rs1_val==46340 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb504, 0x333, x1, 1776, x2) - -inst_462: -// rs1_val==46340 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb504, 0x5, x1, 1780, x2) - -inst_463: -// rs1_val==46340 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb504, -0x556, x1, 1784, x2) - -inst_464: -// rs1_val==46340 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb504, 0x555, x1, 1788, x2) - -inst_465: -// rs1_val==46340 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb504, 0x3, x1, 1792, x2) - -inst_466: -// rs1_val==-46340 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb504, 0x2e, x1, 1796, x2) - -inst_467: -// rs1_val==-46340 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb504, -0x2c, x1, 1800, x2) - -inst_468: -// rs1_val==-46340 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb504, 0x667, x1, 1804, x2) - -inst_469: -// rs1_val==-46340 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb504, 0x334, x1, 1808, x2) - -inst_470: -// rs1_val==-46340 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb504, 0x6, x1, 1812, x2) - -inst_471: -// rs1_val==-46340 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb504, -0x555, x1, 1816, x2) - -inst_472: -// rs1_val==-46340 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb504, 0x556, x1, 1820, x2) - -inst_473: -// rs1_val==-46340 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb504, 0x4, x1, 1824, x2) - -inst_474: -// rs1_val==-46340 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4b28, -0xb504, 0x2c, x1, 1828, x2) - -inst_475: -// rs1_val==-46340 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xffff4afc, -0xb504, 0x0, x1, 1832, x2) - -inst_476: -// rs1_val==-46340 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xffff5161, -0xb504, 0x665, x1, 1836, x2) - -inst_477: -// rs1_val==-46340 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2e, -0xb504, 0x332, x1, 1840, x2) - -inst_478: -// rs1_val==-46340 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xffff5050, -0xb504, 0x554, x1, 1844, x2) - -inst_479: -// rs1_val==-46340 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffff4afe, -0xb504, 0x2, x1, 1848, x2) - -inst_480: -// rs1_val==-46340 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb504, 0x2d, x1, 1852, x2) - -inst_481: -// rs1_val==-46340 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4acf, -0xb504, -0x2d, x1, 1856, x2) - -inst_482: -// rs1_val==-46340 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb504, 0x666, x1, 1860, x2) - -inst_483: -// rs1_val==-46340 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb504, 0x333, x1, 1864, x2) - -inst_484: -// rs1_val==-46340 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb504, 0x5, x1, 1868, x2) - -inst_485: -// rs1_val==-46340 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff45a6, -0xb504, -0x556, x1, 1872, x2) - -inst_486: -// rs1_val==-46340 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb504, 0x555, x1, 1876, x2) - -inst_487: -// rs1_val==-46340 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb504, 0x3, x1, 1880, x2) - -inst_488: -// rs1_val==1717986918 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666666, 0x2e, x1, 1884, x2) - -inst_489: -// rs1_val==1717986918 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666666, -0x2c, x1, 1888, x2) - -inst_490: -// rs1_val==1717986918 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666666, 0x667, x1, 1892, x2) - -inst_491: -// rs1_val==1717986918 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666666, 0x334, x1, 1896, x2) - -inst_492: -// rs1_val==1717986918 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666666, 0x6, x1, 1900, x2) - -inst_493: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666666, -0x555, x1, 1904, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666666, 0x556, x1, 1908, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666666, 0x4, x1, 1912, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666666, 0x2c, x1, 1916, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666666, 0x66666666, 0x0, x1, 1920, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666666, 0x665, x1, 1924, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666666, 0x332, x1, 1928, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666666, 0x554, x1, 1932, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666666, 0x2, x1, 1936, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666666, 0x2d, x1, 1940, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666666, -0x2d, x1, 1944, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666666, 0x666, x1, 1948, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666666, 0x333, x1, 1952, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666666, 0x5, x1, 1956, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666666, -0x556, x1, 1960, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666666, 0x555, x1, 1964, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666666, 0x3, x1, 1968, x2) - -inst_510: -// rs1_val==858993459 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333333, 0x2e, x1, 1972, x2) - -inst_511: -// rs1_val==858993459 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333333, -0x2c, x1, 1976, x2) - -inst_512: -// rs1_val==858993459 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333333, 0x667, x1, 1980, x2) - -inst_513: -// rs1_val==858993459 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333333, 0x334, x1, 1984, x2) - -inst_514: -// rs1_val==858993459 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333333, 0x6, x1, 1988, x2) - -inst_515: -// rs1_val==858993459 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333333, -0x555, x1, 1992, x2) - -inst_516: -// rs1_val==858993459 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333333, 0x556, x1, 1996, x2) - -inst_517: -// rs1_val==858993459 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333333, 0x4, x1, 2000, x2) - -inst_518: -// rs1_val==858993459 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333333, 0x2c, x1, 2004, x2) - -inst_519: -// rs1_val==858993459 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333333, 0x33333333, 0x0, x1, 2008, x2) - -inst_520: -// rs1_val==858993459 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333333, 0x665, x1, 2012, x2) - -inst_521: -// rs1_val==858993459 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333333, 0x332, x1, 2016, x2) - -inst_522: -// rs1_val==858993459 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333333, 0x554, x1, 2020, x2) - -inst_523: -// rs1_val==858993459 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333333, 0x2, x1, 2024, x2) - -inst_524: -// rs1_val==858993459 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333333, 0x2d, x1, 2028, x2) - -inst_525: -// rs1_val==858993459 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333333, -0x2d, x1, 2032, x2) - -inst_526: -// rs1_val==858993459 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333333, 0x666, x1, 2036, x2) - -inst_527: -// rs1_val==858993459 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333333, 0x333, x1, 2040, x2) - -inst_528: -// rs1_val==858993459 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333333, 0x5, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_529: -// rs1_val==858993459 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333333, -0x556, x1, 0, x2) - -inst_530: -// rs1_val==858993459 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333333, 0x555, x1, 4, x2) - -inst_531: -// rs1_val==858993459 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333333, 0x3, x1, 8, x2) - -inst_532: -// rs1_val==5 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33, 0x5, 0x2e, x1, 12, x2) - -inst_533: -// rs1_val==5 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x5, -0x2c, x1, 16, x2) - -inst_534: -// rs1_val==5 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66c, 0x5, 0x667, x1, 20, x2) - -inst_535: -// rs1_val==5 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x339, 0x5, 0x334, x1, 24, x2) - -inst_536: -// rs1_val==5 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb, 0x5, 0x6, x1, 28, x2) - -inst_537: -// rs1_val==5 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x5, -0x555, x1, 32, x2) - -inst_538: -// rs1_val==5 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55b, 0x5, 0x556, x1, 36, x2) - -inst_539: -// rs1_val==5 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x5, 0x4, x1, 40, x2) - -inst_540: -// rs1_val==5 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x31, 0x5, 0x2c, x1, 44, x2) - -inst_541: -// rs1_val==5 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x5, 0x0, x1, 48, x2) - -inst_542: -// rs1_val==5 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x5, 0x665, x1, 52, x2) - -inst_543: -// rs1_val==5 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x5, 0x332, x1, 56, x2) - -inst_544: -// rs1_val==5 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x5, 0x554, x1, 60, x2) - -inst_545: -// rs1_val==5 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x5, 0x2, x1, 64, x2) - -inst_546: -// rs1_val==5 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x32, 0x5, 0x2d, x1, 68, x2) - -inst_547: -// rs1_val==5 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x5, -0x2d, x1, 72, x2) - -inst_548: -// rs1_val==5 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x5, 0x666, x1, 76, x2) - -inst_549: -// rs1_val==5 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x5, 0x333, x1, 80, x2) - -inst_550: -// rs1_val==5 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x5, 0x5, x1, 84, x2) - -inst_551: -// rs1_val==5 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x5, -0x556, x1, 88, x2) - -inst_552: -// rs1_val==5 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x5, 0x555, x1, 92, x2) - -inst_553: -// rs1_val==5 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x5, 0x3, x1, 96, x2) - -inst_554: -// rs1_val==-1431655766 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555556, 0x2e, x1, 100, x2) - -inst_555: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555556, -0x2c, x1, 104, x2) - -inst_556: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555556, 0x667, x1, 108, x2) - -inst_557: -// rs1_val==-1431655766 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555556, 0x334, x1, 112, x2) - -inst_558: -// rs1_val==-1431655766 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555556, 0x6, x1, 116, x2) - -inst_559: -// rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x40 -TEST_IMM_OP( addi, x11, x10, 0xc000003f, -0x40000001, 0x40, x1, 120, x2) - -inst_560: -// rs1_val == -33554433, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2000001; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xfdffffff, -0x2000001, 0x0, x1, 124, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 32*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S deleted file mode 100644 index b768ec1bb..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S +++ /dev/null @@ -1,3029 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the and instruction of the RISC-V E extension for the and covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",and) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x3, rd==x10, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs1_val == 16, rs2_val == 8 -// opcode: and ; op1:x11; op2:x3; dest:x10; op1val:0x10; op2val:0x8 -TEST_RR_OP(and, x10, x11, x3, 0x0, 0x10, 0x8, x1, 0, x7) - -inst_1: -// rs1 == rd != rs2, rs1==x13, rs2==x11, rd==x13, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) -// opcode: and ; op1:x13; op2:x11; dest:x13; op1val:0x3; op2val:0x7fffffff -TEST_RR_OP(and, x13, x13, x11, 0x3, 0x3, 0x7fffffff, x1, 4, x7) - -inst_2: -// rs2 == rd != rs1, rs1==x12, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val == 256, rs1_val > 0 and rs2_val < 0 -// opcode: and ; op1:x12; op2:x6; dest:x6; op1val:0x100; op2val:-0x40000001 -TEST_RR_OP(and, x6, x12, x6, 0x100, 0x100, -0x40000001, x1, 8, x7) - -inst_3: -// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x5, rs2_val == -536870913, rs1_val == 262144 -// opcode: and ; op1:x8; op2:x8; dest:x5; op1val:0x40000; op2val:0x40000 -TEST_RR_OP(and, x5, x8, x8, 0x40000, 0x40000, 0x40000, x1, 12, x7) - -inst_4: -// rs1 == rs2 == rd, rs1==x4, rs2==x4, rd==x4, rs2_val == -268435457, rs1_val == 1048576 -// opcode: and ; op1:x4; op2:x4; dest:x4; op1val:0x100000; op2val:0x100000 -TEST_RR_OP(and, x4, x4, x4, 0x100000, 0x100000, 0x100000, x1, 16, x7) - -inst_5: -// rs1==x15, rs2==x2, rd==x12, rs2_val == -134217729, rs1_val < 0 and rs2_val < 0, rs1_val == -67108865 -// opcode: and ; op1:x15; op2:x2; dest:x12; op1val:-0x4000001; op2val:-0x8000001 -TEST_RR_OP(and, x12, x15, x2, 0xf3ffffff, -0x4000001, -0x8000001, x1, 20, x7) - -inst_6: -// rs1==x6, rs2==x15, rd==x8, rs2_val == -67108865, rs1_val == -1431655766 -// opcode: and ; op1:x6; op2:x15; dest:x8; op1val:-0x55555556; op2val:-0x4000001 -TEST_RR_OP(and, x8, x6, x15, 0xaaaaaaaa, -0x55555556, -0x4000001, x1, 24, x3) - -inst_7: -// rs1==x10, rs2==x5, rd==x9, rs2_val == -33554433, rs1_val == 4194304 -// opcode: and ; op1:x10; op2:x5; dest:x9; op1val:0x400000; op2val:-0x2000001 -TEST_RR_OP(and, x9, x10, x5, 0x400000, 0x400000, -0x2000001, x1, 28, x3) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_8: -// rs1==x2, rs2==x0, rd==x1, rs2_val == -16777217, -// opcode: and ; op1:x2; op2:x0; dest:x1; op1val:0x40000; op2val:0x0 -TEST_RR_OP(and, x1, x2, x0, 0x0, 0x40000, 0x0, x4, 0, x3) - -inst_9: -// rs1==x5, rs2==x1, rd==x15, rs2_val == -8388609, rs1_val == -65 -// opcode: and ; op1:x5; op2:x1; dest:x15; op1val:-0x41; op2val:-0x800001 -TEST_RR_OP(and, x15, x5, x1, 0xff7fffbf, -0x41, -0x800001, x4, 4, x3) - -inst_10: -// rs1==x7, rs2==x10, rd==x2, rs2_val == -4194305, rs1_val == 16777216 -// opcode: and ; op1:x7; op2:x10; dest:x2; op1val:0x1000000; op2val:-0x400001 -TEST_RR_OP(and, x2, x7, x10, 0x1000000, 0x1000000, -0x400001, x4, 8, x3) - -inst_11: -// rs1==x1, rs2==x9, rd==x14, rs2_val == -2097153, rs1_val == -16385 -// opcode: and ; op1:x1; op2:x9; dest:x14; op1val:-0x4001; op2val:-0x200001 -TEST_RR_OP(and, x14, x1, x9, 0xffdfbfff, -0x4001, -0x200001, x4, 12, x3) - -inst_12: -// rs1==x14, rs2==x13, rd==x7, rs2_val == -1048577, rs1_val == -1025 -// opcode: and ; op1:x14; op2:x13; dest:x7; op1val:-0x401; op2val:-0x100001 -TEST_RR_OP(and, x7, x14, x13, 0xffeffbff, -0x401, -0x100001, x4, 16, x3) - -inst_13: -// rs1==x3, rs2==x14, rd==x0, rs2_val == -524289, rs1_val == -3 -// opcode: and ; op1:x3; op2:x14; dest:x0; op1val:-0x3; op2val:-0x80001 -TEST_RR_OP(and, x0, x3, x14, 0, -0x3, -0x80001, x4, 20, x2) - -inst_14: -// rs1==x9, rs2==x7, rd==x11, rs2_val == -262145, rs1_val == 33554432 -// opcode: and ; op1:x9; op2:x7; dest:x11; op1val:0x2000000; op2val:-0x40001 -TEST_RR_OP(and, x11, x9, x7, 0x2000000, 0x2000000, -0x40001, x4, 24, x2) - -inst_15: -// rs1==x0, rs2==x12, rd==x3, rs2_val == -131073, -// opcode: and ; op1:x0; op2:x12; dest:x3; op1val:0x0; op2val:-0x20001 -TEST_RR_OP(and, x3, x0, x12, 0x0, 0x0, -0x20001, x4, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs2_val == -65537, rs1_val == 4 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10001 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x10001, x1, 0, x2) - -inst_17: -// rs2_val == -32769, rs1_val == 1431655765 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x8001 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, -0x8001, x1, 4, x2) - -inst_18: -// rs2_val == -16385, rs1_val == 1073741824 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 -TEST_RR_OP(and, x12, x10, x11, 0x40000000, 0x40000000, -0x4001, x1, 8, x2) - -inst_19: -// rs2_val == -8193, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x2001 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x2001, x1, 12, x2) - -inst_20: -// rs2_val == -4097, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x1001 -TEST_RR_OP(and, x12, x10, x11, 0x100, 0x100, -0x1001, x1, 16, x2) - -inst_21: -// rs2_val == -2049, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x801 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaa2aa, -0x55555556, -0x801, x1, 20, x2) - -inst_22: -// rs2_val == -1025, rs1_val == 4096 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x401 -TEST_RR_OP(and, x12, x10, x11, 0x1000, 0x1000, -0x401, x1, 24, x2) - -inst_23: -// rs2_val == -513, rs1_val == 1 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x201 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x1, -0x201, x1, 28, x2) - -inst_24: -// rs2_val == -257, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0x7, 0x7, -0x101, x1, 32, x2) - -inst_25: -// rs2_val == -129, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x81 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x81, x1, 36, x2) - -inst_26: -// rs2_val == -65, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x41 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, -0x41, x1, 40, x2) - -inst_27: -// rs2_val == -33, rs1_val == 128 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x21 -TEST_RR_OP(and, x12, x10, x11, 0x80, 0x80, -0x21, x1, 44, x2) - -inst_28: -// rs2_val == -17, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x11 -TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, -0x11, x1, 48, x2) - -inst_29: -// rs2_val == -9, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x9 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x9, x1, 52, x2) - -inst_30: -// rs2_val == -5, rs1_val == 16384 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4000, 0x4000, -0x5, x1, 56, x2) - -inst_31: -// rs2_val == -3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x3 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, -0x3, x1, 60, x2) - -inst_32: -// rs2_val == -2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x2 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, -0x2, x1, 64, x2) - -inst_33: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x800001 -TEST_RR_OP(and, x12, x10, x11, 0x7f7fffff, 0x7fffffff, -0x800001, x1, 68, x2) - -inst_34: -// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x26666667, -0x40000001, 0x66666667, x1, 72, x2) - -inst_35: -// rs1_val == -536870913, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x20000001, 0x3, x1, 76, x2) - -inst_36: -// rs1_val == -268435457, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x8 -TEST_RR_OP(and, x12, x10, x11, 0xeffffff8, -0x10000001, -0x8, x1, 80, x2) - -inst_37: -// rs1_val == -134217729, rs2_val == 2097152 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x200000 -TEST_RR_OP(and, x12, x10, x11, 0x200000, -0x8000001, 0x200000, x1, 84, x2) - -inst_38: -// rs1_val == -33554433, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0xfdfffeff, -0x2000001, -0x101, x1, 88, x2) - -inst_39: -// rs1_val == -16777217, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x800001 -TEST_RR_OP(and, x12, x10, x11, 0xfe7fffff, -0x1000001, -0x800001, x1, 92, x2) - -inst_40: -// rs1_val == -8388609, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0xff7ffeff, -0x800001, -0x101, x1, 96, x2) - -inst_41: -// rs1_val == -4194305, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x200001 -TEST_RR_OP(and, x12, x10, x11, 0xff9fffff, -0x400001, -0x200001, x1, 100, x2) - -inst_42: -// rs1_val == -2097153, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66466666, -0x200001, 0x66666666, x1, 104, x2) - -inst_43: -// rs1_val == -1048577, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffef4afd, -0x100001, -0xb503, x1, 108, x2) - -inst_44: -// rs1_val == -524289, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, -0x80001, 0xb504, x1, 112, x2) - -inst_45: -// rs1_val == -262145, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x8001 -TEST_RR_OP(and, x12, x10, x11, 0xfffb7fff, -0x40001, -0x8001, x1, 116, x2) - -inst_46: -// rs1_val == -131073, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555556, -0x20001, 0x55555556, x1, 120, x2) - -inst_47: -// rs1_val == -65537, rs2_val == 524288 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x80000 -TEST_RR_OP(and, x12, x10, x11, 0x80000, -0x10001, 0x80000, x1, 124, x2) - -inst_48: -// rs1_val == -32769, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x4001 -TEST_RR_OP(and, x12, x10, x11, 0xffff3fff, -0x8001, -0x4001, x1, 128, x2) - -inst_49: -// rs1_val == -8193, rs2_val == 2 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x2001, 0x2, x1, 132, x2) - -inst_50: -// rs1_val == -4097, rs2_val == 32 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x20 -TEST_RR_OP(and, x12, x10, x11, 0x20, -0x1001, 0x20, x1, 136, x2) - -inst_51: -// rs1_val == -2049, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff42fc, -0x801, -0xb504, x1, 140, x2) - -inst_52: -// rs1_val == -513, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x80001 -TEST_RR_OP(and, x12, x10, x11, 0xfff7fdff, -0x201, -0x80001, x1, 144, x2) - -inst_53: -// rs1_val == -257, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 -TEST_RR_OP(and, x12, x10, x11, 0xf7fffeff, -0x101, -0x8000001, x1, 148, x2) - -inst_54: -// rs1_val == -129, rs2_val == 1431655765 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, -0x81, 0x55555555, x1, 152, x2) - -inst_55: -// rs1_val == -33, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x201 -TEST_RR_OP(and, x12, x10, x11, 0xfffffddf, -0x21, -0x201, x1, 156, x2) - -inst_56: -// rs1_val == -17, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x11, 0x3, x1, 160, x2) - -inst_57: -// rs1_val == -9, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, -0x9, 0x6, x1, 164, x2) - -inst_58: -// rs1_val == -5, rs2_val == 1 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x1 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x5, 0x1, x1, 168, x2) - -inst_59: -// rs1_val == -2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0x2, -0xb503, x1, 172, x2) - -inst_60: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 2 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x80000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0x80000000, x1, 176, x2) - -inst_61: -// rs2_val == 1073741824, rs1_val == 2048 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x40000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x40000000, x1, 180, x2) - -inst_62: -// rs2_val == 536870912, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x20000000 -TEST_RR_OP(and, x12, x10, x11, 0x20000000, -0x4000001, 0x20000000, x1, 184, x2) - -inst_63: -// rs2_val == 268435456, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x10000000 -TEST_RR_OP(and, x12, x10, x11, 0x10000000, -0x100001, 0x10000000, x1, 188, x2) - -inst_64: -// rs2_val == 134217728, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8000000 -TEST_RR_OP(and, x12, x10, x11, 0x8000000, -0xb503, 0x8000000, x1, 192, x2) - -inst_65: -// rs2_val == 67108864, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x4000000 -TEST_RR_OP(and, x12, x10, x11, 0x4000000, -0x1, 0x4000000, x1, 196, x2) - -inst_66: -// rs2_val == 33554432, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2000000 -TEST_RR_OP(and, x12, x10, x11, 0x2000000, -0x55555555, 0x2000000, x1, 200, x2) - -inst_67: -// rs2_val == 16777216, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x1000000 -TEST_RR_OP(and, x12, x10, x11, 0x1000000, -0x10000001, 0x1000000, x1, 204, x2) - -inst_68: -// rs2_val == 8388608, rs1_val == 268435456 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x800000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000000, 0x800000, x1, 208, x2) - -inst_69: -// rs2_val == 4194304, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x400000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x400000, x1, 212, x2) - -inst_70: -// rs2_val == 1048576, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x100000 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x55555555, 0x100000, x1, 216, x2) - -inst_71: -// rs2_val == 262144, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:0x40000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x9, 0x40000, x1, 220, x2) - -inst_72: -// rs2_val == 131072, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x20000 -TEST_RR_OP(and, x12, x10, x11, 0x20000, -0x55555555, 0x20000, x1, 224, x2) - -inst_73: -// rs2_val == 65536, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x10000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x10000, x1, 228, x2) - -inst_74: -// rs2_val == 32768, rs1_val == 65536 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000, 0x8000, x1, 232, x2) - -inst_75: -// rs2_val == 16384, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4000, x1, 236, x2) - -inst_76: -// rs2_val == 8192, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 -TEST_RR_OP(and, x12, x10, x11, 0x2000, -0xa, 0x2000, x1, 240, x2) - -inst_77: -// rs2_val == 4096, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x1000 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x1000, x1, 244, x2) - -inst_78: -// rs2_val == 2048, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x800 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x800, x1, 248, x2) - -inst_79: -// rs2_val == 1024, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x400 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x400, x1, 252, x2) - -inst_80: -// rs2_val == 512, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x200 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x200, x1, 256, x2) - -inst_81: -// rs2_val == 256, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x100, x1, 260, x2) - -inst_82: -// rs2_val == 128, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 -TEST_RR_OP(and, x12, x10, x11, 0x80, -0x20000001, 0x80, x1, 264, x2) - -inst_83: -// rs2_val == 64, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x40 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x40, x1, 268, x2) - -inst_84: -// rs2_val == 16, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x10 -TEST_RR_OP(and, x12, x10, x11, 0x10, -0x7, 0x10, x1, 272, x2) - -inst_85: -// rs2_val == 4, rs1_val==-46339 and rs2_val==4 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x4, x1, 276, x2) - -inst_86: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x80000000, 0x33333334, x1, 280, x2) - -inst_87: -// rs1_val == 536870912, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x20000000, 0x20000000, -0xb504, x1, 284, x2) - -inst_88: -// rs1_val == 134217728, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000000, 0xb504, x1, 288, x2) - -inst_89: -// rs1_val == 67108864, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4000000, 0x4000000, -0xb504, x1, 292, x2) - -inst_90: -// rs1_val == 8388608, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x3fffffff -TEST_RR_OP(and, x12, x10, x11, 0x800000, 0x800000, 0x3fffffff, x1, 296, x2) - -inst_91: -// rs1_val == 2097152, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x9 -TEST_RR_OP(and, x12, x10, x11, 0x200000, 0x200000, -0x9, x1, 300, x2) - -inst_92: -// rs1_val == 524288, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7fffffff -TEST_RR_OP(and, x12, x10, x11, 0x80000, 0x80000, 0x7fffffff, x1, 304, x2) - -inst_93: -// rs1_val == 131072, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x4 -TEST_RR_OP(and, x12, x10, x11, 0x20000, 0x20000, -0x4, x1, 308, x2) - -inst_94: -// rs1_val == 32768, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000, 0x10000000, x1, 312, x2) - -inst_95: -// rs1_val == 8192, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x1000001 -TEST_RR_OP(and, x12, x10, x11, 0x2000, 0x2000, -0x1000001, x1, 316, x2) - -inst_96: -// rs1_val == 1024, rs1_val == rs2_val -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x400 -TEST_RR_OP(and, x12, x10, x11, 0x400, 0x400, 0x400, x1, 320, x2) - -inst_97: -// rs1_val == 512, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x20000001 -TEST_RR_OP(and, x12, x10, x11, 0x200, 0x200, -0x20000001, x1, 324, x2) - -inst_98: -// rs1_val == 64, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x40000001 -TEST_RR_OP(and, x12, x10, x11, 0x40, 0x40, -0x40000001, x1, 328, x2) - -inst_99: -// rs1_val == 32, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x2000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x20, 0x2000000, x1, 332, x2) - -inst_100: -// rs1_val == 8, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8, 0x66666667, x1, 336, x2) - -inst_101: -// rs1_val==46341 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 340, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, -0xb503, x1, 344, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666667, x1, 348, x2) - -inst_104: -// rs1_val==46341 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb505, 0x33333334, x1, 352, x2) - -inst_105: -// rs1_val==46341 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x6, x1, 356, x2) - -inst_106: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa001, 0xb505, -0x55555555, x1, 360, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555556, x1, 364, x2) - -inst_108: -// rs1_val==46341 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x4, x1, 368, x2) - -inst_109: -// rs1_val==46341 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb505, 0xb503, x1, 372, x2) - -inst_110: -// rs1_val==46341 and rs2_val==0, rs2_val == 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x0, x1, 376, x2) - -inst_111: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666665, x1, 380, x2) - -inst_112: -// rs1_val==46341 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb505, 0x33333332, x1, 384, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555554, x1, 388, x2) - -inst_114: -// rs1_val==46341 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x2, x1, 392, x2) - -inst_115: -// rs1_val==46341 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb505, 0xb504, x1, 396, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, -0xb504, x1, 400, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb505, 0x66666666, x1, 404, x2) - -inst_118: -// rs1_val==46341 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3101, 0xb505, 0x33333333, x1, 408, x2) - -inst_119: -// rs1_val==46341 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, 0x5, x1, 412, x2) - -inst_120: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb505, -0x55555556, x1, 416, x2) - -inst_121: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1505, 0xb505, 0x55555555, x1, 420, x2) - -inst_122: -// rs1_val==46341 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb505, 0x3, x1, 424, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0xb505, x1, 428, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 432, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666667, x1, 436, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb503, 0x33333334, x1, 440, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x6, x1, 444, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0xb503, -0x55555555, x1, 448, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555556, x1, 452, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0xb503, x1, 456, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x0, x1, 460, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666665, x1, 464, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb503, 0x33333332, x1, 468, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555554, x1, 472, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x2, x1, 476, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0xb504, x1, 480, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb503, -0xb504, x1, 484, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb503, 0x66666666, x1, 488, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33330231, -0xb503, 0x33333333, x1, 492, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0x5, x1, 496, x2) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb503, -0x55555556, x1, 500, x2) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55554055, -0xb503, 0x55555555, x1, 504, x2) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0x3, x1, 508, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666667, 0xb505, x1, 512, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666667, -0xb503, x1, 516, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 520, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666667, 0x33333334, x1, 524, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666667, 0x6, x1, 528, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, -0x55555555, x1, 532, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666667, 0x55555556, x1, 536, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666667, 0x4, x1, 540, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2403, 0x66666667, 0xb503, x1, 544, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666667, 0x0, x1, 548, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666667, 0x66666665, x1, 552, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, 0x33333332, x1, 556, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666667, 0x55555554, x1, 560, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666667, 0x2, x1, 564, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666667, 0xb504, x1, 568, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666667, -0xb504, x1, 572, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666667, 0x66666666, x1, 576, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, 0x33333333, x1, 580, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666667, 0x5, x1, 584, x2) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, -0x55555556, x1, 588, x2) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666667, 0x55555555, x1, 592, x2) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x66666667, 0x3, x1, 596, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb505, x1, 600, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb503, x1, 604, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666667, x1, 608, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 612, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x6, x1, 616, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555555, x1, 620, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555556, x1, 624, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x4, x1, 628, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333334, 0xb503, x1, 632, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x0, x1, 636, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666665, x1, 640, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333332, x1, 644, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555554, x1, 648, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x2, x1, 652, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb504, x1, 656, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb504, x1, 660, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666666, x1, 664, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333333, x1, 668, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x5, x1, 672, x2) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555556, x1, 676, x2) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555555, x1, 680, x2) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x3, x1, 684, x2) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb505, x1, 688, x2) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb503, x1, 692, x2) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666667, x1, 696, x2) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x33333334, x1, 700, x2) - -inst_192: -// rs1_val==6 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x6, x1, 704, x2) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555555, x1, 708, x2) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x55555556, x1, 712, x2) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x4, x1, 716, x2) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0xb503, x1, 720, x2) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x6, 0x0, x1, 724, x2) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x66666665, x1, 728, x2) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333332, x1, 732, x2) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555554, x1, 736, x2) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x2, x1, 740, x2) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb504, x1, 744, x2) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb504, x1, 748, x2) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666666, x1, 752, x2) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333333, x1, 756, x2) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x5, x1, 760, x2) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555556, x1, 764, x2) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555555, x1, 768, x2) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x3, x1, 772, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xa001, -0x55555555, 0xb505, x1, 776, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0x55555555, -0xb503, x1, 780, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x66666667, x1, 784, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555555, 0x33333334, x1, 788, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x6, x1, 792, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 796, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x55555556, x1, 800, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x4, x1, 804, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xa003, -0x55555555, 0xb503, x1, 808, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x0, x1, 812, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, -0x55555555, 0x66666665, x1, 816, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x33333332, x1, 820, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x55555554, x1, 824, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x2, x1, 828, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555555, 0xb504, x1, 832, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555555, -0xb504, x1, 836, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x66666666, x1, 840, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x33333333, x1, 844, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x5, x1, 848, x2) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555555, -0x55555556, x1, 852, x2) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x1, 856, x2) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x55555555, 0x3, x1, 860, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb505, x1, 864, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb503, x1, 868, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666667, x1, 872, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555556, 0x33333334, x1, 876, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x55555556, 0x6, x1, 880, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555555, x1, 884, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 888, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x4, x1, 892, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1502, 0x55555556, 0xb503, x1, 896, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555556, 0x0, x1, 900, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555556, 0x66666665, x1, 904, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333332, x1, 908, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555554, x1, 912, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x2, x1, 916, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb504, x1, 920, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb504, x1, 924, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666666, x1, 928, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333333, x1, 932, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x5, x1, 936, x2) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555556, x1, 940, x2) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555555, x1, 944, x2) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x3, x1, 948, x2) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb505, x1, 952, x2) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb503, x1, 956, x2) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666667, x1, 960, x2) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x33333334, x1, 964, x2) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x6, x1, 968, x2) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555555, x1, 972, x2) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555556, x1, 976, x2) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x4, x1, 980, x2) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0xb503, x1, 984, x2) - -inst_263: -// rs1_val==4 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x0, x1, 988, x2) - -inst_264: -// rs1_val==4 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666665, x1, 992, x2) - -inst_265: -// rs1_val==4 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333332, x1, 996, x2) - -inst_266: -// rs1_val==4 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555554, x1, 1000, x2) - -inst_267: -// rs1_val==4 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x2, x1, 1004, x2) - -inst_268: -// rs1_val==4 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb504, x1, 1008, x2) - -inst_269: -// rs1_val==4 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb504, x1, 1012, x2) - -inst_270: -// rs1_val==4 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666666, x1, 1016, x2) - -inst_271: -// rs1_val==4 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333333, x1, 1020, x2) - -inst_272: -// rs1_val==4 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x5, x1, 1024, x2) - -inst_273: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555556, x1, 1028, x2) - -inst_274: -// rs1_val==4 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555555, x1, 1032, x2) - -inst_275: -// rs1_val==4 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x3, x1, 1036, x2) - -inst_276: -// rs1_val==46339 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb503, 0xb505, x1, 1040, x2) - -inst_277: -// rs1_val==46339 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, -0xb503, x1, 1044, x2) - -inst_278: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2403, 0xb503, 0x66666667, x1, 1048, x2) - -inst_279: -// rs1_val==46339 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb503, 0x33333334, x1, 1052, x2) - -inst_280: -// rs1_val==46339 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x6, x1, 1056, x2) - -inst_281: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa003, 0xb503, -0x55555555, x1, 1060, x2) - -inst_282: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1502, 0xb503, 0x55555556, x1, 1064, x2) - -inst_283: -// rs1_val==46339 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x4, x1, 1068, x2) - -inst_284: -// rs1_val==46339 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1072, x2) - -inst_285: -// rs1_val==46339 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x0, x1, 1076, x2) - -inst_286: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2401, 0xb503, 0x66666665, x1, 1080, x2) - -inst_287: -// rs1_val==46339 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3102, 0xb503, 0x33333332, x1, 1084, x2) - -inst_288: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1500, 0xb503, 0x55555554, x1, 1088, x2) - -inst_289: -// rs1_val==46339 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x2, x1, 1092, x2) - -inst_290: -// rs1_val==46339 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb503, 0xb504, x1, 1096, x2) - -inst_291: -// rs1_val==46339 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, -0xb504, x1, 1100, x2) - -inst_292: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2402, 0xb503, 0x66666666, x1, 1104, x2) - -inst_293: -// rs1_val==46339 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3103, 0xb503, 0x33333333, x1, 1108, x2) - -inst_294: -// rs1_val==46339 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, 0x5, x1, 1112, x2) - -inst_295: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa002, 0xb503, -0x55555556, x1, 1116, x2) - -inst_296: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1501, 0xb503, 0x55555555, x1, 1120, x2) - -inst_297: -// rs1_val==46339 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0xb503, 0x3, x1, 1124, x2) - -inst_298: -// rs1_val==0 and rs2_val==46341, rs1_val == 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb505, x1, 1128, x2) - -inst_299: -// rs1_val==0 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb503, x1, 1132, x2) - -inst_300: -// rs1_val==0 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666667, x1, 1136, x2) - -inst_301: -// rs1_val==0 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333334, x1, 1140, x2) - -inst_302: -// rs1_val==0 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x6, x1, 1144, x2) - -inst_303: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555555, x1, 1148, x2) - -inst_304: -// rs1_val==0 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555556, x1, 1152, x2) - -inst_305: -// rs1_val==0 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x4, x1, 1156, x2) - -inst_306: -// rs1_val==0 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb503, x1, 1160, x2) - -inst_307: -// rs1_val==0 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1164, x2) - -inst_308: -// rs1_val==0 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666665, x1, 1168, x2) - -inst_309: -// rs1_val==0 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333332, x1, 1172, x2) - -inst_310: -// rs1_val==0 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555554, x1, 1176, x2) - -inst_311: -// rs1_val==0 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x2, x1, 1180, x2) - -inst_312: -// rs1_val==0 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb504, x1, 1184, x2) - -inst_313: -// rs1_val==0 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb504, x1, 1188, x2) - -inst_314: -// rs1_val==0 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666666, x1, 1192, x2) - -inst_315: -// rs1_val==0 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333333, x1, 1196, x2) - -inst_316: -// rs1_val==0 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x5, x1, 1200, x2) - -inst_317: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555556, x1, 1204, x2) - -inst_318: -// rs1_val==0 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555555, x1, 1208, x2) - -inst_319: -// rs1_val==0 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x3, x1, 1212, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666665, 0xb505, x1, 1216, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666665, -0xb503, x1, 1220, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666667, x1, 1224, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666665, 0x33333334, x1, 1228, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x6, x1, 1232, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, -0x55555555, x1, 1236, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555556, x1, 1240, x2) - -inst_327: -// rs1_val==1717986917 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x4, x1, 1244, x2) - -inst_328: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2401, 0x66666665, 0xb503, x1, 1248, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x0, x1, 1252, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x33333333, 0x66666665, x1, 1256, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333333, 0x33333332, x1, 1260, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333333, 0x55555554, x1, 1264, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x2, x1, 1268, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333333, 0xb504, x1, 1272, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333333, -0xb504, x1, 1276, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, 0x66666666, x1, 1280, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1284, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x33333333, 0x5, x1, 1288, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, -0x55555556, x1, 1292, x2) - -inst_340: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x33333333, 0x55555555, x1, 1296, x2) - -inst_341: -// rs1_val==858993459 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x33333333, 0x3, x1, 1300, x2) - -inst_342: -// rs1_val==5 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0xb505, x1, 1304, x2) - -inst_343: -// rs1_val==5 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, -0xb503, x1, 1308, x2) - -inst_344: -// rs1_val==5 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666667, x1, 1312, x2) - -inst_345: -// rs1_val==5 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x33333334, x1, 1316, x2) - -inst_346: -// rs1_val==5 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x6, x1, 1320, x2) - -inst_347: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, -0x55555555, x1, 1324, x2) - -inst_348: -// rs1_val==5 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555556, x1, 1328, x2) - -inst_349: -// rs1_val==5 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x4, x1, 1332, x2) - -inst_350: -// rs1_val==5 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0xb503, x1, 1336, x2) - -inst_351: -// rs1_val==5 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x0, x1, 1340, x2) - -inst_352: -// rs1_val==5 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666665, x1, 1344, x2) - -inst_353: -// rs1_val==5 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x33333332, x1, 1348, x2) - -inst_354: -// rs1_val==5 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555554, x1, 1352, x2) - -inst_355: -// rs1_val==5 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x2, x1, 1356, x2) - -inst_356: -// rs1_val==5 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0xb504, x1, 1360, x2) - -inst_357: -// rs1_val==5 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, -0xb504, x1, 1364, x2) - -inst_358: -// rs1_val==5 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x66666666, x1, 1368, x2) - -inst_359: -// rs1_val==5 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x33333333, x1, 1372, x2) - -inst_360: -// rs1_val==5 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1376, x2) - -inst_361: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, -0x55555556, x1, 1380, x2) - -inst_362: -// rs1_val==5 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x55555555, x1, 1384, x2) - -inst_363: -// rs1_val==5 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x3, x1, 1388, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb505, x1, 1392, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb503, x1, 1396, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666667, x1, 1400, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x33333334, x1, 1404, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x6, x1, 1408, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555555, x1, 1412, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x55555556, x1, 1416, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x4, x1, 1420, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xa002, -0x55555556, 0xb503, x1, 1424, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x0, x1, 1428, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x66666665, x1, 1432, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333332, x1, 1436, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555554, x1, 1440, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x2, x1, 1444, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb504, x1, 1448, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb504, x1, 1452, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666666, x1, 1456, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333333, x1, 1460, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x5, x1, 1464, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1468, x2) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555555, x1, 1472, x2) - -inst_385: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x3, x1, 1476, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1505, 0x55555555, 0xb505, x1, 1480, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554055, 0x55555555, -0xb503, x1, 1484, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666667, x1, 1488, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555555, 0x33333334, x1, 1492, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x6, x1, 1496, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, -0x55555555, x1, 1500, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555556, x1, 1504, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x4, x1, 1508, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1501, 0x55555555, 0xb503, x1, 1512, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x0, x1, 1516, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666665, x1, 1520, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555555, 0x33333332, x1, 1524, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555554, x1, 1528, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x2, x1, 1532, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555555, 0xb504, x1, 1536, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555555, -0xb504, x1, 1540, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555555, 0x66666666, x1, 1544, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x55555555, 0x33333333, x1, 1548, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x55555555, 0x5, x1, 1552, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x1, 1556, x2) - -inst_406: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1560, x2) - -inst_407: -// rs1_val==1431655765 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, 0x3, x1, 1564, x2) - -inst_408: -// rs1_val==3 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0xb505, x1, 1568, x2) - -inst_409: -// rs1_val==3 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, -0xb503, x1, 1572, x2) - -inst_410: -// rs1_val==3 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x66666667, x1, 1576, x2) - -inst_411: -// rs1_val==3 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x33333334, x1, 1580, x2) - -inst_412: -// rs1_val==3 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x6, x1, 1584, x2) - -inst_413: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x55555555, x1, 1588, x2) - -inst_414: -// rs1_val==3 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x55555556, x1, 1592, x2) - -inst_415: -// rs1_val==3 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4, x1, 1596, x2) - -inst_416: -// rs1_val==3 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0xb503, x1, 1600, x2) - -inst_417: -// rs1_val==3 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x0, x1, 1604, x2) - -inst_418: -// rs1_val==3 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x66666665, x1, 1608, x2) - -inst_419: -// rs1_val==3 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x33333332, x1, 1612, x2) - -inst_420: -// rs1_val==3 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x55555554, x1, 1616, x2) - -inst_421: -// rs1_val==3 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x2, x1, 1620, x2) - -inst_422: -// rs1_val==3 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0xb504, x1, 1624, x2) - -inst_423: -// rs1_val==3 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, -0xb504, x1, 1628, x2) - -inst_424: -// rs1_val==3 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x66666666, x1, 1632, x2) - -inst_425: -// rs1_val==3 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x33333333, x1, 1636, x2) - -inst_426: -// rs1_val==3 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x5, x1, 1640, x2) - -inst_427: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, -0x55555556, x1, 1644, x2) - -inst_428: -// rs1_val==3 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x55555555, x1, 1648, x2) - -inst_429: -// rs1_val==3 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1652, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x0, x1, 1656, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1660, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, 0x33333332, x1, 1664, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555554, x1, 1668, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x2, x1, 1672, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666665, 0xb504, x1, 1676, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666665, -0xb504, x1, 1680, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666665, 0x66666666, x1, 1684, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, 0x33333333, x1, 1688, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666665, 0x5, x1, 1692, x2) - -inst_440: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, -0x55555556, x1, 1696, x2) - -inst_441: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666665, 0x55555555, x1, 1700, x2) - -inst_442: -// rs1_val==1717986917 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x66666665, 0x3, x1, 1704, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb505, x1, 1708, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb503, x1, 1712, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666667, x1, 1716, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, 0x33333334, x1, 1720, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x6, x1, 1724, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555555, x1, 1728, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333332, 0x55555556, x1, 1732, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x4, x1, 1736, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3102, 0x33333332, 0xb503, x1, 1740, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x0, x1, 1744, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333332, 0x66666665, x1, 1748, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1752, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555554, x1, 1756, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x2, x1, 1760, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb504, x1, 1764, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb504, x1, 1768, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666666, x1, 1772, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333333, x1, 1776, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x5, x1, 1780, x2) - -inst_462: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555556, x1, 1784, x2) - -inst_463: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555555, x1, 1788, x2) - -inst_464: -// rs1_val==858993458 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x3, x1, 1792, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb505, x1, 1796, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb503, x1, 1800, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666667, x1, 1804, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555554, 0x33333334, x1, 1808, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x6, x1, 1812, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x1, 1816, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555556, x1, 1820, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x4, x1, 1824, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1500, 0x55555554, 0xb503, x1, 1828, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x0, x1, 1832, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666665, x1, 1836, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333332, x1, 1840, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1844, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x2, x1, 1848, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb504, x1, 1852, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb504, x1, 1856, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666666, x1, 1860, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333333, x1, 1864, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x5, x1, 1868, x2) - -inst_484: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x1, 1872, x2) - -inst_485: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555555, x1, 1876, x2) - -inst_486: -// rs1_val==1431655764 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x3, x1, 1880, x2) - -inst_487: -// rs1_val==2 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb505, x1, 1884, x2) - -inst_488: -// rs1_val==2 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb503, x1, 1888, x2) - -inst_489: -// rs1_val==2 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666667, x1, 1892, x2) - -inst_490: -// rs1_val==2 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x33333334, x1, 1896, x2) - -inst_491: -// rs1_val==2 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x6, x1, 1900, x2) - -inst_492: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555555, x1, 1904, x2) - -inst_493: -// rs1_val==2 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x55555556, x1, 1908, x2) - -inst_494: -// rs1_val==2 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x4, x1, 1912, x2) - -inst_495: -// rs1_val==2 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0xb503, x1, 1916, x2) - -inst_496: -// rs1_val==2 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x0, x1, 1920, x2) - -inst_497: -// rs1_val==2 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x66666665, x1, 1924, x2) - -inst_498: -// rs1_val==2 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333332, x1, 1928, x2) - -inst_499: -// rs1_val==2 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555554, x1, 1932, x2) - -inst_500: -// rs1_val==2 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1936, x2) - -inst_501: -// rs1_val==2 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb504, x1, 1940, x2) - -inst_502: -// rs1_val==2 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb504, x1, 1944, x2) - -inst_503: -// rs1_val==2 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666666, x1, 1948, x2) - -inst_504: -// rs1_val==2 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333333, x1, 1952, x2) - -inst_505: -// rs1_val==2 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x5, x1, 1956, x2) - -inst_506: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555556, x1, 1960, x2) - -inst_507: -// rs1_val==2 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555555, x1, 1964, x2) - -inst_508: -// rs1_val==2 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x3, x1, 1968, x2) - -inst_509: -// rs1_val==46340 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb505, x1, 1972, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb503, x1, 1976, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666667, x1, 1980, x2) - -inst_512: -// rs1_val==46340 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb504, 0x33333334, x1, 1984, x2) - -inst_513: -// rs1_val==46340 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x6, x1, 1988, x2) - -inst_514: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555555, x1, 1992, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555556, x1, 1996, x2) - -inst_516: -// rs1_val==46340 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x4, x1, 2000, x2) - -inst_517: -// rs1_val==46340 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb504, 0xb503, x1, 2004, x2) - -inst_518: -// rs1_val==46340 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x0, x1, 2008, x2) - -inst_519: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666665, x1, 2012, x2) - -inst_520: -// rs1_val==46340 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333332, x1, 2016, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555554, x1, 2020, x2) - -inst_522: -// rs1_val==46340 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x2, x1, 2024, x2) - -inst_523: -// rs1_val==46340 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2028, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb504, x1, 2032, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666666, x1, 2036, x2) - -inst_526: -// rs1_val==46340 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333333, x1, 2040, x2) - -inst_527: -// rs1_val==46340 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x5, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_3) - -inst_528: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555556, x1, 0, x2) - -inst_529: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555555, x1, 4, x2) - -inst_530: -// rs1_val==46340 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x3, x1, 8, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb505, x1, 12, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb503, x1, 16, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666667, x1, 20, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb504, 0x33333334, x1, 24, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x6, x1, 28, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555555, x1, 32, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555556, x1, 36, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x4, x1, 40, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0xb503, x1, 44, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x0, x1, 48, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666665, x1, 52, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333332, x1, 56, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555554, x1, 60, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x2, x1, 64, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb504, x1, 68, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 72, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666666, x1, 76, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333333, x1, 80, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x5, x1, 84, x2) - -inst_550: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555556, x1, 88, x2) - -inst_551: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555555, x1, 92, x2) - -inst_552: -// rs1_val==-46340 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x3, x1, 96, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb505, x1, 100, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb503, x1, 104, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666667, x1, 108, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666666, 0x33333334, x1, 112, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666666, 0x6, x1, 116, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555555, x1, 120, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666666, 0x55555556, x1, 124, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x4, x1, 128, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2402, 0x66666666, 0xb503, x1, 132, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666666, 0x0, x1, 136, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666666, 0x66666665, x1, 140, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333332, x1, 144, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555554, x1, 148, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x2, x1, 152, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb504, x1, 156, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb504, x1, 160, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 164, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333333, x1, 168, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x5, x1, 172, x2) - -inst_572: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555556, x1, 176, x2) - -inst_573: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555555, x1, 180, x2) - -inst_574: -// rs1_val==1717986918 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x3, x1, 184, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3101, 0x33333333, 0xb505, x1, 188, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330231, 0x33333333, -0xb503, x1, 192, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, 0x66666667, x1, 196, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333333, 0x33333334, x1, 200, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x6, x1, 204, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, -0x55555555, x1, 208, x2) - -inst_581: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333333, 0x55555556, x1, 212, x2) - -inst_582: -// rs1_val==858993459 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x4, x1, 216, x2) - -inst_583: -// rs1_val==858993459 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3103, 0x33333333, 0xb503, x1, 220, x2) - -inst_584: -// rs2_val == -536870913, rs1_val == 262144 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x20000001 -TEST_RR_OP(and, x12, x10, x11, 0x40000, 0x40000, -0x20000001, x1, 224, x2) - -inst_585: -// rs2_val == -268435457, rs1_val == 1048576 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x10000001 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x10000001, x1, 228, x2) - -inst_586: -// rs2_val == -524289, rs1_val == -3 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x80001 -TEST_RR_OP(and, x12, x10, x11, 0xfff7fffd, -0x3, -0x80001, x1, 232, x2) - -inst_587: -// rs2_val == -131073, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:-0x20001 -TEST_RR_OP(and, x12, x10, x11, 0xfffdfff6, -0xa, -0x20001, x1, 236, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_3: - .fill 60*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S deleted file mode 100644 index 212178e6a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S +++ /dev/null @@ -1,2854 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the andi instruction of the RISC-V E extension for the andi covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",andi) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x14, rd==x11, imm_val == (-2**(12-1)), rs1_val == 8, rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048 -// opcode: andi ; op1:x14; dest:x11; op1val:0x8; immval:-0x800 -TEST_IMM_OP( andi, x11, x14, 0x0, 0x8, -0x800, x1, 0, x8) - -inst_1: -// rs1 == rd, rs1==x2, rd==x2, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: andi ; op1:x2; dest:x2; op1val:0x7fffffff; immval:0x2c -TEST_IMM_OP( andi, x2, x2, 0x2c, 0x7fffffff, 0x2c, x1, 4, x8) - -inst_2: -// rs1==x10, rd==x5, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 4 -// opcode: andi ; op1:x10; dest:x5; op1val:-0x40000001; immval:0x4 -TEST_IMM_OP( andi, x5, x10, 0x4, -0x40000001, 0x4, x1, 8, x8) - -inst_3: -// rs1==x9, rd==x14, rs1_val == -536870913, -// opcode: andi ; op1:x9; dest:x14; op1val:-0x20000001; immval:0x7 -TEST_IMM_OP( andi, x14, x9, 0x7, -0x20000001, 0x7, x1, 12, x8) - -inst_4: -// rs1==x12, rd==x3, rs1_val == -268435457, imm_val == 64 -// opcode: andi ; op1:x12; dest:x3; op1val:-0x10000001; immval:0x40 -TEST_IMM_OP( andi, x3, x12, 0x40, -0x10000001, 0x40, x1, 16, x8) - -inst_5: -// rs1==x4, rd==x7, rs1_val == -134217729, imm_val == -5, rs1_val < 0 and imm_val < 0 -// opcode: andi ; op1:x4; dest:x7; op1val:-0x8000001; immval:-0x5 -TEST_IMM_OP( andi, x7, x4, 0xf7fffffb, -0x8000001, -0x5, x1, 20, x8) - -inst_6: -// rs1==x6, rd==x9, rs1_val == -67108865, -// opcode: andi ; op1:x6; dest:x9; op1val:-0x4000001; immval:0x332 -TEST_IMM_OP( andi, x9, x6, 0x332, -0x4000001, 0x332, x1, 24, x8) - -inst_7: -// rs1==x7, rd==x15, rs1_val == -33554433, -// opcode: andi ; op1:x7; dest:x15; op1val:-0x2000001; immval:0x6 -TEST_IMM_OP( andi, x15, x7, 0x6, -0x2000001, 0x6, x1, 28, x9) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x15, rd==x0, rs1_val == -16777217, imm_val == 2 -// opcode: andi ; op1:x15; dest:x0; op1val:-0x1000001; immval:0x2 -TEST_IMM_OP( andi, x0, x15, 0, -0x1000001, 0x2, x2, 0, x9) - -inst_9: -// rs1==x3, rd==x6, rs1_val == -8388609, -// opcode: andi ; op1:x3; dest:x6; op1val:-0x800001; immval:0x2 -TEST_IMM_OP( andi, x6, x3, 0x2, -0x800001, 0x2, x2, 4, x9) - -inst_10: -// rs1==x8, rd==x10, rs1_val == -4194305, imm_val == 16 -// opcode: andi ; op1:x8; dest:x10; op1val:-0x400001; immval:0x10 -TEST_IMM_OP( andi, x10, x8, 0x10, -0x400001, 0x10, x2, 8, x9) - -inst_11: -// rs1==x5, rd==x4, rs1_val == -2097153, imm_val == -65 -// opcode: andi ; op1:x5; dest:x4; op1val:-0x200001; immval:-0x41 -TEST_IMM_OP( andi, x4, x5, 0xffdfffbf, -0x200001, -0x41, x2, 12, x9) - -inst_12: -// rs1==x13, rd==x1, rs1_val == -1048577, -// opcode: andi ; op1:x13; dest:x1; op1val:-0x100001; immval:0x6 -TEST_IMM_OP( andi, x1, x13, 0x6, -0x100001, 0x6, x2, 16, x9) - -inst_13: -// rs1==x1, rd==x8, rs1_val == -524289, -// opcode: andi ; op1:x1; dest:x8; op1val:-0x80001; immval:-0x4 -TEST_IMM_OP( andi, x8, x1, 0xfff7fffc, -0x80001, -0x4, x2, 20, x9) - -inst_14: -// rs1==x11, rd==x12, rs1_val == -262145, imm_val == (2**(12-1)-1), imm_val == 2047 -// opcode: andi ; op1:x11; dest:x12; op1val:-0x40001; immval:0x7ff -TEST_IMM_OP( andi, x12, x11, 0x7ff, -0x40001, 0x7ff, x2, 24, x9) - -inst_15: -// rs1==x0, rd==x13, rs1_val == -131073, imm_val == 1365 -// opcode: andi ; op1:x0; dest:x13; op1val:0x0; immval:0x555 -TEST_IMM_OP( andi, x13, x0, 0x0, 0x0, 0x555, x2, 28, x1) - -inst_16: -// rs1_val == -65537, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, -0x10001, 0x334, x2, 32, x1) - -inst_17: -// rs1_val == -32769, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x8001, 0x3, x2, 36, x1) - -inst_18: -// rs1_val == -16385, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x4001; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x4001, 0x2, x2, 40, x1) - -inst_19: -// rs1_val == -8193, imm_val == 512 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x2001; immval:0x200 -TEST_IMM_OP( andi, x11, x10, 0x200, -0x2001, 0x200, x2, 44, x1) - -inst_20: -// rs1_val == -4097, imm_val == -1366 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffffeaaa, -0x1001, -0x556, x2, 48, x1) - -inst_21: -// rs1_val == -2049, imm_val == 8 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x801; immval:0x8 -TEST_IMM_OP( andi, x11, x10, 0x8, -0x801, 0x8, x2, 52, x1) - -inst_22: -// rs1_val == -1025, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x401; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, -0x401, 0x332, x2, 56, x1) - -inst_23: -// rs1_val == -513, imm_val == 128 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x201; immval:0x80 -TEST_IMM_OP( andi, x11, x10, 0x80, -0x201, 0x80, x2, 60, x1) - -inst_24: -// rs1_val == -257, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x101, 0x3, x2, 64, x1) - -inst_25: -// rs1_val == -129, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2d, -0x81, 0x2d, x2, 68, x1) - -inst_26: -// rs1_val == -65, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffffff93, -0x41, -0x2d, x2, 72, x1) - -inst_27: -// rs1_val == -33, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x21; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffffffd3, -0x21, -0x2d, x2, 76, x1) - -inst_28: -// rs1_val == -17, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x11; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xfffffaaa, -0x11, -0x556, x2, 80, x1) - -inst_29: -// rs1_val == -9, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x9; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, -0x9, 0x334, x2, 84, x1) - -inst_30: -// rs1_val == -5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x5; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x5, 0x5, x2, 88, x1) - -inst_31: -// rs1_val == -3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x3; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0x3, 0x4, x2, 92, x1) - -inst_32: -// rs1_val == -2, imm_val == -1025 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x2; immval:-0x401 -TEST_IMM_OP( andi, x11, x10, 0xfffffbfe, -0x2, -0x401, x2, 96, x1) - -inst_33: -// imm_val == -513, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x201 -TEST_IMM_OP( andi, x11, x10, 0x66666465, 0x66666665, -0x201, x2, 100, x1) - -inst_34: -// imm_val == -257, rs1_val == 33554432 -// opcode: andi ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x101 -TEST_IMM_OP( andi, x11, x10, 0x2000000, 0x2000000, -0x101, x2, 104, x1) - -inst_35: -// imm_val == -129, rs1_val == 65536 -// opcode: andi ; op1:x10; dest:x11; op1val:0x10000; immval:-0x81 -TEST_IMM_OP( andi, x11, x10, 0x10000, 0x10000, -0x81, x2, 108, x1) - -inst_36: -// imm_val == -33, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8; immval:-0x21 -TEST_IMM_OP( andi, x11, x10, 0x8, 0x8, -0x21, x2, 112, x1) - -inst_37: -// imm_val == -17, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x11 -TEST_IMM_OP( andi, x11, x10, 0x55555546, 0x55555556, -0x11, x2, 116, x1) - -inst_38: -// imm_val == -9, rs1_val == 524288 -// opcode: andi ; op1:x10; dest:x11; op1val:0x80000; immval:-0x9 -TEST_IMM_OP( andi, x11, x10, 0x80000, 0x80000, -0x9, x2, 120, x1) - -inst_39: -// imm_val == -3, rs1_val == 1 -// opcode: andi ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x1, -0x3, x2, 124, x1) - -inst_40: -// imm_val == -2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2, x2, 128, x1) - -inst_41: -// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) -// opcode: andi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x80000000, 0x0, x2, 132, x1) - -inst_42: -// rs1_val == 1073741824, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x40000000, 0x7ff, x2, 136, x1) - -inst_43: -// rs1_val == 536870912, -// opcode: andi ; op1:x10; dest:x11; op1val:0x20000000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x20000000, 0x7ff, x2, 140, x1) - -inst_44: -// rs1_val == 268435456, -// opcode: andi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x10000000, 0x7, x2, 144, x1) - -inst_45: -// rs1_val == 134217728, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8000000; immval:0x200 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x8000000, 0x200, x2, 148, x1) - -inst_46: -// rs1_val == 67108864, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0x201 -TEST_IMM_OP( andi, x11, x10, 0x4000000, 0x4000000, -0x201, x2, 152, x1) - -inst_47: -// rs1_val == 16777216, -// opcode: andi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000000, 0x2, x2, 156, x1) - -inst_48: -// rs1_val == 8388608, -// opcode: andi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x401 -TEST_IMM_OP( andi, x11, x10, 0x800000, 0x800000, -0x401, x2, 160, x1) - -inst_49: -// rs1_val == 4194304, -// opcode: andi ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x400000, 0x0, x2, 164, x1) - -inst_50: -// rs1_val == 2097152, -// opcode: andi ; op1:x10; dest:x11; op1val:0x200000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x200000, 0x0, x2, 168, x1) - -inst_51: -// rs1_val == 1048576, -// opcode: andi ; op1:x10; dest:x11; op1val:0x100000; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x100000, 0x4, x2, 172, x1) - -inst_52: -// rs1_val == 262144, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40000; immval:-0x7 -TEST_IMM_OP( andi, x11, x10, 0x40000, 0x40000, -0x7, x2, 176, x1) - -inst_53: -// rs1_val == 131072, -// opcode: andi ; op1:x10; dest:x11; op1val:0x20000; immval:-0x5 -TEST_IMM_OP( andi, x11, x10, 0x20000, 0x20000, -0x5, x2, 180, x1) - -inst_54: -// rs1_val == 32768, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x81 -TEST_IMM_OP( andi, x11, x10, 0x8000, 0x8000, -0x81, x2, 184, x1) - -inst_55: -// rs1_val == 16384, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4000; immval:-0x7 -TEST_IMM_OP( andi, x11, x10, 0x4000, 0x4000, -0x7, x2, 188, x1) - -inst_56: -// rs1_val == 8192, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2000, 0x7ff, x2, 192, x1) - -inst_57: -// rs1_val == 4096, imm_val == 32 -// opcode: andi ; op1:x10; dest:x11; op1val:0x1000; immval:0x20 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000, 0x20, x2, 196, x1) - -inst_58: -// rs1_val == 2048, -// opcode: andi ; op1:x10; dest:x11; op1val:0x800; immval:-0x41 -TEST_IMM_OP( andi, x11, x10, 0x800, 0x800, -0x41, x2, 200, x1) - -inst_59: -// rs1_val == 1024, -// opcode: andi ; op1:x10; dest:x11; op1val:0x400; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x400, 0x400, 0x666, x2, 204, x1) - -inst_60: -// rs1_val == 512, -// opcode: andi ; op1:x10; dest:x11; op1val:0x200; immval:-0x3 -TEST_IMM_OP( andi, x11, x10, 0x200, 0x200, -0x3, x2, 208, x1) - -inst_61: -// rs1_val == 256, -// opcode: andi ; op1:x10; dest:x11; op1val:0x100; immval:-0x6 -TEST_IMM_OP( andi, x11, x10, 0x100, 0x100, -0x6, x2, 212, x1) - -inst_62: -// rs1_val == 128, -// opcode: andi ; op1:x10; dest:x11; op1val:0x80; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x80, 0x554, x2, 216, x1) - -inst_63: -// rs1_val == 64, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x40, 0x40, 0x556, x2, 220, x1) - -inst_64: -// rs1_val == 32, imm_val == 1024 -// opcode: andi ; op1:x10; dest:x11; op1val:0x20; immval:0x400 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x20, 0x400, x2, 224, x1) - -inst_65: -// rs1_val == 16, imm_val == 256 -// opcode: andi ; op1:x10; dest:x11; op1val:0x10; immval:0x100 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x10, 0x100, x2, 228, x1) - -inst_66: -// rs1_val == 4, rs1_val==4 and imm_val==1638 -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x666, x2, 232, x1) - -inst_67: -// rs1_val == 2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x10 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x10, x2, 236, x1) - -inst_68: -// imm_val == 1, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x1, x2, 240, x1) - -inst_69: -// rs1_val==46341 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2e, x2, 244, x1) - -inst_70: -// rs1_val==46341 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb505, -0x2c, x2, 248, x1) - -inst_71: -// rs1_val==46341 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x667, x2, 252, x1) - -inst_72: -// rs1_val==46341 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x104, 0xb505, 0x334, x2, 256, x1) - -inst_73: -// rs1_val==46341 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x6, x2, 260, x1) - -inst_74: -// rs1_val==46341 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb001, 0xb505, -0x555, x2, 264, x1) - -inst_75: -// rs1_val==46341 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x556, x2, 268, x1) - -inst_76: -// rs1_val==46341 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x4, x2, 272, x1) - -inst_77: -// rs1_val==46341 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2c, x2, 276, x1) - -inst_78: -// rs1_val==46341 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x0, x2, 280, x1) - -inst_79: -// rs1_val==46341 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x665, x2, 284, x1) - -inst_80: -// rs1_val==46341 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb505, 0x332, x2, 288, x1) - -inst_81: -// rs1_val==46341 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x554, x2, 292, x1) - -inst_82: -// rs1_val==46341 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x2, x2, 296, x1) - -inst_83: -// rs1_val==46341 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x2d, x2, 300, x1) - -inst_84: -// rs1_val==46341 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb501, 0xb505, -0x2d, x2, 304, x1) - -inst_85: -// rs1_val==46341 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb505, 0x666, x2, 308, x1) - -inst_86: -// rs1_val==46341 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x101, 0xb505, 0x333, x2, 312, x1) - -inst_87: -// rs1_val==46341 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x5, x2, 316, x1) - -inst_88: -// rs1_val==46341 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb505, -0x556, x2, 320, x1) - -inst_89: -// rs1_val==46341 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x505, 0xb505, 0x555, x2, 324, x1) - -inst_90: -// rs1_val==46341 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb505, 0x3, x2, 328, x1) - -inst_91: -// rs1_val==-46339 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2e, x2, 332, x1) - -inst_92: -// rs1_val==-46339 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb503, -0x2c, x2, 336, x1) - -inst_93: -// rs1_val==-46339 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x667, x2, 340, x1) - -inst_94: -// rs1_val==-46339 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x234, -0xb503, 0x334, x2, 344, x1) - -inst_95: -// rs1_val==-46339 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x6, x2, 348, x1) - -inst_96: -// rs1_val==-46339 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa9, -0xb503, -0x555, x2, 352, x1) - -inst_97: -// rs1_val==-46339 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x556, x2, 356, x1) - -inst_98: -// rs1_val==-46339 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x4, x2, 360, x1) - -inst_99: -// rs1_val==-46339 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2c, x2, 364, x1) - -inst_100: -// rs1_val==-46339 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x0, x2, 368, x1) - -inst_101: -// rs1_val==-46339 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x665, x2, 372, x1) - -inst_102: -// rs1_val==-46339 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb503, 0x332, x2, 376, x1) - -inst_103: -// rs1_val==-46339 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x554, x2, 380, x1) - -inst_104: -// rs1_val==-46339 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x2, x2, 384, x1) - -inst_105: -// rs1_val==-46339 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2d, -0xb503, 0x2d, x2, 388, x1) - -inst_106: -// rs1_val==-46339 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffff4ad1, -0xb503, -0x2d, x2, 392, x1) - -inst_107: -// rs1_val==-46339 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb503, 0x666, x2, 396, x1) - -inst_108: -// rs1_val==-46339 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x231, -0xb503, 0x333, x2, 400, x1) - -inst_109: -// rs1_val==-46339 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, -0xb503, 0x5, x2, 404, x1) - -inst_110: -// rs1_val==-46339 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb503, -0x556, x2, 408, x1) - -inst_111: -// rs1_val==-46339 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x55, -0xb503, 0x555, x2, 412, x1) - -inst_112: -// rs1_val==-46339 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, -0xb503, 0x3, x2, 416, x1) - -inst_113: -// rs1_val==1717986919 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666667, 0x2e, x2, 420, x1) - -inst_114: -// rs1_val==1717986919 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666667, -0x2c, x2, 424, x1) - -inst_115: -// rs1_val==1717986919 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x667, 0x66666667, 0x667, x2, 428, x1) - -inst_116: -// rs1_val==1717986919 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666667, 0x334, x2, 432, x1) - -inst_117: -// rs1_val==1717986919 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666667, 0x6, x2, 436, x1) - -inst_118: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666223, 0x66666667, -0x555, x2, 440, x1) - -inst_119: -// rs1_val==1717986919 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666667, 0x556, x2, 444, x1) - -inst_120: -// rs1_val==1717986919 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666667, 0x4, x2, 448, x1) - -inst_121: -// rs1_val==1717986919 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666667, 0x2c, x2, 452, x1) - -inst_122: -// rs1_val==1717986919 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666667, 0x0, x2, 456, x1) - -inst_123: -// rs1_val==1717986919 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666667, 0x665, x2, 460, x1) - -inst_124: -// rs1_val==1717986919 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666667, 0x332, x2, 464, x1) - -inst_125: -// rs1_val==1717986919 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666667, 0x554, x2, 468, x1) - -inst_126: -// rs1_val==1717986919 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666667, 0x2, x2, 472, x1) - -inst_127: -// rs1_val==1717986919 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666667, 0x2d, x2, 476, x1) - -inst_128: -// rs1_val==1717986919 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666643, 0x66666667, -0x2d, x2, 480, x1) - -inst_129: -// rs1_val==1717986919 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666667, 0x666, x2, 484, x1) - -inst_130: -// rs1_val==1717986919 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x223, 0x66666667, 0x333, x2, 488, x1) - -inst_131: -// rs1_val==1717986919 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666667, 0x5, x2, 492, x1) - -inst_132: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666667, -0x556, x2, 496, x1) - -inst_133: -// rs1_val==1717986919 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666667, 0x555, x2, 500, x1) - -inst_134: -// rs1_val==1717986919 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x66666667, 0x3, x2, 504, x1) - -inst_135: -// rs1_val==858993460 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2e, x2, 508, x1) - -inst_136: -// rs1_val==858993460 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333314, 0x33333334, -0x2c, x2, 512, x1) - -inst_137: -// rs1_val==858993460 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x667, x2, 516, x1) - -inst_138: -// rs1_val==858993460 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, 0x33333334, 0x334, x2, 520, x1) - -inst_139: -// rs1_val==858993460 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x6, x2, 524, x1) - -inst_140: -// rs1_val==858993460 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x555, x2, 528, x1) - -inst_141: -// rs1_val==858993460 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x556, x2, 532, x1) - -inst_142: -// rs1_val==858993460 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x4, x2, 536, x1) - -inst_143: -// rs1_val==858993460 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2c, x2, 540, x1) - -inst_144: -// rs1_val==858993460 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x0, x2, 544, x1) - -inst_145: -// rs1_val==858993460 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x665, x2, 548, x1) - -inst_146: -// rs1_val==858993460 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x332, x2, 552, x1) - -inst_147: -// rs1_val==858993460 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x554, x2, 556, x1) - -inst_148: -// rs1_val==858993460 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x2, x2, 560, x1) - -inst_149: -// rs1_val==858993460 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2d, x2, 564, x1) - -inst_150: -// rs1_val==858993460 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333334, -0x2d, x2, 568, x1) - -inst_151: -// rs1_val==858993460 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x666, x2, 572, x1) - -inst_152: -// rs1_val==858993460 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x333, x2, 576, x1) - -inst_153: -// rs1_val==858993460 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x5, x2, 580, x1) - -inst_154: -// rs1_val==858993460 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x556, x2, 584, x1) - -inst_155: -// rs1_val==858993460 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x555, x2, 588, x1) - -inst_156: -// rs1_val==858993460 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x3, x2, 592, x1) - -inst_157: -// rs1_val==6 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x2e, x2, 596, x1) - -inst_158: -// rs1_val==6 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, -0x2c, x2, 600, x1) - -inst_159: -// rs1_val==6 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x667, x2, 604, x1) - -inst_160: -// rs1_val==6 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x334, x2, 608, x1) - -inst_161: -// rs1_val==6 and imm_val==6, rs1_val == imm_val -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x6, x2, 612, x1) - -inst_162: -// rs1_val==6 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x555, x2, 616, x1) - -inst_163: -// rs1_val==6 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x556, x2, 620, x1) - -inst_164: -// rs1_val==6 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x4, x2, 624, x1) - -inst_165: -// rs1_val==6 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2c, x2, 628, x1) - -inst_166: -// rs1_val==6 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x6, 0x0, x2, 632, x1) - -inst_167: -// rs1_val==6 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x665, x2, 636, x1) - -inst_168: -// rs1_val==6 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x332, x2, 640, x1) - -inst_169: -// rs1_val==6 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x554, x2, 644, x1) - -inst_170: -// rs1_val==6 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x2, x2, 648, x1) - -inst_171: -// rs1_val==6 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2d, x2, 652, x1) - -inst_172: -// rs1_val==6 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x2d, x2, 656, x1) - -inst_173: -// rs1_val==6 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x666, x2, 660, x1) - -inst_174: -// rs1_val==6 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x333, x2, 664, x1) - -inst_175: -// rs1_val==6 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x5, x2, 668, x1) - -inst_176: -// rs1_val==6 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x556, x2, 672, x1) - -inst_177: -// rs1_val==6 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x555, x2, 676, x1) - -inst_178: -// rs1_val==6 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x3, x2, 680, x1) - -inst_179: -// rs1_val==-1431655765 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555555, 0x2e, x2, 684, x1) - -inst_180: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555555, -0x2c, x2, 688, x1) - -inst_181: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x667, x2, 692, x1) - -inst_182: -// rs1_val==-1431655765 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555555, 0x334, x2, 696, x1) - -inst_183: -// rs1_val==-1431655765 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x6, x2, 700, x1) - -inst_184: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaab, -0x55555555, -0x555, x2, 704, x1) - -inst_185: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x556, x2, 708, x1) - -inst_186: -// rs1_val==-1431655765 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x4, x2, 712, x1) - -inst_187: -// rs1_val==-1431655765 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555555, 0x2c, x2, 716, x1) - -inst_188: -// rs1_val==-1431655765 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x0, x2, 720, x1) - -inst_189: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x221, -0x55555555, 0x665, x2, 724, x1) - -inst_190: -// rs1_val==-1431655765 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x332, x2, 728, x1) - -inst_191: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x554, x2, 732, x1) - -inst_192: -// rs1_val==-1431655765 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x2, x2, 736, x1) - -inst_193: -// rs1_val==-1431655765 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x29, -0x55555555, 0x2d, x2, 740, x1) - -inst_194: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa83, -0x55555555, -0x2d, x2, 744, x1) - -inst_195: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x666, x2, 748, x1) - -inst_196: -// rs1_val==-1431655765 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x333, x2, 752, x1) - -inst_197: -// rs1_val==-1431655765 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x5, x2, 756, x1) - -inst_198: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555555, -0x556, x2, 760, x1) - -inst_199: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x555, x2, 764, x1) - -inst_200: -// rs1_val==-1431655765 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x55555555, 0x3, x2, 768, x1) - -inst_201: -// rs1_val==1431655766 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x2e, x2, 772, x1) - -inst_202: -// rs1_val==1431655766 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555556, -0x2c, x2, 776, x1) - -inst_203: -// rs1_val==1431655766 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x667, x2, 780, x1) - -inst_204: -// rs1_val==1431655766 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555556, 0x334, x2, 784, x1) - -inst_205: -// rs1_val==1431655766 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x6, x2, 788, x1) - -inst_206: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x555, x2, 792, x1) - -inst_207: -// rs1_val==1431655766 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x556, 0x55555556, 0x556, x2, 796, x1) - -inst_208: -// rs1_val==1431655766 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x4, x2, 800, x1) - -inst_209: -// rs1_val==1431655766 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2c, x2, 804, x1) - -inst_210: -// rs1_val==1431655766 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555556, 0x0, x2, 808, x1) - -inst_211: -// rs1_val==1431655766 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555556, 0x665, x2, 812, x1) - -inst_212: -// rs1_val==1431655766 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x332, x2, 816, x1) - -inst_213: -// rs1_val==1431655766 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x554, x2, 820, x1) - -inst_214: -// rs1_val==1431655766 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x2, x2, 824, x1) - -inst_215: -// rs1_val==1431655766 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2d, x2, 828, x1) - -inst_216: -// rs1_val==1431655766 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555552, 0x55555556, -0x2d, x2, 832, x1) - -inst_217: -// rs1_val==1431655766 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x666, x2, 836, x1) - -inst_218: -// rs1_val==1431655766 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x333, x2, 840, x1) - -inst_219: -// rs1_val==1431655766 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x5, x2, 844, x1) - -inst_220: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x556, x2, 848, x1) - -inst_221: -// rs1_val==1431655766 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x555, x2, 852, x1) - -inst_222: -// rs1_val==1431655766 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x3, x2, 856, x1) - -inst_223: -// rs1_val==4 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2e, x2, 860, x1) - -inst_224: -// rs1_val==4 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, -0x2c, x2, 864, x1) - -inst_225: -// rs1_val==4 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x667, x2, 868, x1) - -inst_226: -// rs1_val==4 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x334, x2, 872, x1) - -inst_227: -// rs1_val==4 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x6, x2, 876, x1) - -inst_228: -// rs1_val==4 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x555, x2, 880, x1) - -inst_229: -// rs1_val==4 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x556, x2, 884, x1) - -inst_230: -// rs1_val==4 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x4, x2, 888, x1) - -inst_231: -// rs1_val==4 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2c, x2, 892, x1) - -inst_232: -// rs1_val==4 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x0, x2, 896, x1) - -inst_233: -// rs1_val==4 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x665, x2, 900, x1) - -inst_234: -// rs1_val==4 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x332, x2, 904, x1) - -inst_235: -// rs1_val==4 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x554, x2, 908, x1) - -inst_236: -// rs1_val==4 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x2, x2, 912, x1) - -inst_237: -// rs1_val==4 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2d, x2, 916, x1) - -inst_238: -// rs1_val==4 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x2d, x2, 920, x1) - -inst_239: -// rs1_val==4 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x333, x2, 924, x1) - -inst_240: -// rs1_val==4 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x5, x2, 928, x1) - -inst_241: -// rs1_val==4 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x556, x2, 932, x1) - -inst_242: -// rs1_val==4 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x555, x2, 936, x1) - -inst_243: -// rs1_val==4 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x3, x2, 940, x1) - -inst_244: -// rs1_val==46339 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2e, x2, 944, x1) - -inst_245: -// rs1_val==46339 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb503, -0x2c, x2, 948, x1) - -inst_246: -// rs1_val==46339 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x403, 0xb503, 0x667, x2, 952, x1) - -inst_247: -// rs1_val==46339 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb503, 0x334, x2, 956, x1) - -inst_248: -// rs1_val==46339 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x6, x2, 960, x1) - -inst_249: -// rs1_val==46339 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb003, 0xb503, -0x555, x2, 964, x1) - -inst_250: -// rs1_val==46339 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x502, 0xb503, 0x556, x2, 968, x1) - -inst_251: -// rs1_val==46339 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x4, x2, 972, x1) - -inst_252: -// rs1_val==46339 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x2c, x2, 976, x1) - -inst_253: -// rs1_val==46339 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x0, x2, 980, x1) - -inst_254: -// rs1_val==46339 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x401, 0xb503, 0x665, x2, 984, x1) - -inst_255: -// rs1_val==46339 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x102, 0xb503, 0x332, x2, 988, x1) - -inst_256: -// rs1_val==46339 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x500, 0xb503, 0x554, x2, 992, x1) - -inst_257: -// rs1_val==46339 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2, x2, 996, x1) - -inst_258: -// rs1_val==46339 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x2d, x2, 1000, x1) - -inst_259: -// rs1_val==46339 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb503, 0xb503, -0x2d, x2, 1004, x1) - -inst_260: -// rs1_val==46339 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x402, 0xb503, 0x666, x2, 1008, x1) - -inst_261: -// rs1_val==46339 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x103, 0xb503, 0x333, x2, 1012, x1) - -inst_262: -// rs1_val==46339 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x5, x2, 1016, x1) - -inst_263: -// rs1_val==46339 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb002, 0xb503, -0x556, x2, 1020, x1) - -inst_264: -// rs1_val==46339 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x501, 0xb503, 0x555, x2, 1024, x1) - -inst_265: -// rs1_val==46339 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0xb503, 0x3, x2, 1028, x1) - -inst_266: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2e, x2, 1032, x1) - -inst_267: -// rs1_val==0 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2c, x2, 1036, x1) - -inst_268: -// rs1_val==0 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x667, x2, 1040, x1) - -inst_269: -// rs1_val==0 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x334, x2, 1044, x1) - -inst_270: -// rs1_val==0 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x6, x2, 1048, x1) - -inst_271: -// rs1_val==0 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x555, x2, 1052, x1) - -inst_272: -// rs1_val==0 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x556, x2, 1056, x1) - -inst_273: -// rs1_val==0 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x4, x2, 1060, x1) - -inst_274: -// rs1_val==0 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2c, x2, 1064, x1) - -inst_275: -// rs1_val==0 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x0, x2, 1068, x1) - -inst_276: -// rs1_val==0 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x665, x2, 1072, x1) - -inst_277: -// rs1_val==0 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x332, x2, 1076, x1) - -inst_278: -// rs1_val==0 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x554, x2, 1080, x1) - -inst_279: -// rs1_val==0 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2, x2, 1084, x1) - -inst_280: -// rs1_val==0 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2d, x2, 1088, x1) - -inst_281: -// rs1_val==0 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2d, x2, 1092, x1) - -inst_282: -// rs1_val==0 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x666, x2, 1096, x1) - -inst_283: -// rs1_val==0 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x333, x2, 1100, x1) - -inst_284: -// rs1_val==0 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x5, x2, 1104, x1) - -inst_285: -// rs1_val==0 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x556, x2, 1108, x1) - -inst_286: -// rs1_val==0 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x555, x2, 1112, x1) - -inst_287: -// rs1_val==0 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x3, x2, 1116, x1) - -inst_288: -// rs1_val==1717986917 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2e, x2, 1120, x1) - -inst_289: -// rs1_val==1717986917 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666665, -0x2c, x2, 1124, x1) - -inst_290: -// rs1_val==1717986917 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x667, x2, 1128, x1) - -inst_291: -// rs1_val==1717986917 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666665, 0x334, x2, 1132, x1) - -inst_292: -// rs1_val==1717986917 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x6, x2, 1136, x1) - -inst_293: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666221, 0x66666665, -0x555, x2, 1140, x1) - -inst_294: -// rs1_val==1717986917 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x556, x2, 1144, x1) - -inst_295: -// rs1_val==1717986917 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x4, x2, 1148, x1) - -inst_296: -// rs1_val==1717986917 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2c, x2, 1152, x1) - -inst_297: -// rs1_val==1717986917 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x0, x2, 1156, x1) - -inst_298: -// rs1_val==1717986917 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x665, x2, 1160, x1) - -inst_299: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x555, x2, 1164, x1) - -inst_300: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x556, x2, 1168, x1) - -inst_301: -// rs1_val==-1431655766 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x4, x2, 1172, x1) - -inst_302: -// rs1_val==-1431655766 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2c, x2, 1176, x1) - -inst_303: -// rs1_val==-1431655766 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x0, x2, 1180, x1) - -inst_304: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x665, x2, 1184, x1) - -inst_305: -// rs1_val==-1431655766 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x332, x2, 1188, x1) - -inst_306: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x554, x2, 1192, x1) - -inst_307: -// rs1_val==-1431655766 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x2, x2, 1196, x1) - -inst_308: -// rs1_val==-1431655766 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2d, x2, 1200, x1) - -inst_309: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa82, -0x55555556, -0x2d, x2, 1204, x1) - -inst_310: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x666, x2, 1208, x1) - -inst_311: -// rs1_val==-1431655766 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x333, x2, 1212, x1) - -inst_312: -// rs1_val==-1431655766 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x5, x2, 1216, x1) - -inst_313: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x556, x2, 1220, x1) - -inst_314: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x555, x2, 1224, x1) - -inst_315: -// rs1_val==-1431655766 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x3, x2, 1228, x1) - -inst_316: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2e, x2, 1232, x1) - -inst_317: -// rs1_val==1431655765 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555555, -0x2c, x2, 1236, x1) - -inst_318: -// rs1_val==1431655765 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x667, x2, 1240, x1) - -inst_319: -// rs1_val==1431655765 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555555, 0x334, x2, 1244, x1) - -inst_320: -// rs1_val==1431655765 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x6, x2, 1248, x1) - -inst_321: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555001, 0x55555555, -0x555, x2, 1252, x1) - -inst_322: -// rs1_val==1431655765 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x556, x2, 1256, x1) - -inst_323: -// rs1_val==1431655765 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x4, x2, 1260, x1) - -inst_324: -// rs1_val==1431655765 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2c, x2, 1264, x1) - -inst_325: -// rs1_val==1431655765 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x0, x2, 1268, x1) - -inst_326: -// rs1_val==1431655765 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x665, x2, 1272, x1) - -inst_327: -// rs1_val==1431655765 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555555, 0x332, x2, 1276, x1) - -inst_328: -// rs1_val==1431655765 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x554, x2, 1280, x1) - -inst_329: -// rs1_val==1431655765 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x2, x2, 1284, x1) - -inst_330: -// rs1_val==1431655765 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x2d, x2, 1288, x1) - -inst_331: -// rs1_val==1431655765 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555551, 0x55555555, -0x2d, x2, 1292, x1) - -inst_332: -// rs1_val==1431655765 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555555, 0x666, x2, 1296, x1) - -inst_333: -// rs1_val==1431655765 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x111, 0x55555555, 0x333, x2, 1300, x1) - -inst_334: -// rs1_val==1431655765 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x5, x2, 1304, x1) - -inst_335: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555555, -0x556, x2, 1308, x1) - -inst_336: -// rs1_val==1431655765 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x555, 0x55555555, 0x555, x2, 1312, x1) - -inst_337: -// rs1_val==1431655765 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x55555555, 0x3, x2, 1316, x1) - -inst_338: -// rs1_val==3 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2e, x2, 1320, x1) - -inst_339: -// rs1_val==3 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, -0x2c, x2, 1324, x1) - -inst_340: -// rs1_val==3 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x667, x2, 1328, x1) - -inst_341: -// rs1_val==3 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x334, x2, 1332, x1) - -inst_342: -// rs1_val==3 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x6, x2, 1336, x1) - -inst_343: -// rs1_val==3 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x555, x2, 1340, x1) - -inst_344: -// rs1_val==3 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x556, x2, 1344, x1) - -inst_345: -// rs1_val==3 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x4, x2, 1348, x1) - -inst_346: -// rs1_val==3 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x2c, x2, 1352, x1) - -inst_347: -// rs1_val==3 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x0, x2, 1356, x1) - -inst_348: -// rs1_val==3 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x665, x2, 1360, x1) - -inst_349: -// rs1_val==3 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x332, x2, 1364, x1) - -inst_350: -// rs1_val==3 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x554, x2, 1368, x1) - -inst_351: -// rs1_val==3 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2, x2, 1372, x1) - -inst_352: -// rs1_val==3 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x2d, x2, 1376, x1) - -inst_353: -// rs1_val==3 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x2d, x2, 1380, x1) - -inst_354: -// rs1_val==3 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x666, x2, 1384, x1) - -inst_355: -// rs1_val==3 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x333, x2, 1388, x1) - -inst_356: -// rs1_val==3 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x5, x2, 1392, x1) - -inst_357: -// rs1_val==3 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, -0x556, x2, 1396, x1) - -inst_358: -// rs1_val==3 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x555, x2, 1400, x1) - -inst_359: -// rs1_val==3 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x3, x2, 1404, x1) - -inst_360: -// rs1_val==1717986917 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x220, 0x66666665, 0x332, x2, 1408, x1) - -inst_361: -// rs1_val==1717986917 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x554, x2, 1412, x1) - -inst_362: -// rs1_val==1717986917 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x2, x2, 1416, x1) - -inst_363: -// rs1_val==1717986917 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666665, 0x2d, x2, 1420, x1) - -inst_364: -// rs1_val==1717986917 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666641, 0x66666665, -0x2d, x2, 1424, x1) - -inst_365: -// rs1_val==1717986917 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666665, 0x666, x2, 1428, x1) - -inst_366: -// rs1_val==1717986917 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x221, 0x66666665, 0x333, x2, 1432, x1) - -inst_367: -// rs1_val==1717986917 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666665, 0x5, x2, 1436, x1) - -inst_368: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666220, 0x66666665, -0x556, x2, 1440, x1) - -inst_369: -// rs1_val==1717986917 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666665, 0x555, x2, 1444, x1) - -inst_370: -// rs1_val==1717986917 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x3, x2, 1448, x1) - -inst_371: -// rs1_val==858993458 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333332, 0x2e, x2, 1452, x1) - -inst_372: -// rs1_val==858993458 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333332, -0x2c, x2, 1456, x1) - -inst_373: -// rs1_val==858993458 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x667, x2, 1460, x1) - -inst_374: -// rs1_val==858993458 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333332, 0x334, x2, 1464, x1) - -inst_375: -// rs1_val==858993458 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x6, x2, 1468, x1) - -inst_376: -// rs1_val==858993458 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x555, x2, 1472, x1) - -inst_377: -// rs1_val==858993458 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333332, 0x556, x2, 1476, x1) - -inst_378: -// rs1_val==858993458 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x4, x2, 1480, x1) - -inst_379: -// rs1_val==858993458 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2c, x2, 1484, x1) - -inst_380: -// rs1_val==858993458 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x0, x2, 1488, x1) - -inst_381: -// rs1_val==858993458 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x220, 0x33333332, 0x665, x2, 1492, x1) - -inst_382: -// rs1_val==858993458 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x332, x2, 1496, x1) - -inst_383: -// rs1_val==858993458 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x554, x2, 1500, x1) - -inst_384: -// rs1_val==858993458 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x2, x2, 1504, x1) - -inst_385: -// rs1_val==858993458 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2d, x2, 1508, x1) - -inst_386: -// rs1_val==858993458 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333312, 0x33333332, -0x2d, x2, 1512, x1) - -inst_387: -// rs1_val==858993458 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x666, x2, 1516, x1) - -inst_388: -// rs1_val==858993458 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x333, x2, 1520, x1) - -inst_389: -// rs1_val==858993458 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x5, x2, 1524, x1) - -inst_390: -// rs1_val==858993458 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x556, x2, 1528, x1) - -inst_391: -// rs1_val==858993458 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x555, x2, 1532, x1) - -inst_392: -// rs1_val==858993458 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x3, x2, 1536, x1) - -inst_393: -// rs1_val==1431655764 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2e, x2, 1540, x1) - -inst_394: -// rs1_val==1431655764 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555554, -0x2c, x2, 1544, x1) - -inst_395: -// rs1_val==1431655764 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x667, x2, 1548, x1) - -inst_396: -// rs1_val==1431655764 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555554, 0x334, x2, 1552, x1) - -inst_397: -// rs1_val==1431655764 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x6, x2, 1556, x1) - -inst_398: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x555, x2, 1560, x1) - -inst_399: -// rs1_val==1431655764 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x556, x2, 1564, x1) - -inst_400: -// rs1_val==1431655764 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x4, x2, 1568, x1) - -inst_401: -// rs1_val==1431655764 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2c, x2, 1572, x1) - -inst_402: -// rs1_val==1431655764 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x0, x2, 1576, x1) - -inst_403: -// rs1_val==1431655764 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x665, x2, 1580, x1) - -inst_404: -// rs1_val==1431655764 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x332, x2, 1584, x1) - -inst_405: -// rs1_val==1431655764 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x554, x2, 1588, x1) - -inst_406: -// rs1_val==1431655764 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x2, x2, 1592, x1) - -inst_407: -// rs1_val==1431655764 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2d, x2, 1596, x1) - -inst_408: -// rs1_val==1431655764 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555550, 0x55555554, -0x2d, x2, 1600, x1) - -inst_409: -// rs1_val==1431655764 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x666, x2, 1604, x1) - -inst_410: -// rs1_val==1431655764 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x333, x2, 1608, x1) - -inst_411: -// rs1_val==1431655764 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x5, x2, 1612, x1) - -inst_412: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x556, x2, 1616, x1) - -inst_413: -// rs1_val==1431655764 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x555, x2, 1620, x1) - -inst_414: -// rs1_val==1431655764 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x3, x2, 1624, x1) - -inst_415: -// rs1_val==2 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2e, x2, 1628, x1) - -inst_416: -// rs1_val==2 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, -0x2c, x2, 1632, x1) - -inst_417: -// rs1_val==2 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x667, x2, 1636, x1) - -inst_418: -// rs1_val==2 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x334, x2, 1640, x1) - -inst_419: -// rs1_val==2 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x6, x2, 1644, x1) - -inst_420: -// rs1_val==2 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x555, x2, 1648, x1) - -inst_421: -// rs1_val==2 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x556, x2, 1652, x1) - -inst_422: -// rs1_val==2 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x4, x2, 1656, x1) - -inst_423: -// rs1_val==2 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2c, x2, 1660, x1) - -inst_424: -// rs1_val==2 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x0, x2, 1664, x1) - -inst_425: -// rs1_val==2 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x665, x2, 1668, x1) - -inst_426: -// rs1_val==2 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x332, x2, 1672, x1) - -inst_427: -// rs1_val==2 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x554, x2, 1676, x1) - -inst_428: -// rs1_val==2 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2, x2, 1680, x1) - -inst_429: -// rs1_val==2 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2d, x2, 1684, x1) - -inst_430: -// rs1_val==2 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x2d, x2, 1688, x1) - -inst_431: -// rs1_val==2 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x666, x2, 1692, x1) - -inst_432: -// rs1_val==2 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x333, x2, 1696, x1) - -inst_433: -// rs1_val==2 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x5, x2, 1700, x1) - -inst_434: -// rs1_val==2 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x556, x2, 1704, x1) - -inst_435: -// rs1_val==2 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x555, x2, 1708, x1) - -inst_436: -// rs1_val==2 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x3, x2, 1712, x1) - -inst_437: -// rs1_val==46340 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2e, x2, 1716, x1) - -inst_438: -// rs1_val==46340 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb504, -0x2c, x2, 1720, x1) - -inst_439: -// rs1_val==46340 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x667, x2, 1724, x1) - -inst_440: -// rs1_val==46340 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x104, 0xb504, 0x334, x2, 1728, x1) - -inst_441: -// rs1_val==46340 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x6, x2, 1732, x1) - -inst_442: -// rs1_val==46340 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x555, x2, 1736, x1) - -inst_443: -// rs1_val==46340 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x556, x2, 1740, x1) - -inst_444: -// rs1_val==46340 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x4, x2, 1744, x1) - -inst_445: -// rs1_val==46340 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2c, x2, 1748, x1) - -inst_446: -// rs1_val==46340 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x0, x2, 1752, x1) - -inst_447: -// rs1_val==46340 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x665, x2, 1756, x1) - -inst_448: -// rs1_val==46340 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x332, x2, 1760, x1) - -inst_449: -// rs1_val==46340 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x554, x2, 1764, x1) - -inst_450: -// rs1_val==46340 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x2, x2, 1768, x1) - -inst_451: -// rs1_val==46340 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2d, x2, 1772, x1) - -inst_452: -// rs1_val==46340 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb504, -0x2d, x2, 1776, x1) - -inst_453: -// rs1_val==46340 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x666, x2, 1780, x1) - -inst_454: -// rs1_val==46340 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x333, x2, 1784, x1) - -inst_455: -// rs1_val==46340 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x5, x2, 1788, x1) - -inst_456: -// rs1_val==46340 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x556, x2, 1792, x1) - -inst_457: -// rs1_val==46340 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x555, x2, 1796, x1) - -inst_458: -// rs1_val==46340 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x3, x2, 1800, x1) - -inst_459: -// rs1_val==-46340 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2e, x2, 1804, x1) - -inst_460: -// rs1_val==-46340 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb504, -0x2c, x2, 1808, x1) - -inst_461: -// rs1_val==-46340 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x667, x2, 1812, x1) - -inst_462: -// rs1_val==-46340 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x234, -0xb504, 0x334, x2, 1816, x1) - -inst_463: -// rs1_val==-46340 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x6, x2, 1820, x1) - -inst_464: -// rs1_val==-46340 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x555, x2, 1824, x1) - -inst_465: -// rs1_val==-46340 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x556, x2, 1828, x1) - -inst_466: -// rs1_val==-46340 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x4, x2, 1832, x1) - -inst_467: -// rs1_val==-46340 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2c, x2, 1836, x1) - -inst_468: -// rs1_val==-46340 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x0, x2, 1840, x1) - -inst_469: -// rs1_val==-46340 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x665, x2, 1844, x1) - -inst_470: -// rs1_val==-46340 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x332, x2, 1848, x1) - -inst_471: -// rs1_val==-46340 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x554, x2, 1852, x1) - -inst_472: -// rs1_val==-46340 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x2, x2, 1856, x1) - -inst_473: -// rs1_val==-46340 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2d, x2, 1860, x1) - -inst_474: -// rs1_val==-46340 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffff4ad0, -0xb504, -0x2d, x2, 1864, x1) - -inst_475: -// rs1_val==-46340 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x666, x2, 1868, x1) - -inst_476: -// rs1_val==-46340 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x333, x2, 1872, x1) - -inst_477: -// rs1_val==-46340 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x5, x2, 1876, x1) - -inst_478: -// rs1_val==-46340 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x556, x2, 1880, x1) - -inst_479: -// rs1_val==-46340 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x555, x2, 1884, x1) - -inst_480: -// rs1_val==-46340 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x3, x2, 1888, x1) - -inst_481: -// rs1_val==1717986918 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666666, 0x2e, x2, 1892, x1) - -inst_482: -// rs1_val==1717986918 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666666, -0x2c, x2, 1896, x1) - -inst_483: -// rs1_val==1717986918 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x667, x2, 1900, x1) - -inst_484: -// rs1_val==1717986918 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666666, 0x334, x2, 1904, x1) - -inst_485: -// rs1_val==1717986918 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666666, 0x6, x2, 1908, x1) - -inst_486: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x555, x2, 1912, x1) - -inst_487: -// rs1_val==1717986918 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666666, 0x556, x2, 1916, x1) - -inst_488: -// rs1_val==1717986918 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x4, x2, 1920, x1) - -inst_489: -// rs1_val==1717986918 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2c, x2, 1924, x1) - -inst_490: -// rs1_val==1717986918 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666666, 0x0, x2, 1928, x1) - -inst_491: -// rs1_val==1717986918 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666666, 0x665, x2, 1932, x1) - -inst_492: -// rs1_val==1717986918 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x332, x2, 1936, x1) - -inst_493: -// rs1_val==1717986918 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x554, x2, 1940, x1) - -inst_494: -// rs1_val==1717986918 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x2, x2, 1944, x1) - -inst_495: -// rs1_val==1717986918 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2d, x2, 1948, x1) - -inst_496: -// rs1_val==1717986918 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666642, 0x66666666, -0x2d, x2, 1952, x1) - -inst_497: -// rs1_val==1717986918 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x666, x2, 1956, x1) - -inst_498: -// rs1_val==1717986918 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x333, x2, 1960, x1) - -inst_499: -// rs1_val==1717986918 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x5, x2, 1964, x1) - -inst_500: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x556, x2, 1968, x1) - -inst_501: -// rs1_val==1717986918 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x555, x2, 1972, x1) - -inst_502: -// rs1_val==1717986918 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x3, x2, 1976, x1) - -inst_503: -// rs1_val==858993459 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333333, 0x2e, x2, 1980, x1) - -inst_504: -// rs1_val==858993459 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333333, -0x2c, x2, 1984, x1) - -inst_505: -// rs1_val==858993459 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x223, 0x33333333, 0x667, x2, 1988, x1) - -inst_506: -// rs1_val==858993459 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333333, 0x334, x2, 1992, x1) - -inst_507: -// rs1_val==858993459 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x6, x2, 1996, x1) - -inst_508: -// rs1_val==858993459 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333223, 0x33333333, -0x555, x2, 2000, x1) - -inst_509: -// rs1_val==858993459 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333333, 0x556, x2, 2004, x1) - -inst_510: -// rs1_val==858993459 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x4, x2, 2008, x1) - -inst_511: -// rs1_val==858993459 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333333, 0x2c, x2, 2012, x1) - -inst_512: -// rs1_val==858993459 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x0, x2, 2016, x1) - -inst_513: -// rs1_val==858993459 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x221, 0x33333333, 0x665, x2, 2020, x1) - -inst_514: -// rs1_val==858993459 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333333, 0x332, x2, 2024, x1) - -inst_515: -// rs1_val==858993459 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333333, 0x554, x2, 2028, x1) - -inst_516: -// rs1_val==858993459 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x2, x2, 2032, x1) - -inst_517: -// rs1_val==858993459 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x21, 0x33333333, 0x2d, x2, 2036, x1) - -inst_518: -// rs1_val==858993459 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333313, 0x33333333, -0x2d, x2, 2040, x1) - -inst_519: -// rs1_val==858993459 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333333, 0x666, x2, 2044, x1) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==858993459 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x333, 0x33333333, 0x333, x2, 0, x1) - -inst_521: -// rs1_val==858993459 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x33333333, 0x5, x2, 4, x1) - -inst_522: -// rs1_val==858993459 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333333, -0x556, x2, 8, x1) - -inst_523: -// rs1_val==858993459 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x111, 0x33333333, 0x555, x2, 12, x1) - -inst_524: -// rs1_val==858993459 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x33333333, 0x3, x2, 16, x1) - -inst_525: -// rs1_val==5 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2e, x2, 20, x1) - -inst_526: -// rs1_val==5 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2c, x2, 24, x1) - -inst_527: -// rs1_val==5 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x667, x2, 28, x1) - -inst_528: -// rs1_val==5 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x334, x2, 32, x1) - -inst_529: -// rs1_val==5 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x6, x2, 36, x1) - -inst_530: -// rs1_val==5 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x555, x2, 40, x1) - -inst_531: -// rs1_val==5 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x556, x2, 44, x1) - -inst_532: -// rs1_val==5 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x4, x2, 48, x1) - -inst_533: -// rs1_val==5 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2c, x2, 52, x1) - -inst_534: -// rs1_val==5 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x0, x2, 56, x1) - -inst_535: -// rs1_val==5 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x665, x2, 60, x1) - -inst_536: -// rs1_val==5 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x332, x2, 64, x1) - -inst_537: -// rs1_val==5 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x554, x2, 68, x1) - -inst_538: -// rs1_val==5 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x2, x2, 72, x1) - -inst_539: -// rs1_val==5 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x2d, x2, 76, x1) - -inst_540: -// rs1_val==5 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x2d, x2, 80, x1) - -inst_541: -// rs1_val==5 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x666, x2, 84, x1) - -inst_542: -// rs1_val==5 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x333, x2, 88, x1) - -inst_543: -// rs1_val==5 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x5, x2, 92, x1) - -inst_544: -// rs1_val==5 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, -0x556, x2, 96, x1) - -inst_545: -// rs1_val==5 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x555, x2, 100, x1) - -inst_546: -// rs1_val==5 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x3, x2, 104, x1) - -inst_547: -// rs1_val==-1431655766 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555556, 0x2e, x2, 108, x1) - -inst_548: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555556, -0x2c, x2, 112, x1) - -inst_549: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x667, x2, 116, x1) - -inst_550: -// rs1_val==-1431655766 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x334, x2, 120, x1) - -inst_551: -// rs1_val==-1431655766 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x6, x2, 124, x1) - -inst_552: -// rs1_val == -16777217, imm_val == 2 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x1000001, 0x2, x2, 128, x1) - -inst_553: -// rs1_val == -131073, imm_val == 1365 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x20001; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x555, -0x20001, 0x555, x2, 132, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 34*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S deleted file mode 100644 index 6ce10b6f1..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S +++ /dev/null @@ -1,394 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the auipc instruction of the RISC-V E extension for the auipc covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",auipc) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rd==x9, imm_val == ((2**20)-1), imm_val > 0 -// opcode: auipc ; dest:x9; immval:0xfffff -TEST_AUIPC(auipc, x9, -0x1000, 0xfffff, x2, 0, x3) - -inst_1: -// rd==x6, imm_val == 524287, -// opcode: auipc ; dest:x6; immval:0x7ffff -TEST_AUIPC(auipc, x6, 0x7ffff000, 0x7ffff, x2, 4, x3) - -inst_2: -// rd==x1, imm_val == 786431, -// opcode: auipc ; dest:x1; immval:0xbffff -TEST_AUIPC(auipc, x1, -0x40001000, 0xbffff, x2, 8, x3) - -inst_3: -// rd==x5, imm_val == 917503, -// opcode: auipc ; dest:x5; immval:0xdffff -TEST_AUIPC(auipc, x5, -0x20001000, 0xdffff, x2, 12, x3) - -inst_4: -// rd==x14, imm_val == 983039, -// opcode: auipc ; dest:x14; immval:0xeffff -TEST_AUIPC(auipc, x14, -0x10001000, 0xeffff, x2, 16, x3) - -inst_5: -// rd==x7, imm_val == 1015807, -// opcode: auipc ; dest:x7; immval:0xf7fff -TEST_AUIPC(auipc, x7, -0x8001000, 0xf7fff, x2, 20, x3) - -inst_6: -// rd==x15, imm_val == 1032191, -// opcode: auipc ; dest:x15; immval:0xfbfff -TEST_AUIPC(auipc, x15, -0x4001000, 0xfbfff, x2, 24, x3) - -inst_7: -// rd==x10, imm_val == 1040383, -// opcode: auipc ; dest:x10; immval:0xfdfff -TEST_AUIPC(auipc, x10, -0x2001000, 0xfdfff, x2, 28, x3) - -inst_8: -// rd==x12, imm_val == 1044479, -// opcode: auipc ; dest:x12; immval:0xfefff -TEST_AUIPC(auipc, x12, -0x1001000, 0xfefff, x2, 32, x3) - -inst_9: -// rd==x0, imm_val == 1046527, -// opcode: auipc ; dest:x0; immval:0xff7ff -TEST_AUIPC(auipc, x0, 0, 0xff7ff, x2, 36, x3) - -inst_10: -// rd==x8, imm_val == 1047551, -// opcode: auipc ; dest:x8; immval:0xffbff -TEST_AUIPC(auipc, x8, -0x401000, 0xffbff, x2, 40, x3) - -inst_11: -// rd==x4, imm_val == 1048063, -// opcode: auipc ; dest:x4; immval:0xffdff -TEST_AUIPC(auipc, x4, -0x201000, 0xffdff, x2, 44, x3) - -inst_12: -// rd==x13, imm_val == 1048319, -// opcode: auipc ; dest:x13; immval:0xffeff -TEST_AUIPC(auipc, x13, -0x101000, 0xffeff, x2, 48, x4) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_13: -// rd==x3, imm_val == 1048447, -// opcode: auipc ; dest:x3; immval:0xfff7f -TEST_AUIPC(auipc, x3, -0x81000, 0xfff7f, x1, 0, x4) - -inst_14: -// rd==x11, imm_val == 1048511, -// opcode: auipc ; dest:x11; immval:0xfffbf -TEST_AUIPC(auipc, x11, -0x41000, 0xfffbf, x1, 4, x4) - -inst_15: -// rd==x2, imm_val == 1048543, -// opcode: auipc ; dest:x2; immval:0xfffdf -TEST_AUIPC(auipc, x2, -0x21000, 0xfffdf, x1, 8, x4) - -inst_16: -// imm_val == 1048559, -// opcode: auipc ; dest:x10; immval:0xfffef -TEST_AUIPC(auipc, x10, -0x11000, 0xfffef, x1, 12, x4) - -inst_17: -// imm_val == 1048567, -// opcode: auipc ; dest:x10; immval:0xffff7 -TEST_AUIPC(auipc, x10, -0x9000, 0xffff7, x1, 16, x4) - -inst_18: -// imm_val == 1048571, -// opcode: auipc ; dest:x10; immval:0xffffb -TEST_AUIPC(auipc, x10, -0x5000, 0xffffb, x1, 20, x4) - -inst_19: -// imm_val == 1048573, -// opcode: auipc ; dest:x10; immval:0xffffd -TEST_AUIPC(auipc, x10, -0x3000, 0xffffd, x1, 24, x4) - -inst_20: -// imm_val == 1048574, -// opcode: auipc ; dest:x10; immval:0xffffe -TEST_AUIPC(auipc, x10, -0x2000, 0xffffe, x1, 28, x4) - -inst_21: -// imm_val == 524288, -// opcode: auipc ; dest:x10; immval:0x80000 -TEST_AUIPC(auipc, x10, -0x80000000, 0x80000, x1, 32, x4) - -inst_22: -// imm_val == 262144, -// opcode: auipc ; dest:x10; immval:0x40000 -TEST_AUIPC(auipc, x10, 0x40000000, 0x40000, x1, 36, x4) - -inst_23: -// imm_val == 131072, -// opcode: auipc ; dest:x10; immval:0x20000 -TEST_AUIPC(auipc, x10, 0x20000000, 0x20000, x1, 40, x4) - -inst_24: -// imm_val == 65536, -// opcode: auipc ; dest:x10; immval:0x10000 -TEST_AUIPC(auipc, x10, 0x10000000, 0x10000, x1, 44, x4) - -inst_25: -// imm_val == 32768, -// opcode: auipc ; dest:x10; immval:0x8000 -TEST_AUIPC(auipc, x10, 0x8000000, 0x8000, x1, 48, x4) - -inst_26: -// imm_val == 16384, -// opcode: auipc ; dest:x10; immval:0x4000 -TEST_AUIPC(auipc, x10, 0x4000000, 0x4000, x1, 52, x4) - -inst_27: -// imm_val == 8192, -// opcode: auipc ; dest:x10; immval:0x2000 -TEST_AUIPC(auipc, x10, 0x2000000, 0x2000, x1, 56, x4) - -inst_28: -// imm_val == 4096, -// opcode: auipc ; dest:x10; immval:0x1000 -TEST_AUIPC(auipc, x10, 0x1000000, 0x1000, x1, 60, x4) - -inst_29: -// imm_val == 2048, -// opcode: auipc ; dest:x10; immval:0x800 -TEST_AUIPC(auipc, x10, 0x800000, 0x800, x1, 64, x4) - -inst_30: -// imm_val == 1024, imm_val==1024 -// opcode: auipc ; dest:x10; immval:0x400 -TEST_AUIPC(auipc, x10, 0x400000, 0x400, x1, 68, x4) - -inst_31: -// imm_val == 512, -// opcode: auipc ; dest:x10; immval:0x200 -TEST_AUIPC(auipc, x10, 0x200000, 0x200, x1, 72, x4) - -inst_32: -// imm_val == 256, -// opcode: auipc ; dest:x10; immval:0x100 -TEST_AUIPC(auipc, x10, 0x100000, 0x100, x1, 76, x4) - -inst_33: -// imm_val == 128, -// opcode: auipc ; dest:x10; immval:0x80 -TEST_AUIPC(auipc, x10, 0x80000, 0x80, x1, 80, x4) - -inst_34: -// imm_val == 64, -// opcode: auipc ; dest:x10; immval:0x40 -TEST_AUIPC(auipc, x10, 0x40000, 0x40, x1, 84, x4) - -inst_35: -// imm_val == 32, -// opcode: auipc ; dest:x10; immval:0x20 -TEST_AUIPC(auipc, x10, 0x20000, 0x20, x1, 88, x4) - -inst_36: -// imm_val == 16, -// opcode: auipc ; dest:x10; immval:0x10 -TEST_AUIPC(auipc, x10, 0x10000, 0x10, x1, 92, x4) - -inst_37: -// imm_val==349525, imm_val == 349525 -// opcode: auipc ; dest:x10; immval:0x55555 -TEST_AUIPC(auipc, x10, 0x55555000, 0x55555, x1, 96, x4) - -inst_38: -// imm_val==3, -// opcode: auipc ; dest:x10; immval:0x3 -TEST_AUIPC(auipc, x10, 0x3000, 0x3, x1, 100, x4) - -inst_39: -// imm_val == 699050, imm_val==699050 -// opcode: auipc ; dest:x10; immval:0xaaaaa -TEST_AUIPC(auipc, x10, -0x55556000, 0xaaaaa, x1, 104, x4) - -inst_40: -// imm_val == 0, imm_val==0 -// opcode: auipc ; dest:x10; immval:0x0 -TEST_AUIPC(auipc, x10, 0x0, 0x0, x1, 108, x4) - -inst_41: -// imm_val == 8, -// opcode: auipc ; dest:x10; immval:0x8 -TEST_AUIPC(auipc, x10, 0x8000, 0x8, x1, 112, x4) - -inst_42: -// imm_val == 4, imm_val==4 -// opcode: auipc ; dest:x10; immval:0x4 -TEST_AUIPC(auipc, x10, 0x4000, 0x4, x1, 116, x4) - -inst_43: -// imm_val == 2, imm_val==2 -// opcode: auipc ; dest:x10; immval:0x2 -TEST_AUIPC(auipc, x10, 0x2000, 0x2, x1, 120, x4) - -inst_44: -// imm_val == 1, imm_val==1 -// opcode: auipc ; dest:x10; immval:0x1 -TEST_AUIPC(auipc, x10, 0x1000, 0x1, x1, 124, x4) - -inst_45: -// imm_val==725, -// opcode: auipc ; dest:x10; immval:0x2d5 -TEST_AUIPC(auipc, x10, 0x2d5000, 0x2d5, x1, 128, x4) - -inst_46: -// imm_val==419431, -// opcode: auipc ; dest:x10; immval:0x66667 -TEST_AUIPC(auipc, x10, 0x66667000, 0x66667, x1, 132, x4) - -inst_47: -// imm_val==209716, -// opcode: auipc ; dest:x10; immval:0x33334 -TEST_AUIPC(auipc, x10, 0x33334000, 0x33334, x1, 136, x4) - -inst_48: -// imm_val==6, -// opcode: auipc ; dest:x10; immval:0x6 -TEST_AUIPC(auipc, x10, 0x6000, 0x6, x1, 140, x4) - -inst_49: -// imm_val==699051, -// opcode: auipc ; dest:x10; immval:0xaaaab -TEST_AUIPC(auipc, x10, -0x55555000, 0xaaaab, x1, 144, x4) - -inst_50: -// imm_val==349526, -// opcode: auipc ; dest:x10; immval:0x55556 -TEST_AUIPC(auipc, x10, 0x55556000, 0x55556, x1, 148, x4) - -inst_51: -// imm_val==1022, -// opcode: auipc ; dest:x10; immval:0x3fe -TEST_AUIPC(auipc, x10, 0x3fe000, 0x3fe, x1, 152, x4) - -inst_52: -// imm_val==723, -// opcode: auipc ; dest:x10; immval:0x2d3 -TEST_AUIPC(auipc, x10, 0x2d3000, 0x2d3, x1, 156, x4) - -inst_53: -// imm_val==419429, -// opcode: auipc ; dest:x10; immval:0x66665 -TEST_AUIPC(auipc, x10, 0x66665000, 0x66665, x1, 160, x4) - -inst_54: -// imm_val==209714, -// opcode: auipc ; dest:x10; immval:0x33332 -TEST_AUIPC(auipc, x10, 0x33332000, 0x33332, x1, 164, x4) - -inst_55: -// imm_val==699049, -// opcode: auipc ; dest:x10; immval:0xaaaa9 -TEST_AUIPC(auipc, x10, -0x55557000, 0xaaaa9, x1, 168, x4) - -inst_56: -// imm_val==349524, -// opcode: auipc ; dest:x10; immval:0x55554 -TEST_AUIPC(auipc, x10, 0x55554000, 0x55554, x1, 172, x4) - -inst_57: -// imm_val==1023, -// opcode: auipc ; dest:x10; immval:0x3ff -TEST_AUIPC(auipc, x10, 0x3ff000, 0x3ff, x1, 176, x4) - -inst_58: -// imm_val==724, -// opcode: auipc ; dest:x10; immval:0x2d4 -TEST_AUIPC(auipc, x10, 0x2d4000, 0x2d4, x1, 180, x4) - -inst_59: -// imm_val==419430, -// opcode: auipc ; dest:x10; immval:0x66666 -TEST_AUIPC(auipc, x10, 0x66666000, 0x66666, x1, 184, x4) - -inst_60: -// imm_val==209715, -// opcode: auipc ; dest:x10; immval:0x33333 -TEST_AUIPC(auipc, x10, 0x33333000, 0x33333, x1, 188, x4) - -inst_61: -// imm_val==5, -// opcode: auipc ; dest:x10; immval:0x5 -TEST_AUIPC(auipc, x10, 0x5000, 0x5, x1, 192, x4) - -inst_62: -// imm_val == 1046527, -// opcode: auipc ; dest:x10; immval:0xff7ff -TEST_AUIPC(auipc, x10, -0x801000, 0xff7ff, x1, 196, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 13*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 50*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S deleted file mode 100644 index 4b9c621f1..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S +++ /dev/null @@ -1,3034 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the beq instruction of the RISC-V E extension for the beq covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",beq) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 == rs2, rs1==x6, rs2==x6, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 -// opcode: beq, op1:x6; op2:x6; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x13, x6, x6, -0x2000001, -0x2000001, 0x8, 3f, x5, 0,0) - -inst_1: -// rs1 != rs2, rs1==x2, rs2==x12, rs2_val == 2147483647, -// opcode: beq, op1:x2; op2:x12; op1val:0x0; op2val:0x7fffffff; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x13, x2, x12, 0x0, 0x7fffffff, 0x0, 3f, x5, 4,0) - -inst_2: -// rs1==x10, rs2==x7, rs2_val == -1073741825, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1431655766 -// opcode: beq, op1:x10; op2:x7; op1val:-0x55555556; op2val:-0x40000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x13, x10, x7, -0x55555556, -0x40000001, 0x4, 1b, x5, 8,0) - -inst_3: -// rs1==x7, rs2==x11, rs2_val == -536870913, rs1_val == 2147483647, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x7; op2:x11; op1val:0x7fffffff; op2val:-0x20000001; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x13, x7, x11, 0x7fffffff, -0x20000001, 0x100, 3f, x5, 12,0) - -inst_4: -// rs1==x9, rs2==x14, rs2_val == -268435457, -// opcode: beq, op1:x9; op2:x14; op1val:0x3fffffff; op2val:-0x10000001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x13, x9, x14, 0x3fffffff, -0x10000001, 0x6, 1b, x5, 16,0) - -inst_5: -// rs1==x8, rs2==x2, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x8; op2:x2; op1val:-0xa; op2val:-0x8000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x13, x8, x2, -0xa, -0x8000001, 0x4, 1b, x5, 20,0) - -inst_6: -// rs1==x12, rs2==x1, rs2_val == -33554433, -// opcode: beq, op1:x12; op2:x1; op1val:0xb504; op2val:-0x2000001; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x13, x12, x1, 0xb504, -0x2000001, 0x2, 3f, x5, 24,0) - -inst_7: -// rs1==x3, rs2==x4, rs2_val == -16777217, rs1_val == -2097153 -// opcode: beq, op1:x3; op2:x4; op1val:-0x200001; op2val:-0x1000001; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x13, x3, x4, -0x200001, -0x1000001, 0x100, 3f, x5, 28,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x0, rs2==x13, rs2_val == -8388609, -// opcode: beq, op1:x0; op2:x13; op1val:0x0; op2val:-0x800001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x6, x0, x13, 0x0, -0x800001, 0x0, 3f, x2, 0,0) - -inst_9: -// rs1==x14, rs2==x5, rs2_val == -4194305, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -268435457 -// opcode: beq, op1:x14; op2:x5; op1val:-0x10000001; op2val:-0x400001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x14, x5, -0x10000001, -0x400001, 0x4, 3f, x2, 4,0) - -inst_10: -// rs1==x15, rs2==x9, rs2_val == -2097153, rs1_val == 4 -// opcode: beq, op1:x15; op2:x9; op1val:0x4; op2val:-0x200001; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x6, x15, x9, 0x4, -0x200001, 0x20, 3f, x2, 8,0) - -inst_11: -// rs1==x5, rs2==x15, rs2_val == -1048577, rs1_val == 512 -// opcode: beq, op1:x5; op2:x15; op1val:0x200; op2val:-0x100001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x5, x15, 0x200, -0x100001, 0x4, 1b, x2, 12,0) - -inst_12: -// rs1==x4, rs2==x0, rs2_val == -524289, rs1_val == -536870913 -// opcode: beq, op1:x4; op2:x0; op1val:-0x20000001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x6, x4, x0, -0x20000001, 0x0, 0x6, 1b, x2, 16,0) - -inst_13: -// rs1==x1, rs2==x8, rs2_val == -262145, rs1_val == -65 -// opcode: beq, op1:x1; op2:x8; op1val:-0x41; op2val:-0x40001; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x6, x1, x8, -0x41, -0x40001, 0x10, 3f, x2, 20,0) - -inst_14: -// rs1==x11, rs2==x3, rs2_val == -131073, -// opcode: beq, op1:x11; op2:x3; op1val:0x4; op2val:-0x20001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x11, x3, 0x4, -0x20001, 0x4, 3f, x2, 24,0) - -inst_15: -// rs1==x13, rs2==x10, rs2_val == -65537, rs1_val == -8193 -// opcode: beq, op1:x13; op2:x10; op1val:-0x2001; op2val:-0x10001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x13, x10, -0x2001, -0x10001, 0x4, 3f, x2, 28,0) - -inst_16: -// rs2_val == -32769, rs1_val == 32 -// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:-0x8001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, -0x8001, 0x6, 1b, x2, 32,0) - -inst_17: -// rs2_val == -16385, -// opcode: beq, op1:x10; op2:x11; op1val:-0x4; op2val:-0x4001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4, -0x4001, 0x8, 3f, x2, 36,0) - -inst_18: -// rs2_val == -8193, -// opcode: beq, op1:x10; op2:x11; op1val:0x200; op2val:-0x2001; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x200, -0x2001, 0x20, 3f, x2, 40,0) - -inst_19: -// rs2_val == -4097, -// opcode: beq, op1:x10; op2:x11; op1val:-0x6; op2val:-0x1001; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x6, -0x1001, 0x400, 1b, x2, 44,0) - -inst_20: -// rs2_val == -2049, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:-0x801; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, -0x801, 0xa, 1b, x2, 48,0) - -inst_21: -// rs2_val == -1025, rs1_val == -129 -// opcode: beq, op1:x10; op2:x11; op1val:-0x81; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x81, -0x401, 0x8, 1b, x2, 52,0) - -inst_22: -// rs2_val == -513, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x201, 0x4, 1b, x2, 56,0) - -inst_23: -// rs2_val == -257, rs1_val == -3 -// opcode: beq, op1:x10; op2:x11; op1val:-0x3; op2val:-0x101; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x3, -0x101, 0x2, 3f, x2, 60,0) - -inst_24: -// rs2_val == -129, rs1_val == 8192 -// opcode: beq, op1:x10; op2:x11; op1val:0x2000; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000, -0x81, 0x4, 1b, x2, 64,0) - -inst_25: -// rs2_val == -65, -// opcode: beq, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x41; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3fffffff, -0x41, 0x400, 3f, x2, 68,0) - -inst_26: -// rs2_val == -33, rs1_val == -262145 -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x21; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x21, 0x400, 1b, x2, 72,0) - -inst_27: -// rs2_val == -17, rs1_val == -33 -// opcode: beq, op1:x10; op2:x11; op1val:-0x21; op2val:-0x11; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x21, -0x11, 0x556, 1b, x2, 76,0) - -inst_28: -// rs2_val == -9, -// opcode: beq, op1:x10; op2:x11; op1val:0x7fffffff; op2val:-0x9; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x7fffffff, -0x9, 0x4, 3f, x2, 80,0) - -inst_29: -// rs2_val == -5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x5; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x5, 0x80, 3f, x2, 84,0) - -inst_30: -// rs2_val == -3, rs1_val == 1 -// opcode: beq, op1:x10; op2:x11; op1val:0x1; op2val:-0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1, -0x3, 0x4, 1b, x2, 88,0) - -inst_31: -// rs2_val == -2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x2; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x2, 0xa, 1b, x2, 92,0) - -inst_32: -// rs1_val == -1073741825, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40000001, 0x6, 0x556, 1b, x2, 96,0) - -inst_33: -// rs1_val == -134217729, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x10000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, -0x10000001, 0x8, 3f, x2, 100,0) - -inst_34: -// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:-0x4000001; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4000001, 0xb504, 0x8, 3f, x2, 104,0) - -inst_35: -// rs1_val == -16777217, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x1000001; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x1000001, -0x1000001, 0x200, 3f, x2, 108,0) - -inst_36: -// rs1_val == -8388609, -// opcode: beq, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x9; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x800001, -0x9, 0x2, 1b, x2, 112,0) - -inst_37: -// rs1_val == -4194305, rs2_val == 128 -// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x80; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x80, 0x400, 1b, x2, 116,0) - -inst_38: -// rs1_val == -1048577, -// opcode: beq, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x100001, -0x55555555, 0x0, 1b, x2, 120,0) - -inst_39: -// rs1_val == -524289, rs2_val == 131072 -// opcode: beq, op1:x10; op2:x11; op1val:-0x80001; op2val:0x20000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x80001, 0x20000, 0x0, 1b, x2, 124,0) - -inst_40: -// rs1_val == -131073, -// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x66666667, 0x400, 1b, x2, 128,0) - -inst_41: -// rs1_val == -65537, -// opcode: beq, op1:x10; op2:x11; op1val:-0x10001; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x10001, 0x33333334, 0x6, 1b, x2, 132,0) - -inst_42: -// rs1_val == -32769, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x0, 0x6, 1b, x2, 136,0) - -inst_43: -// rs1_val == -16385, -// opcode: beq, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x1001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4001, -0x1001, 0x0, 3f, x2, 140,0) - -inst_44: -// rs1_val == -4097, -// opcode: beq, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x800001; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x1001, -0x800001, 0x10, 3f, x2, 144,0) - -inst_45: -// rs1_val == -2049, rs2_val == 2 -// opcode: beq, op1:x10; op2:x11; op1val:-0x801; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x801, 0x2, 0xa, 1b, x2, 148,0) - -inst_46: -// rs1_val == -1025, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:-0x81; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, -0x81, 0x80, 3f, x2, 152,0) - -inst_47: -// rs1_val == -513, -// opcode: beq, op1:x10; op2:x11; op1val:-0x201; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x201, -0x401, 0x8, 1b, x2, 156,0) - -inst_48: -// rs1_val == -257, -// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0xb503, 0x8, 1b, x2, 160,0) - -inst_49: -// rs1_val == -17, -// opcode: beq, op1:x10; op2:x11; op1val:-0x11; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x11, -0xb503, 0x6, 3f, x2, 164,0) - -inst_50: -// rs1_val == -9, -// opcode: beq, op1:x10; op2:x11; op1val:-0x9; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x9, -0xb504, 0x556, 1b, x2, 168,0) - -inst_51: -// rs1_val == -5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x55555554, 0x8, 3f, x2, 172,0) - -inst_52: -// rs1_val == -2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x2; op2val:-0x801; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x2, -0x801, 0xa, 1b, x2, 176,0) - -inst_53: -// rs2_val == -2147483648, rs1_val == 32768 -// opcode: beq, op1:x10; op2:x11; op1val:0x8000; op2val:-0x80000000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000, -0x80000000, 0x0, 1b, x2, 180,0) - -inst_54: -// rs2_val == 1073741824, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x40000000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x40000000, 0x6, 3f, x2, 184,0) - -inst_55: -// rs2_val == 536870912, rs1_val == 1431655765, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x20000000; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x20000000, 0x200, 3f, x2, 188,0) - -inst_56: -// rs2_val == 268435456, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x10000000; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x10000000, 0x10, 3f, x2, 192,0) - -inst_57: -// rs2_val == 134217728, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x8000000; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x8000000, 0x40, 3f, x2, 196,0) - -inst_58: -// rs2_val == 67108864, -// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:0x4000000; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, 0x4000000, 0x4, 3f, x2, 200,0) - -inst_59: -// rs2_val == 33554432, -// opcode: beq, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x9, 0x2000000, 0x100, 3f, x2, 204,0) - -inst_60: -// rs2_val == 16777216, rs1_val == 134217728 -// opcode: beq, op1:x10; op2:x11; op1val:0x8000000; op2val:0x1000000; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000000, 0x1000000, 0x100, 3f, x2, 208,0) - -inst_61: -// rs2_val == 8388608, rs1_val == 8388608 -// opcode: beq, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x800000, 0x800000, 0x200, 3f, x2, 212,0) - -inst_62: -// rs2_val == 4194304, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x400000, 0x0, 3f, x2, 216,0) - -inst_63: -// rs2_val == 2097152, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x200000; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x200000, 0x4, 1b, x2, 220,0) - -inst_64: -// rs2_val == 1048576, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x100000; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, 0x100000, 0x556, 1b, x2, 224,0) - -inst_65: -// rs2_val == 524288, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:0x80000; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, 0x80000, 0x400, 1b, x2, 228,0) - -inst_66: -// rs2_val == 262144, rs1_val == 256 -// opcode: beq, op1:x10; op2:x11; op1val:0x100; op2val:0x40000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x100, 0x40000, 0x0, 1b, x2, 232,0) - -inst_67: -// rs2_val == 65536, -// opcode: beq, op1:x10; op2:x11; op1val:-0xa; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xa, 0x10000, 0x0, 3f, x2, 236,0) - -inst_68: -// rs2_val == 32768, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x8000; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x8000, 0xa, 1b, x2, 240,0) - -inst_69: -// rs2_val == 16384, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:0x4000; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, 0x4000, 0x40, 3f, x2, 244,0) - -inst_70: -// rs2_val == 8192, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x2000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x2000, 0x6, 3f, x2, 248,0) - -inst_71: -// rs2_val == 4096, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1000; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x1000, 0x400, 1b, x2, 252,0) - -inst_72: -// rs2_val == 2048, -// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x800; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x800, 0x4, 1b, x2, 256,0) - -inst_73: -// rs2_val == 1024, -// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x400, 0x10, 3f, x2, 260,0) - -inst_74: -// rs2_val == 512, -// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0x200, 0x400, 1b, x2, 264,0) - -inst_75: -// rs2_val == 256, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x100; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x100, 0x20, 3f, x2, 268,0) - -inst_76: -// rs2_val == 64, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x40; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x40, 0x40, 3f, x2, 272,0) - -inst_77: -// rs2_val == 32, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x20; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x20, 0x2, 3f, x2, 276,0) - -inst_78: -// rs2_val == 16, -// opcode: beq, op1:x10; op2:x11; op1val:-0x200001; op2val:0x10; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x200001, 0x10, 0x200, 3f, x2, 280,0) - -inst_79: -// rs2_val == 8, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x8; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x8, 0x6, 1b, x2, 284,0) - -inst_80: -// rs2_val == 4, rs1_val == 16384 -// opcode: beq, op1:x10; op2:x11; op1val:0x4000; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000, 0x4, 0x200, 3f, x2, 288,0) - -inst_81: -// rs2_val == 1, -// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x1, 0x6, 3f, x2, 292,0) - -inst_82: -// rs1_val == -2147483648, -// opcode: beq, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x80000000, 0x40000000, 0x2, 3f, x2, 296,0) - -inst_83: -// rs1_val == 1073741824, -// opcode: beq, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x81; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000000, -0x81, 0xa, 1b, x2, 300,0) - -inst_84: -// rs1_val == 536870912, -// opcode: beq, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x40000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000000, -0x40000001, 0x4, 3f, x2, 304,0) - -inst_85: -// rs1_val == 268435456, -// opcode: beq, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x40000001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000000, -0x40000001, 0x0, 3f, x2, 308,0) - -inst_86: -// rs1_val == 67108864, -// opcode: beq, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x8; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000000, -0x8, 0x2, 1b, x2, 312,0) - -inst_87: -// rs1_val == 33554432, -// opcode: beq, op1:x10; op2:x11; op1val:0x2000000; op2val:0x10; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000000, 0x10, 0x100, 3f, x2, 316,0) - -inst_88: -// rs1_val == 16777216, -// opcode: beq, op1:x10; op2:x11; op1val:0x1000000; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000000, 0x6, 0x0, 1b, x2, 320,0) - -inst_89: -// rs1_val == 4194304, -// opcode: beq, op1:x10; op2:x11; op1val:0x400000; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x400000, 0x66666666, 0x6, 3f, x2, 324,0) - -inst_90: -// rs1_val == 2097152, -// opcode: beq, op1:x10; op2:x11; op1val:0x200000; op2val:-0x2; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x200000, -0x2, 0x80, 3f, x2, 328,0) - -inst_91: -// rs1_val == 1048576, -// opcode: beq, op1:x10; op2:x11; op1val:0x100000; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x100000, 0x66666667, 0xa, 1b, x2, 332,0) - -inst_92: -// rs1_val == 524288, -// opcode: beq, op1:x10; op2:x11; op1val:0x80000; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x80000, -0x101, 0x6, 3f, x2, 336,0) - -inst_93: -// rs1_val == 262144, rs2_val == 1431655765 -// opcode: beq, op1:x10; op2:x11; op1val:0x40000; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000, 0x55555555, 0x6, 3f, x2, 340,0) - -inst_94: -// rs1_val == 131072, -// opcode: beq, op1:x10; op2:x11; op1val:0x20000; op2val:-0x10000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000, -0x10000001, 0x4, 3f, x2, 344,0) - -inst_95: -// rs1_val == 65536, -// opcode: beq, op1:x10; op2:x11; op1val:0x10000; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000, -0x55555555, 0xa, 1b, x2, 348,0) - -inst_96: -// rs1_val == 4096, -// opcode: beq, op1:x10; op2:x11; op1val:0x1000; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000, 0x66666665, 0x4, 1b, x2, 352,0) - -inst_97: -// rs1_val == 2048, -// opcode: beq, op1:x10; op2:x11; op1val:0x800; op2val:-0x200001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x800, -0x200001, 0x8, 3f, x2, 356,0) - -inst_98: -// rs1_val == 1024, -// opcode: beq, op1:x10; op2:x11; op1val:0x400; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x400, 0x3, 0x8, 3f, x2, 360,0) - -inst_99: -// rs1_val == 128, -// opcode: beq, op1:x10; op2:x11; op1val:0x80; op2val:0x2000000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x80, 0x2000000, 0x6, 1b, x2, 364,0) - -inst_100: -// rs1_val == 64, -// opcode: beq, op1:x10; op2:x11; op1val:0x40; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40, 0x3, 0x8, 1b, x2, 368,0) - -inst_101: -// rs1_val == 16, -// opcode: beq, op1:x10; op2:x11; op1val:0x10; op2val:-0x80000000; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10, -0x80000000, 0x556, 1b, x2, 372,0) - -inst_102: -// rs1_val == 8, -// opcode: beq, op1:x10; op2:x11; op1val:0x8; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8, 0x33333332, 0x2, 1b, x2, 376,0) - -inst_103: -// rs1_val == 2, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x7; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x7, 0x100, 3f, x2, 380,0) - -inst_104: -// rs1_val==46341 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb505, 0x4, 3f, x2, 384,0) - -inst_105: -// rs1_val==46341 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb503, 0x400, 1b, x2, 388,0) - -inst_106: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666667, 0x2, 1b, x2, 392,0) - -inst_107: -// rs1_val==46341 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333334, 0x40, 3f, x2, 396,0) - -inst_108: -// rs1_val==46341 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x6, 0x2, 3f, x2, 400,0) - -inst_109: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555555, 0x10, 3f, x2, 404,0) - -inst_110: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555556, 0x40, 3f, x2, 408,0) - -inst_111: -// rs1_val==46341 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x4, 0x10, 3f, x2, 412,0) - -inst_112: -// rs1_val==46341 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb503, 0x6, 3f, x2, 416,0) - -inst_113: -// rs1_val==46341 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x0, 0x10, 3f, x2, 420,0) - -inst_114: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666665, 0x200, 3f, x2, 424,0) - -inst_115: -// rs1_val==46341 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333332, 0x6, 3f, x2, 428,0) - -inst_116: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555554, 0x8, 3f, x2, 432,0) - -inst_117: -// rs1_val==46341 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x2, 0x0, 3f, x2, 436,0) - -inst_118: -// rs1_val==46341 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb504, 0x4, 3f, x2, 440,0) - -inst_119: -// rs1_val==46341 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb504, 0x400, 1b, x2, 444,0) - -inst_120: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666666, 0xa, 1b, x2, 448,0) - -inst_121: -// rs1_val==46341 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333333, 0x8, 3f, x2, 452,0) - -inst_122: -// rs1_val==46341 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x5, 0x8, 3f, x2, 456,0) - -inst_123: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555556, 0xa, 1b, x2, 460,0) - -inst_124: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555555, 0x0, 1b, x2, 464,0) - -inst_125: -// rs1_val==46341 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x3, 0x10, 3f, x2, 468,0) - -inst_126: -// rs1_val==-46339 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb505, 0x2, 3f, x2, 472,0) - -inst_127: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb503, 0x0, 3f, x2, 476,0) - -inst_128: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666667, 0x0, 1b, x2, 480,0) - -inst_129: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333334, 0xa, 1b, x2, 484,0) - -inst_130: -// rs1_val==-46339 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x6, 0x80, 3f, x2, 488,0) - -inst_131: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555555, 0x20, 3f, x2, 492,0) - -inst_132: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555556, 0x400, 1b, x2, 496,0) - -inst_133: -// rs1_val==-46339 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x4, 0x80, 3f, x2, 500,0) - -inst_134: -// rs1_val==-46339 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb503, 0x6, 3f, x2, 504,0) - -inst_135: -// rs1_val==-46339 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x0, 0x400, 3f, x2, 508,0) - -inst_136: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666665, 0x4, 1b, x2, 512,0) - -inst_137: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333332, 0x0, 1b, x2, 516,0) - -inst_138: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555554, 0x10, 3f, x2, 520,0) - -inst_139: -// rs1_val==-46339 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x2, 0x40, 3f, x2, 524,0) - -inst_140: -// rs1_val==-46339 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb504, 0x6, 1b, x2, 528,0) - -inst_141: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb504, 0x4, 1b, x2, 532,0) - -inst_142: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666666, 0x6, 1b, x2, 536,0) - -inst_143: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333333, 0x556, 1b, x2, 540,0) - -inst_144: -// rs1_val==-46339 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x5, 0x10, 3f, x2, 544,0) - -inst_145: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555556, 0xa, 1b, x2, 548,0) - -inst_146: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555555, 0x8, 3f, x2, 552,0) - -inst_147: -// rs1_val==-46339 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x3, 0x10, 3f, x2, 556,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x2, 560,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb503, 0x80, 3f, x2, 564,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666667, 0x40, 3f, x2, 568,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333334, 0x2, 1b, x2, 572,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x6, 0x4, 1b, x2, 576,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555555, 0x200, 3f, x2, 580,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555556, 0x2, 3f, x2, 584,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x4, 0xa, 1b, x2, 588,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x2, 592,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x0, 0x10, 3f, x2, 596,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666665, 0x200, 3f, x2, 600,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333332, 0x200, 3f, x2, 604,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x2, 608,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x2, 0x8, 3f, x2, 612,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x2, 616,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb504, 0x0, 1b, x2, 620,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666666, 0x4, 3f, x2, 624,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x2, 628,0) - -inst_166: -// rs1_val==1717986919 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x5, 0x556, 1b, x2, 632,0) - -inst_167: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555556, 0x2, 1b, x2, 636,0) - -inst_168: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 3f, x2, 640,0) - -inst_169: -// rs1_val==1717986919 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x3, 0x556, 1b, x2, 644,0) - -inst_170: -// rs1_val==858993460 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x2, 648,0) - -inst_171: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb503, 0x400, 3f, x2, 652,0) - -inst_172: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666667, 0x400, 3f, x2, 656,0) - -inst_173: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333334, 0x2, 3f, x2, 660,0) - -inst_174: -// rs1_val==858993460 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x6, 0xa, 1b, x2, 664,0) - -inst_175: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555555, 0x200, 3f, x2, 668,0) - -inst_176: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x2, 672,0) - -inst_177: -// rs1_val==858993460 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x4, 0x20, 3f, x2, 676,0) - -inst_178: -// rs1_val==858993460 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb503, 0x4, 1b, x2, 680,0) - -inst_179: -// rs1_val==858993460 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x0, 0x100, 3f, x2, 684,0) - -inst_180: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666665, 0x10, 3f, x2, 688,0) - -inst_181: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x2, 692,0) - -inst_182: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555554, 0x400, 1b, x2, 696,0) - -inst_183: -// rs1_val==858993460 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x2, 0x4, 1b, x2, 700,0) - -inst_184: -// rs1_val==858993460 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 704,0) - -inst_185: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb504, 0x0, 1b, x2, 708,0) - -inst_186: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666666, 0x6, 1b, x2, 712,0) - -inst_187: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333333, 0x20, 3f, x2, 716,0) - -inst_188: -// rs1_val==858993460 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x5, 0x200, 3f, x2, 720,0) - -inst_189: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555556, 0x40, 3f, x2, 724,0) - -inst_190: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555555, 0x556, 1b, x2, 728,0) - -inst_191: -// rs1_val==858993460 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x3, 0x0, 1b, x2, 732,0) - -inst_192: -// rs1_val==6 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb505, 0x20, 3f, x2, 736,0) - -inst_193: -// rs1_val==6 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb503, 0x40, 3f, x2, 740,0) - -inst_194: -// rs1_val==6 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x2, 744,0) - -inst_195: -// rs1_val==6 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333334, 0x556, 1b, x2, 748,0) - -inst_196: -// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x6, 0x8, 1b, x2, 752,0) - -inst_197: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555555, 0x6, 1b, x2, 756,0) - -inst_198: -// rs1_val==6 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555556, 0x6, 1b, x2, 760,0) - -inst_199: -// rs1_val==6 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x4, 0x2, 3f, x2, 764,0) - -inst_200: -// rs1_val==6 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb503, 0xa, 1b, x2, 768,0) - -inst_201: -// rs1_val==6 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x0, 0x2, 1b, x2, 772,0) - -inst_202: -// rs1_val==6 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666665, 0x80, 3f, x2, 776,0) - -inst_203: -// rs1_val==6 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x2, 780,0) - -inst_204: -// rs1_val==6 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555554, 0x2, 3f, x2, 784,0) - -inst_205: -// rs1_val==6 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x2, 0x0, 1b, x2, 788,0) - -inst_206: -// rs1_val==6 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x2, 792,0) - -inst_207: -// rs1_val==6 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb504, 0x8, 1b, x2, 796,0) - -inst_208: -// rs1_val==6 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666666, 0x400, 1b, x2, 800,0) - -inst_209: -// rs1_val==6 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333333, 0x20, 3f, x2, 804,0) - -inst_210: -// rs1_val==6 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x5, 0xa, 1b, x2, 808,0) - -inst_211: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555556, 0x4, 1b, x2, 812,0) - -inst_212: -// rs1_val==6 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555555, 0x4, 1b, x2, 816,0) - -inst_213: -// rs1_val==6 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x3, 0x6, 3f, x2, 820,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb505, 0x20, 3f, x2, 824,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb503, 0x6, 1b, x2, 828,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666667, 0x556, 1b, x2, 832,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333334, 0x8, 3f, x2, 836,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x6, 0x40, 3f, x2, 840,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555555, 0x8, 3f, x2, 844,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555556, 0xa, 1b, x2, 848,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x4, 0x6, 1b, x2, 852,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb503, 0xa, 1b, x2, 856,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x0, 0x6, 3f, x2, 860,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666665, 0x400, 1b, x2, 864,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333332, 0x10, 3f, x2, 868,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555554, 0x400, 1b, x2, 872,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x2, 0x0, 3f, x2, 876,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb504, 0x10, 3f, x2, 880,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb504, 0x0, 1b, x2, 884,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666666, 0x40, 3f, x2, 888,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333333, 0x10, 3f, x2, 892,0) - -inst_232: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x5, 0x2, 1b, x2, 896,0) - -inst_233: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555556, 0x2, 3f, x2, 900,0) - -inst_234: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x2, 904,0) - -inst_235: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x3, 0xa, 1b, x2, 908,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb505, 0x400, 3f, x2, 912,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb503, 0xa, 1b, x2, 916,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666667, 0x6, 1b, x2, 920,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333334, 0x2, 3f, x2, 924,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x6, 0x8, 3f, x2, 928,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555555, 0x4, 1b, x2, 932,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x2, 936,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x4, 0x200, 3f, x2, 940,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb503, 0x200, 3f, x2, 944,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x0, 0x400, 3f, x2, 948,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666665, 0x6, 1b, x2, 952,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x2, 956,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555554, 0x6, 1b, x2, 960,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x2, 0x8, 3f, x2, 964,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x2, 968,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb504, 0xa, 1b, x2, 972,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 1b, x2, 976,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 1b, x2, 980,0) - -inst_254: -// rs1_val==1431655766 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x5, 0x6, 1b, x2, 984,0) - -inst_255: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555556, 0x200, 3f, x2, 988,0) - -inst_256: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x2, 992,0) - -inst_257: -// rs1_val==1431655766 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x2, 996,0) - -inst_258: -// rs1_val==4 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb505, 0x0, 3f, x2, 1000,0) - -inst_259: -// rs1_val==4 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb503, 0x556, 1b, x2, 1004,0) - -inst_260: -// rs1_val==4 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666667, 0x400, 3f, x2, 1008,0) - -inst_261: -// rs1_val==4 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x2, 1012,0) - -inst_262: -// rs1_val==4 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x6, 0x400, 3f, x2, 1016,0) - -inst_263: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555555, 0x2, 1b, x2, 1020,0) - -inst_264: -// rs1_val==4 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555556, 0x6, 1b, x2, 1024,0) - -inst_265: -// rs1_val==4 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x4, 0x200, 3f, x2, 1028,0) - -inst_266: -// rs1_val==4 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb503, 0x2, 3f, x2, 1032,0) - -inst_267: -// rs1_val==4 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x0, 0x100, 3f, x2, 1036,0) - -inst_268: -// rs1_val==4 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666665, 0xa, 1b, x2, 1040,0) - -inst_269: -// rs1_val==4 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333332, 0x556, 1b, x2, 1044,0) - -inst_270: -// rs1_val==4 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555554, 0x8, 1b, x2, 1048,0) - -inst_271: -// rs1_val==4 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x2, 0x10, 3f, x2, 1052,0) - -inst_272: -// rs1_val==4 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb504, 0x0, 1b, x2, 1056,0) - -inst_273: -// rs1_val==4 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb504, 0xa, 1b, x2, 1060,0) - -inst_274: -// rs1_val==4 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666666, 0x80, 3f, x2, 1064,0) - -inst_275: -// rs1_val==4 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333333, 0x0, 3f, x2, 1068,0) - -inst_276: -// rs1_val==4 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x2, 1072,0) - -inst_277: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555556, 0x8, 1b, x2, 1076,0) - -inst_278: -// rs1_val==4 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555555, 0x6, 1b, x2, 1080,0) - -inst_279: -// rs1_val==4 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x3, 0x8, 1b, x2, 1084,0) - -inst_280: -// rs1_val==46339 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb505, 0x40, 3f, x2, 1088,0) - -inst_281: -// rs1_val==46339 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb503, 0x2, 1b, x2, 1092,0) - -inst_282: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666667, 0x10, 3f, x2, 1096,0) - -inst_283: -// rs1_val==46339 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333334, 0x20, 3f, x2, 1100,0) - -inst_284: -// rs1_val==46339 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x2, 1104,0) - -inst_285: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555555, 0x2, 3f, x2, 1108,0) - -inst_286: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1112,0) - -inst_287: -// rs1_val==46339 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x4, 0x4, 3f, x2, 1116,0) - -inst_288: -// rs1_val==46339 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb503, 0x6, 1b, x2, 1120,0) - -inst_289: -// rs1_val==46339 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x0, 0x556, 1b, x2, 1124,0) - -inst_290: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666665, 0x400, 3f, x2, 1128,0) - -inst_291: -// rs1_val==46339 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333332, 0x8, 1b, x2, 1132,0) - -inst_292: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555554, 0x0, 3f, x2, 1136,0) - -inst_293: -// rs1_val==46339 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1140,0) - -inst_294: -// rs1_val==46339 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb504, 0x6, 3f, x2, 1144,0) - -inst_295: -// rs1_val==46339 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb504, 0x400, 1b, x2, 1148,0) - -inst_296: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666666, 0x0, 1b, x2, 1152,0) - -inst_297: -// rs1_val==46339 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1156,0) - -inst_298: -// rs1_val==46339 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x5, 0x400, 1b, x2, 1160,0) - -inst_299: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555556, 0x400, 3f, x2, 1164,0) - -inst_300: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x2, 1168,0) - -inst_301: -// rs1_val==46339 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x3, 0x556, 1b, x2, 1172,0) - -inst_302: -// rs1_val==0 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb505, 0x556, 1b, x2, 1176,0) - -inst_303: -// rs1_val==0 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb503, 0x2, 1b, x2, 1180,0) - -inst_304: -// rs1_val==0 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666667, 0x10, 3f, x2, 1184,0) - -inst_305: -// rs1_val==0 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333334, 0x2, 1b, x2, 1188,0) - -inst_306: -// rs1_val==0 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x6, 0x80, 3f, x2, 1192,0) - -inst_307: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555555, 0x80, 3f, x2, 1196,0) - -inst_308: -// rs1_val==0 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555556, 0x400, 1b, x2, 1200,0) - -inst_309: -// rs1_val==0 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x4, 0x10, 3f, x2, 1204,0) - -inst_310: -// rs1_val==0 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb503, 0x4, 3f, x2, 1208,0) - -inst_311: -// rs1_val==0 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x0, 0x8, 1b, x2, 1212,0) - -inst_312: -// rs1_val==0 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666665, 0x6, 3f, x2, 1216,0) - -inst_313: -// rs1_val==0 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333332, 0x200, 3f, x2, 1220,0) - -inst_314: -// rs1_val==0 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555554, 0x100, 3f, x2, 1224,0) - -inst_315: -// rs1_val==0 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x2, 0x80, 3f, x2, 1228,0) - -inst_316: -// rs1_val==0 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb504, 0x40, 3f, x2, 1232,0) - -inst_317: -// rs1_val==0 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb504, 0x20, 3f, x2, 1236,0) - -inst_318: -// rs1_val==0 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666666, 0x556, 1b, x2, 1240,0) - -inst_319: -// rs1_val==0 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333333, 0x0, 3f, x2, 1244,0) - -inst_320: -// rs1_val==0 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x5, 0x0, 3f, x2, 1248,0) - -inst_321: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x2, 1252,0) - -inst_322: -// rs1_val==0 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555555, 0x556, 1b, x2, 1256,0) - -inst_323: -// rs1_val==0 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x3, 0x10, 3f, x2, 1260,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x2, 1264,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb503, 0x6, 3f, x2, 1268,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666667, 0x8, 3f, x2, 1272,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1276,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x6, 0x0, 3f, x2, 1280,0) - -inst_329: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x2, 1284,0) - -inst_330: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555556, 0x400, 1b, x2, 1288,0) - -inst_331: -// rs1_val==1717986917 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1292,0) - -inst_332: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x2, 1296,0) - -inst_333: -// rs1_val==858993459 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x0, 0x6, 3f, x2, 1300,0) - -inst_334: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666665, 0x40, 3f, x2, 1304,0) - -inst_335: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333332, 0x400, 3f, x2, 1308,0) - -inst_336: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555554, 0x6, 1b, x2, 1312,0) - -inst_337: -// rs1_val==858993459 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x2, 0x40, 3f, x2, 1316,0) - -inst_338: -// rs1_val==858993459 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb504, 0x10, 3f, x2, 1320,0) - -inst_339: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb504, 0x20, 3f, x2, 1324,0) - -inst_340: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666666, 0x2, 3f, x2, 1328,0) - -inst_341: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333333, 0x4, 3f, x2, 1332,0) - -inst_342: -// rs1_val==858993459 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x5, 0x0, 3f, x2, 1336,0) - -inst_343: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x2, 1340,0) - -inst_344: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555555, 0x8, 3f, x2, 1344,0) - -inst_345: -// rs1_val==858993459 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x3, 0x4, 3f, x2, 1348,0) - -inst_346: -// rs1_val==5 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb505, 0x400, 3f, x2, 1352,0) - -inst_347: -// rs1_val==5 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb503, 0x4, 3f, x2, 1356,0) - -inst_348: -// rs1_val==5 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666667, 0x200, 3f, x2, 1360,0) - -inst_349: -// rs1_val==5 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333334, 0x2, 1b, x2, 1364,0) - -inst_350: -// rs1_val==5 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x6, 0x4, 1b, x2, 1368,0) - -inst_351: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555555, 0x8, 3f, x2, 1372,0) - -inst_352: -// rs1_val==5 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555556, 0x40, 3f, x2, 1376,0) - -inst_353: -// rs1_val==5 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x4, 0x0, 1b, x2, 1380,0) - -inst_354: -// rs1_val==5 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x2, 1384,0) - -inst_355: -// rs1_val==5 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x0, 0xa, 1b, x2, 1388,0) - -inst_356: -// rs1_val==5 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666665, 0x8, 1b, x2, 1392,0) - -inst_357: -// rs1_val==5 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333332, 0x400, 3f, x2, 1396,0) - -inst_358: -// rs1_val==5 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555554, 0x10, 3f, x2, 1400,0) - -inst_359: -// rs1_val==5 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x2, 0x556, 1b, x2, 1404,0) - -inst_360: -// rs1_val==5 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb504, 0x0, 3f, x2, 1408,0) - -inst_361: -// rs1_val==5 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb504, 0x400, 1b, x2, 1412,0) - -inst_362: -// rs1_val==5 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666666, 0x2, 3f, x2, 1416,0) - -inst_363: -// rs1_val==5 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333333, 0x6, 3f, x2, 1420,0) - -inst_364: -// rs1_val==5 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x5, 0xa, 1b, x2, 1424,0) - -inst_365: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555556, 0x8, 3f, x2, 1428,0) - -inst_366: -// rs1_val==5 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555555, 0x2, 3f, x2, 1432,0) - -inst_367: -// rs1_val==5 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x3, 0x20, 3f, x2, 1436,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb505, 0x20, 3f, x2, 1440,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb503, 0x200, 3f, x2, 1444,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666667, 0x10, 3f, x2, 1448,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333334, 0x556, 1b, x2, 1452,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x6, 0xa, 1b, x2, 1456,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555555, 0x8, 3f, x2, 1460,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555556, 0x4, 1b, x2, 1464,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x2, 1468,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb503, 0x8, 3f, x2, 1472,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x0, 0x200, 3f, x2, 1476,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666665, 0x2, 3f, x2, 1480,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333332, 0x8, 1b, x2, 1484,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555554, 0x6, 1b, x2, 1488,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x2, 0x200, 3f, x2, 1492,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x2, 1496,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb504, 0x2, 1b, x2, 1500,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666666, 0x8, 3f, x2, 1504,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333333, 0x8, 1b, x2, 1508,0) - -inst_386: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x5, 0x20, 3f, x2, 1512,0) - -inst_387: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555556, 0x2, 1b, x2, 1516,0) - -inst_388: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555555, 0x80, 3f, x2, 1520,0) - -inst_389: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x3, 0x4, 1b, x2, 1524,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb505, 0x0, 3f, x2, 1528,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x2, 1532,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x2, 1536,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333334, 0x40, 3f, x2, 1540,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 1544,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555555, 0x2, 1b, x2, 1548,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555556, 0x100, 3f, x2, 1552,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x4, 0x2, 1b, x2, 1556,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb503, 0x4, 3f, x2, 1560,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x0, 0x10, 3f, x2, 1564,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666665, 0x400, 1b, x2, 1568,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333332, 0x20, 3f, x2, 1572,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555554, 0x40, 3f, x2, 1576,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x2, 0x40, 3f, x2, 1580,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x2, 1584,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb504, 0x400, 3f, x2, 1588,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 1592,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333333, 0x6, 3f, x2, 1596,0) - -inst_408: -// rs1_val==1431655765 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x5, 0x0, 3f, x2, 1600,0) - -inst_409: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555556, 0x6, 3f, x2, 1604,0) - -inst_410: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x2, 1608,0) - -inst_411: -// rs1_val==1431655765 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x3, 0x10, 3f, x2, 1612,0) - -inst_412: -// rs1_val==3 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb505, 0x2, 1b, x2, 1616,0) - -inst_413: -// rs1_val==3 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb503, 0x0, 1b, x2, 1620,0) - -inst_414: -// rs1_val==3 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666667, 0x0, 1b, x2, 1624,0) - -inst_415: -// rs1_val==3 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 1628,0) - -inst_416: -// rs1_val==3 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x6, 0x0, 1b, x2, 1632,0) - -inst_417: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x2, 1636,0) - -inst_418: -// rs1_val==3 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555556, 0x8, 3f, x2, 1640,0) - -inst_419: -// rs1_val==3 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x4, 0x80, 3f, x2, 1644,0) - -inst_420: -// rs1_val==3 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 1648,0) - -inst_421: -// rs1_val==3 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x0, 0x10, 3f, x2, 1652,0) - -inst_422: -// rs1_val==3 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666665, 0x6, 3f, x2, 1656,0) - -inst_423: -// rs1_val==3 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333332, 0x400, 1b, x2, 1660,0) - -inst_424: -// rs1_val==3 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555554, 0x0, 1b, x2, 1664,0) - -inst_425: -// rs1_val==3 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x2, 0x400, 3f, x2, 1668,0) - -inst_426: -// rs1_val==3 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb504, 0x200, 3f, x2, 1672,0) - -inst_427: -// rs1_val==3 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb504, 0x400, 3f, x2, 1676,0) - -inst_428: -// rs1_val==3 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666666, 0x0, 3f, x2, 1680,0) - -inst_429: -// rs1_val==3 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333333, 0x10, 3f, x2, 1684,0) - -inst_430: -// rs1_val==3 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 1688,0) - -inst_431: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555556, 0x400, 3f, x2, 1692,0) - -inst_432: -// rs1_val==3 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555555, 0x400, 3f, x2, 1696,0) - -inst_433: -// rs1_val==3 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x3, 0x20, 3f, x2, 1700,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x0, 0x8, 3f, x2, 1704,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666665, 0x10, 3f, x2, 1708,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333332, 0x10, 3f, x2, 1712,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x2, 1716,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x2, 0x0, 1b, x2, 1720,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb504, 0x0, 1b, x2, 1724,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x2, 1728,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x2, 1732,0) - -inst_442: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x2, 1736,0) - -inst_443: -// rs1_val==1717986917 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x5, 0x0, 1b, x2, 1740,0) - -inst_444: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555556, 0x40, 3f, x2, 1744,0) - -inst_445: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 3f, x2, 1748,0) - -inst_446: -// rs1_val==1717986917 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x3, 0x4, 3f, x2, 1752,0) - -inst_447: -// rs1_val==858993458 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb505, 0x4, 3f, x2, 1756,0) - -inst_448: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb503, 0x8, 1b, x2, 1760,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666667, 0x4, 1b, x2, 1764,0) - -inst_450: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1768,0) - -inst_451: -// rs1_val==858993458 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x2, 1772,0) - -inst_452: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x2, 1776,0) - -inst_453: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x2, 1780,0) - -inst_454: -// rs1_val==858993458 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x4, 0x20, 3f, x2, 1784,0) - -inst_455: -// rs1_val==858993458 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb503, 0x400, 3f, x2, 1788,0) - -inst_456: -// rs1_val==858993458 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x0, 0x6, 3f, x2, 1792,0) - -inst_457: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1796,0) - -inst_458: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1800,0) - -inst_459: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555554, 0x4, 3f, x2, 1804,0) - -inst_460: -// rs1_val==858993458 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x2, 0x400, 3f, x2, 1808,0) - -inst_461: -// rs1_val==858993458 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb504, 0x40, 3f, x2, 1812,0) - -inst_462: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb504, 0x200, 3f, x2, 1816,0) - -inst_463: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x2, 1820,0) - -inst_464: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1824,0) - -inst_465: -// rs1_val==858993458 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x5, 0x20, 3f, x2, 1828,0) - -inst_466: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555556, 0x40, 3f, x2, 1832,0) - -inst_467: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555555, 0x0, 1b, x2, 1836,0) - -inst_468: -// rs1_val==858993458 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x2, 1840,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb505, 0x10, 3f, x2, 1844,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb503, 0x20, 3f, x2, 1848,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666667, 0x6, 3f, x2, 1852,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333334, 0x200, 3f, x2, 1856,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x6, 0x200, 3f, x2, 1860,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x2, 1864,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x2, 1868,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x4, 0x20, 3f, x2, 1872,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb503, 0x2, 3f, x2, 1876,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x0, 0x6, 3f, x2, 1880,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666665, 0x0, 3f, x2, 1884,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1888,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555554, 0x0, 3f, x2, 1892,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x2, 0x6, 1b, x2, 1896,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb504, 0x0, 3f, x2, 1900,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb504, 0x4, 1b, x2, 1904,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666666, 0x20, 3f, x2, 1908,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 1b, x2, 1912,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x5, 0x2, 3f, x2, 1916,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555556, 0x8, 3f, x2, 1920,0) - -inst_489: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x2, 1924,0) - -inst_490: -// rs1_val==1431655764 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x3, 0x2, 3f, x2, 1928,0) - -inst_491: -// rs1_val==2 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb505, 0x400, 3f, x2, 1932,0) - -inst_492: -// rs1_val==2 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb503, 0x400, 3f, x2, 1936,0) - -inst_493: -// rs1_val==2 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666667, 0x4, 3f, x2, 1940,0) - -inst_494: -// rs1_val==2 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333334, 0x4, 1b, x2, 1944,0) - -inst_495: -// rs1_val==2 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x6, 0x100, 3f, x2, 1948,0) - -inst_496: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555555, 0x556, 1b, x2, 1952,0) - -inst_497: -// rs1_val==2 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555556, 0x4, 3f, x2, 1956,0) - -inst_498: -// rs1_val==2 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x4, 0x6, 1b, x2, 1960,0) - -inst_499: -// rs1_val==2 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb503, 0x556, 1b, x2, 1964,0) - -inst_500: -// rs1_val==2 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x0, 0x4, 1b, x2, 1968,0) - -inst_501: -// rs1_val==2 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666665, 0x200, 3f, x2, 1972,0) - -inst_502: -// rs1_val==2 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333332, 0x0, 1b, x2, 1976,0) - -inst_503: -// rs1_val==2 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555554, 0x80, 3f, x2, 1980,0) - -inst_504: -// rs1_val==2 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x2, 0x2, 1b, x2, 1984,0) - -inst_505: -// rs1_val==2 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb504, 0x556, 1b, x2, 1988,0) - -inst_506: -// rs1_val==2 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb504, 0x0, 3f, x2, 1992,0) - -inst_507: -// rs1_val==2 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666666, 0x0, 3f, x2, 1996,0) - -inst_508: -// rs1_val==2 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333333, 0x400, 1b, x2, 2000,0) - -inst_509: -// rs1_val==2 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x5, 0x2, 3f, x2, 2004,0) - -inst_510: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555556, 0x10, 3f, x2, 2008,0) - -inst_511: -// rs1_val==2 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555555, 0x400, 3f, x2, 2012,0) - -inst_512: -// rs1_val==2 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x3, 0x10, 3f, x2, 2016,0) - -inst_513: -// rs1_val==46340 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb505, 0x100, 3f, x2, 2020,0) - -inst_514: -// rs1_val==46340 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb503, 0x4, 1b, x2, 2024,0) - -inst_515: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666667, 0x2, 3f, x2, 2028,0) - -inst_516: -// rs1_val==46340 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333334, 0x8, 3f, x2, 2032,0) - -inst_517: -// rs1_val==46340 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x6, 0x8, 1b, x2, 2036,0) - -inst_518: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555555, 0x0, 1b, x2, 2040,0) - -inst_519: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x2, 2044,0) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==46340 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x2, 0,0) - -inst_521: -// rs1_val==46340 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb503, 0x10, 3f, x2, 4,0) - -inst_522: -// rs1_val==46340 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x0, 0x8, 1b, x2, 8,0) - -inst_523: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666665, 0x0, 1b, x2, 12,0) - -inst_524: -// rs1_val==46340 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333332, 0x400, 3f, x2, 16,0) - -inst_525: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555554, 0x6, 1b, x2, 20,0) - -inst_526: -// rs1_val==46340 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x2, 0x400, 1b, x2, 24,0) - -inst_527: -// rs1_val==46340 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb504, 0x0, 3f, x2, 28,0) - -inst_528: -// rs1_val==46340 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb504, 0x100, 3f, x2, 32,0) - -inst_529: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666666, 0x80, 3f, x2, 36,0) - -inst_530: -// rs1_val==46340 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333333, 0x8, 1b, x2, 40,0) - -inst_531: -// rs1_val==46340 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x5, 0x2, 1b, x2, 44,0) - -inst_532: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555556, 0x2, 1b, x2, 48,0) - -inst_533: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555555, 0x400, 1b, x2, 52,0) - -inst_534: -// rs1_val==46340 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x3, 0xa, 1b, x2, 56,0) - -inst_535: -// rs1_val==-46340 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb505, 0x80, 3f, x2, 60,0) - -inst_536: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb503, 0x80, 3f, x2, 64,0) - -inst_537: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x2, 68,0) - -inst_538: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333334, 0x6, 3f, x2, 72,0) - -inst_539: -// rs1_val==-46340 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x6, 0x200, 3f, x2, 76,0) - -inst_540: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555555, 0x2, 1b, x2, 80,0) - -inst_541: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555556, 0x0, 1b, x2, 84,0) - -inst_542: -// rs1_val==-46340 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x4, 0x4, 3f, x2, 88,0) - -inst_543: -// rs1_val==-46340 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb503, 0xa, 1b, x2, 92,0) - -inst_544: -// rs1_val==-46340 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x2, 96,0) - -inst_545: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666665, 0x556, 1b, x2, 100,0) - -inst_546: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333332, 0x200, 3f, x2, 104,0) - -inst_547: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555554, 0x80, 3f, x2, 108,0) - -inst_548: -// rs1_val==-46340 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x2, 0x4, 1b, x2, 112,0) - -inst_549: -// rs1_val==-46340 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb504, 0x2, 3f, x2, 116,0) - -inst_550: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb504, 0x4, 1b, x2, 120,0) - -inst_551: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666666, 0x400, 1b, x2, 124,0) - -inst_552: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333333, 0x10, 3f, x2, 128,0) - -inst_553: -// rs1_val==-46340 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x5, 0x8, 3f, x2, 132,0) - -inst_554: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555556, 0x6, 3f, x2, 136,0) - -inst_555: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555555, 0x80, 3f, x2, 140,0) - -inst_556: -// rs1_val==-46340 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x2, 144,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x2, 148,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb503, 0x100, 3f, x2, 152,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x2, 156,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333334, 0x100, 3f, x2, 160,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x6, 0x200, 3f, x2, 164,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555555, 0x2, 3f, x2, 168,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555556, 0x10, 3f, x2, 172,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x4, 0x4, 3f, x2, 176,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb503, 0x100, 3f, x2, 180,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x2, 184,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666665, 0x556, 1b, x2, 188,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333332, 0x200, 3f, x2, 192,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555554, 0x2, 1b, x2, 196,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x2, 0x400, 1b, x2, 200,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb504, 0x100, 3f, x2, 204,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb504, 0x2, 3f, x2, 208,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x2, 212,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x2, 216,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x5, 0x40, 3f, x2, 220,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555556, 0x556, 1b, x2, 224,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555555, 0x556, 1b, x2, 228,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x3, 0x556, 1b, x2, 232,0) - -inst_579: -// rs1_val==858993459 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb505, 0x400, 1b, x2, 236,0) - -inst_580: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb503, 0x10, 3f, x2, 240,0) - -inst_581: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666667, 0xa, 1b, x2, 244,0) - -inst_582: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333334, 0x200, 3f, x2, 248,0) - -inst_583: -// rs1_val==858993459 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x6, 0x0, 3f, x2, 252,0) - -inst_584: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555555, 0x4, 3f, x2, 256,0) - -inst_585: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555556, 0xa, 1b, x2, 260,0) - -inst_586: -// rs1_val==858993459 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x4, 0x400, 1b, x2, 264,0) - -inst_587: -// rs1_val==858993459 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x2, 268,0) - -inst_588: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 -// opcode: beq, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x4000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x2000001, -0x4000001, 0x8, 3f, x2, 272,0) - -inst_589: -// rs2_val == -524289, rs1_val == -536870913 -// opcode: beq, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x80001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20000001, -0x80001, 0x6, 1b, x2, 276,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 70*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S deleted file mode 100644 index 45da8a3c6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S +++ /dev/null @@ -1,3019 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bge instruction of the RISC-V E extension for the bge covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bge) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x9, rs2==x9, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x9; op2:x9; op1val:-0x10001; op2val:-0x10001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x14, x9, x9, -0x10001, -0x10001, 0x400, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x8, rs2==x7, rs2_val == 2147483647, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x8; op2:x7; op1val:0x4; op2val:0x7fffffff; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x14, x8, x7, 0x4, 0x7fffffff, 0x10, 3f, x2, 4,0) - -inst_2: -// rs1==x5, rs2==x11, rs2_val == -1073741825, -// opcode: bge, op1:x5; op2:x11; op1val:0x4; op2val:-0x40000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x5, x11, 0x4, -0x40000001, 0x2, 1b, x2, 8,0) - -inst_3: -// rs1==x12, rs2==x0, rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x12; op2:x0; op1val:-0x8001; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x14, x12, x0, -0x8001, 0x0, 0x20, 3f, x2, 12,0) - -inst_4: -// rs1==x3, rs2==x4, rs2_val == -268435457, rs1_val == 536870912 -// opcode: bge, op1:x3; op2:x4; op1val:0x20000000; op2val:-0x10000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x3, x4, 0x20000000, -0x10000001, 0x2, 3f, x2, 16,0) - -inst_5: -// rs1==x11, rs2==x1, rs2_val == -134217729, rs1_val == 128, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x11; op2:x1; op1val:0x80; op2val:-0x8000001; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x14, x11, x1, 0x80, -0x8000001, 0x10, 3f, x2, 20,0) - -inst_6: -// rs1==x0, rs2==x12, rs2_val == -67108865, rs1_val == 256 -// opcode: bge, op1:x0; op2:x12; op1val:0x0; op2val:-0x4000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x14, x0, x12, 0x0, -0x4000001, 0x556, 1b, x2, 24,0) - -inst_7: -// rs1==x7, rs2==x6, rs2_val == -33554433, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x7; op2:x6; op1val:0x80; op2val:-0x2000001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x14, x7, x6, 0x80, -0x2000001, 0x4, 1b, x2, 28,0) - -inst_8: -// rs1==x13, rs2==x10, rs2_val == -16777217, rs1_val == 8388608 -// opcode: bge, op1:x13; op2:x10; op1val:0x800000; op2val:-0x1000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x13, x10, 0x800000, -0x1000001, 0x2, 1b, x2, 32,0) -RVTEST_SIGBASE( x7,signature_x7_0) - -inst_9: -// rs1==x1, rs2==x3, rs2_val == -8388609, rs1_val == 268435456 -// opcode: bge, op1:x1; op2:x3; op1val:0x10000000; op2val:-0x800001; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x9, x1, x3, 0x10000000, -0x800001, 0x80, 3f, x7, 0,0) - -inst_10: -// rs1==x2, rs2==x13, rs2_val == -4194305, rs1_val == 32768 -// opcode: bge, op1:x2; op2:x13; op1val:0x8000; op2val:-0x400001; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x9, x2, x13, 0x8000, -0x400001, 0x40, 3f, x7, 4,0) - -inst_11: -// rs1==x14, rs2==x5, rs2_val == -2097153, rs1_val == 2147483647 -// opcode: bge, op1:x14; op2:x5; op1val:0x7fffffff; op2val:-0x200001; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x9, x14, x5, 0x7fffffff, -0x200001, 0x100, 3f, x7, 8,0) - -inst_12: -// rs1==x10, rs2==x15, rs2_val == -1048577, rs1_val == -262145 -// opcode: bge, op1:x10; op2:x15; op1val:-0x40001; op2val:-0x100001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x9, x10, x15, -0x40001, -0x100001, 0x8, 3f, x7, 12,0) - -inst_13: -// rs1==x6, rs2==x8, rs2_val == -524289, -// opcode: bge, op1:x6; op2:x8; op1val:0x0; op2val:-0x80001; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x9, x6, x8, 0x0, -0x80001, 0x0, 1b, x7, 16,0) - -inst_14: -// rs1==x4, rs2==x14, rs2_val == -262145, rs1_val == 65536 -// opcode: bge, op1:x4; op2:x14; op1val:0x10000; op2val:-0x40001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x9, x4, x14, 0x10000, -0x40001, 0x2, 1b, x7, 20,0) - -inst_15: -// rs1==x15, rs2==x2, rs2_val == -131073, -// opcode: bge, op1:x15; op2:x2; op1val:0x55555554; op2val:-0x20001; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x15, x2, 0x55555554, -0x20001, 0x80, 3f, x7, 24,0) - -inst_16: -// rs2_val == -65537, rs1_val == -3 -// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:-0x10001; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, -0x10001, 0x0, 1b, x7, 28,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs2_val == -32769, rs1_val == 1431655765 -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x8001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x8001, 0x8, 3f, x1, 0,0) - -inst_18: -// rs2_val == -16385, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x4001; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x4001, 0x100, 3f, x1, 4,0) - -inst_19: -// rs2_val == -8193, rs1_val == -131073 -// opcode: bge, op1:x10; op2:x11; op1val:-0x20001; op2val:-0x2001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x20001, -0x2001, 0x4, 3f, x1, 8,0) - -inst_20: -// rs2_val == -4097, rs1_val == -1048577 -// opcode: bge, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x1001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x100001, -0x1001, 0x400, 1b, x1, 12,0) - -inst_21: -// rs2_val == -2049, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x801; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x801, 0x20, 3f, x1, 16,0) - -inst_22: -// rs2_val == -1025, rs1_val == 512 -// opcode: bge, op1:x10; op2:x11; op1val:0x200; op2val:-0x401; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x200, -0x401, 0x4, 3f, x1, 20,0) - -inst_23: -// rs2_val == -513, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x201, 0x556, 1b, x1, 24,0) - -inst_24: -// rs2_val == -257, rs1_val == -16777217 -// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x101; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x101, 0x40, 3f, x1, 28,0) - -inst_25: -// rs2_val == -129, -// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x81; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x81, 0x0, 3f, x1, 32,0) - -inst_26: -// rs2_val == -65, -// opcode: bge, op1:x10; op2:x11; op1val:0x80; op2val:-0x41; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x80, -0x41, 0x400, 3f, x1, 36,0) - -inst_27: -// rs2_val == -33, -// opcode: bge, op1:x10; op2:x11; op1val:-0x1; op2val:-0x21; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1, -0x21, 0x100, 3f, x1, 40,0) - -inst_28: -// rs2_val == -17, -// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x11; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x11, 0xa, 1b, x1, 44,0) - -inst_29: -// rs2_val == -9, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x9; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x9, 0x2, 3f, x1, 48,0) - -inst_30: -// rs2_val == -5, rs1_val == 67108864 -// opcode: bge, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000000, -0x5, 0x20, 3f, x1, 52,0) - -inst_31: -// rs2_val == -3, -// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x3, 0x10, 3f, x1, 56,0) - -inst_32: -// rs2_val == -2, rs1_val == -2049 -// opcode: bge, op1:x10; op2:x11; op1val:-0x801; op2val:-0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x801, -0x2, 0x4, 3f, x1, 60,0) - -inst_33: -// rs1_val == -1073741825, rs2_val == 262144 -// opcode: bge, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x40000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x40000001, 0x40000, 0xa, 1b, x1, 64,0) - -inst_34: -// rs1_val == -536870913, rs2_val == 32 -// opcode: bge, op1:x10; op2:x11; op1val:-0x20000001; op2val:0x20; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x20000001, 0x20, 0xa, 1b, x1, 68,0) - -inst_35: -// rs1_val == -268435457, -// opcode: bge, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x10000001, 0x0, 0x2, 1b, x1, 72,0) - -inst_36: -// rs1_val == -134217729, -// opcode: bge, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x7; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x8000001, -0x7, 0x20, 3f, x1, 76,0) - -inst_37: -// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x7fffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x4000001, 0x7fffffff, 0x400, 1b, x1, 80,0) - -inst_38: -// rs1_val == -33554433, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2000001, 0x33333333, 0x8, 3f, x1, 84,0) - -inst_39: -// rs1_val == -8388609, -// opcode: bge, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x40001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x800001, -0x40001, 0x8, 3f, x1, 88,0) - -inst_40: -// rs1_val == -4194305, -// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, -0x4, 0x0, 1b, x1, 92,0) - -inst_41: -// rs1_val == -2097153, rs2_val == 2 -// opcode: bge, op1:x10; op2:x11; op1val:-0x200001; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x200001, 0x2, 0x0, 1b, x1, 96,0) - -inst_42: -// rs1_val == -524289, -// opcode: bge, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x4001; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x80001, -0x4001, 0xa, 1b, x1, 100,0) - -inst_43: -// rs1_val == -16385, rs2_val == 1073741824 -// opcode: bge, op1:x10; op2:x11; op1val:-0x4001; op2val:0x40000000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x4001, 0x40000000, 0x40, 3f, x1, 104,0) - -inst_44: -// rs1_val == -8193, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2001; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2001, 0x2, 0x4, 3f, x1, 108,0) - -inst_45: -// rs1_val == -4097, rs2_val == -1431655766 -// opcode: bge, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1001, -0x55555556, 0xa, 1b, x1, 112,0) - -inst_46: -// rs1_val == -1025, -// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:-0x10000001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, -0x10000001, 0x400, 3f, x1, 116,0) - -inst_47: -// rs1_val == -513, -// opcode: bge, op1:x10; op2:x11; op1val:-0x201; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x201, 0x0, 0x556, 1b, x1, 120,0) - -inst_48: -// rs1_val == -257, -// opcode: bge, op1:x10; op2:x11; op1val:-0x101; op2val:0x20; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x101, 0x20, 0x4, 1b, x1, 124,0) - -inst_49: -// rs1_val == -129, -// opcode: bge, op1:x10; op2:x11; op1val:-0x81; op2val:-0x40000001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x81, -0x40000001, 0x8, 1b, x1, 128,0) - -inst_50: -// rs1_val == -65, -// opcode: bge, op1:x10; op2:x11; op1val:-0x41; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x41, 0xb503, 0x8, 1b, x1, 132,0) - -inst_51: -// rs1_val == -33, -// opcode: bge, op1:x10; op2:x11; op1val:-0x21; op2val:-0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x21, -0x40000000, 0x2, 3f, x1, 136,0) - -inst_52: -// rs1_val == -17, -// opcode: bge, op1:x10; op2:x11; op1val:-0x11; op2val:-0x100001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x11, -0x100001, 0x4, 3f, x1, 140,0) - -inst_53: -// rs1_val == -9, -// opcode: bge, op1:x10; op2:x11; op1val:-0x9; op2val:-0x2001; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x9, -0x2001, 0x200, 3f, x1, 144,0) - -inst_54: -// rs1_val == -5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:-0x201; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, -0x201, 0x2, 1b, x1, 148,0) - -inst_55: -// rs1_val == -2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2, 0x33333334, 0x100, 3f, x1, 152,0) - -inst_56: -// rs2_val == -2147483648, rs1_val == -1431655766 -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x80000000, 0x6, 1b, x1, 156,0) - -inst_57: -// rs2_val == 536870912, rs1_val == 32 -// opcode: bge, op1:x10; op2:x11; op1val:0x20; op2val:0x20000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x20, 0x20000000, 0x6, 3f, x1, 160,0) - -inst_58: -// rs2_val == 268435456, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x10000000, 0x4, 1b, x1, 164,0) - -inst_59: -// rs2_val == 134217728, rs1_val == 524288 -// opcode: bge, op1:x10; op2:x11; op1val:0x80000; op2val:0x8000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x80000, 0x8000000, 0x4, 1b, x1, 168,0) - -inst_60: -// rs2_val == 67108864, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4000000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4000000, 0xa, 1b, x1, 172,0) - -inst_61: -// rs2_val == 33554432, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2000000, 0x6, 3f, x1, 176,0) - -inst_62: -// rs2_val == 16777216, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1000000; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x1000000, 0x10, 3f, x1, 180,0) - -inst_63: -// rs2_val == 8388608, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800000, 0x800000, 0x80, 3f, x1, 184,0) - -inst_64: -// rs2_val == 4194304, -// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, 0x400000, 0x6, 3f, x1, 188,0) - -inst_65: -// rs2_val == 2097152, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x200000; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x200000, 0x80, 3f, x1, 192,0) - -inst_66: -// rs2_val == 1048576, -// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:0x100000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, 0x100000, 0x40, 3f, x1, 196,0) - -inst_67: -// rs2_val == 524288, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000000; op2val:0x80000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000000, 0x80000, 0x4, 3f, x1, 200,0) - -inst_68: -// rs2_val == 131072, rs1_val == 8 -// opcode: bge, op1:x10; op2:x11; op1val:0x8; op2val:0x20000; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x8, 0x20000, 0x556, 1b, x1, 204,0) - -inst_69: -// rs2_val == 65536, rs1_val == 1024 -// opcode: bge, op1:x10; op2:x11; op1val:0x400; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x400, 0x10000, 0x40, 3f, x1, 208,0) - -inst_70: -// rs2_val == 32768, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x8000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x8000, 0xa, 1b, x1, 212,0) - -inst_71: -// rs2_val == 16384, rs1_val == 2048 -// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x4000; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x4000, 0x200, 3f, x1, 216,0) - -inst_72: -// rs2_val == 8192, -// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:0x2000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, 0x2000, 0x40, 3f, x1, 220,0) - -inst_73: -// rs2_val == 4096, rs1_val == 2 -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x1000; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x1000, 0x0, 3f, x1, 224,0) - -inst_74: -// rs2_val == 2048, -// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x800; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x800, 0x400, 3f, x1, 228,0) - -inst_75: -// rs2_val == 1024, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x400; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x400, 0x8, 3f, x1, 232,0) - -inst_76: -// rs2_val == 512, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x200, 0x400, 3f, x1, 236,0) - -inst_77: -// rs2_val == 256, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x100; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x100, 0x400, 3f, x1, 240,0) - -inst_78: -// rs2_val == 128, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x80, 0x8, 1b, x1, 244,0) - -inst_79: -// rs2_val == 64, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x40; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x40, 0x100, 3f, x1, 248,0) - -inst_80: -// rs2_val == 16, -// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:0x10; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, 0x10, 0x200, 3f, x1, 252,0) - -inst_81: -// rs2_val == 8, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x8; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x8, 0x400, 3f, x1, 256,0) - -inst_82: -// rs2_val == 4, rs1_val == 131072 -// opcode: bge, op1:x10; op2:x11; op1val:0x20000; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x20000, 0x4, 0x6, 3f, x1, 260,0) - -inst_83: -// rs2_val == 1, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x1, 0x40, 3f, x1, 264,0) - -inst_84: -// rs1_val == -2147483648, -// opcode: bge, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x80000000, 0x2, 0x8, 1b, x1, 268,0) - -inst_85: -// rs1_val == 1073741824, -// opcode: bge, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x401; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000000, -0x401, 0x10, 3f, x1, 272,0) - -inst_86: -// rs1_val == 134217728, -// opcode: bge, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x8000000, -0x2, 0xa, 1b, x1, 276,0) - -inst_87: -// rs1_val == 33554432, -// opcode: bge, op1:x10; op2:x11; op1val:0x2000000; op2val:-0x40000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000000, -0x40000001, 0x2, 3f, x1, 280,0) - -inst_88: -// rs1_val == 16777216, -// opcode: bge, op1:x10; op2:x11; op1val:0x1000000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000000, 0x0, 0x2, 3f, x1, 284,0) - -inst_89: -// rs1_val == 4194304, -// opcode: bge, op1:x10; op2:x11; op1val:0x400000; op2val:-0x8000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x400000, -0x8000001, 0x2, 3f, x1, 288,0) - -inst_90: -// rs1_val == 2097152, -// opcode: bge, op1:x10; op2:x11; op1val:0x200000; op2val:0x4000000; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x200000, 0x4000000, 0x0, 1b, x1, 292,0) - -inst_91: -// rs1_val == 1048576, -// opcode: bge, op1:x10; op2:x11; op1val:0x100000; op2val:-0x401; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100000, -0x401, 0x0, 1b, x1, 296,0) - -inst_92: -// rs1_val == 262144, -// opcode: bge, op1:x10; op2:x11; op1val:0x40000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000, 0x0, 0x2, 3f, x1, 300,0) - -inst_93: -// rs1_val == 16384, -// opcode: bge, op1:x10; op2:x11; op1val:0x4000; op2val:0x8000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000, 0x8000000, 0x4, 3f, x1, 304,0) - -inst_94: -// rs1_val == 8192, -// opcode: bge, op1:x10; op2:x11; op1val:0x2000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000, 0x0, 0x2, 3f, x1, 308,0) - -inst_95: -// rs1_val == 4096, -// opcode: bge, op1:x10; op2:x11; op1val:0x1000; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000, -0xb503, 0x4, 3f, x1, 312,0) - -inst_96: -// rs1_val == 64, -// opcode: bge, op1:x10; op2:x11; op1val:0x40; op2val:-0x1000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40, -0x1000001, 0x556, 1b, x1, 316,0) - -inst_97: -// rs1_val == 16, -// opcode: bge, op1:x10; op2:x11; op1val:0x10; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10, 0x0, 0x4, 3f, x1, 320,0) - -inst_98: -// rs1_val == 1, -// opcode: bge, op1:x10; op2:x11; op1val:0x1; op2val:0x3fffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1, 0x3fffffff, 0x100, 3f, x1, 324,0) - -inst_99: -// rs1_val==46341 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb505, 0x20, 3f, x1, 328,0) - -inst_100: -// rs1_val==46341 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb503, 0x200, 3f, x1, 332,0) - -inst_101: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666667, 0x0, 3f, x1, 336,0) - -inst_102: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333334, 0x8, 3f, x1, 340,0) - -inst_103: -// rs1_val==46341 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x6, 0x10, 3f, x1, 344,0) - -inst_104: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555555, 0x4, 1b, x1, 348,0) - -inst_105: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555556, 0xa, 1b, x1, 352,0) - -inst_106: -// rs1_val==46341 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x4, 0x100, 3f, x1, 356,0) - -inst_107: -// rs1_val==46341 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb503, 0x2, 1b, x1, 360,0) - -inst_108: -// rs1_val==46341 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x0, 0x4, 1b, x1, 364,0) - -inst_109: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x1, 368,0) - -inst_110: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333332, 0x0, 1b, x1, 372,0) - -inst_111: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555554, 0x400, 1b, x1, 376,0) - -inst_112: -// rs1_val==46341 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x2, 0x2, 1b, x1, 380,0) - -inst_113: -// rs1_val==46341 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb504, 0x2, 3f, x1, 384,0) - -inst_114: -// rs1_val==46341 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb504, 0x20, 3f, x1, 388,0) - -inst_115: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x1, 392,0) - -inst_116: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333333, 0x200, 3f, x1, 396,0) - -inst_117: -// rs1_val==46341 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x5, 0x10, 3f, x1, 400,0) - -inst_118: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555556, 0x20, 3f, x1, 404,0) - -inst_119: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 408,0) - -inst_120: -// rs1_val==46341 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x3, 0x10, 3f, x1, 412,0) - -inst_121: -// rs1_val==-46339 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb505, 0x100, 3f, x1, 416,0) - -inst_122: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb503, 0x2, 3f, x1, 420,0) - -inst_123: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666667, 0x4, 3f, x1, 424,0) - -inst_124: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333334, 0x2, 1b, x1, 428,0) - -inst_125: -// rs1_val==-46339 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x6, 0x4, 1b, x1, 432,0) - -inst_126: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555555, 0x4, 3f, x1, 436,0) - -inst_127: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555556, 0x2, 3f, x1, 440,0) - -inst_128: -// rs1_val==-46339 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x4, 0x8, 1b, x1, 444,0) - -inst_129: -// rs1_val==-46339 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb503, 0x40, 3f, x1, 448,0) - -inst_130: -// rs1_val==-46339 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x0, 0x80, 3f, x1, 452,0) - -inst_131: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666665, 0x100, 3f, x1, 456,0) - -inst_132: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333332, 0x4, 3f, x1, 460,0) - -inst_133: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555554, 0x556, 1b, x1, 464,0) - -inst_134: -// rs1_val==-46339 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x2, 0x100, 3f, x1, 468,0) - -inst_135: -// rs1_val==-46339 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb504, 0x200, 3f, x1, 472,0) - -inst_136: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb504, 0x400, 1b, x1, 476,0) - -inst_137: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666666, 0x2, 3f, x1, 480,0) - -inst_138: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333333, 0x0, 3f, x1, 484,0) - -inst_139: -// rs1_val==-46339 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x5, 0x8, 3f, x1, 488,0) - -inst_140: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555556, 0x2, 1b, x1, 492,0) - -inst_141: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555555, 0x556, 1b, x1, 496,0) - -inst_142: -// rs1_val==-46339 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x3, 0x4, 1b, x1, 500,0) - -inst_143: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb505, 0x8, 3f, x1, 504,0) - -inst_144: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb503, 0x2, 1b, x1, 508,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666667, 0xa, 1b, x1, 512,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333334, 0x100, 3f, x1, 516,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x6, 0x10, 3f, x1, 520,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555555, 0x100, 3f, x1, 524,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x1, 528,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x4, 0x6, 1b, x1, 532,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x1, 536,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x0, 0x100, 3f, x1, 540,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666665, 0x2, 1b, x1, 544,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x1, 548,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555554, 0x556, 1b, x1, 552,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x2, 0x100, 3f, x1, 556,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb504, 0x10, 3f, x1, 560,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb504, 0x200, 3f, x1, 564,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666666, 0x0, 3f, x1, 568,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333333, 0x400, 1b, x1, 572,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x5, 0x200, 3f, x1, 576,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555556, 0x2, 3f, x1, 580,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555555, 0x10, 3f, x1, 584,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x3, 0x2, 3f, x1, 588,0) - -inst_165: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x1, 592,0) - -inst_166: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb503, 0x10, 3f, x1, 596,0) - -inst_167: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666667, 0x100, 3f, x1, 600,0) - -inst_168: -// rs1_val==858993460 and rs2_val==858993460, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x1, 604,0) - -inst_169: -// rs1_val==858993460 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x6, 0x8, 1b, x1, 608,0) - -inst_170: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555555, 0x6, 1b, x1, 612,0) - -inst_171: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555556, 0x8, 3f, x1, 616,0) - -inst_172: -// rs1_val==858993460 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x4, 0x400, 1b, x1, 620,0) - -inst_173: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x1, 624,0) - -inst_174: -// rs1_val==858993460 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x0, 0x10, 3f, x1, 628,0) - -inst_175: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666665, 0x400, 3f, x1, 632,0) - -inst_176: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333332, 0x80, 3f, x1, 636,0) - -inst_177: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555554, 0x2, 1b, x1, 640,0) - -inst_178: -// rs1_val==858993460 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x2, 0x0, 1b, x1, 644,0) - -inst_179: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb504, 0x400, 3f, x1, 648,0) - -inst_180: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb504, 0x10, 3f, x1, 652,0) - -inst_181: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666666, 0x6, 3f, x1, 656,0) - -inst_182: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333333, 0x0, 3f, x1, 660,0) - -inst_183: -// rs1_val==858993460 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x5, 0x0, 1b, x1, 664,0) - -inst_184: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555556, 0x6, 1b, x1, 668,0) - -inst_185: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555555, 0x2, 3f, x1, 672,0) - -inst_186: -// rs1_val==858993460 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x3, 0x8, 3f, x1, 676,0) - -inst_187: -// rs1_val==6 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 680,0) - -inst_188: -// rs1_val==6 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb503, 0x40, 3f, x1, 684,0) - -inst_189: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666667, 0x4, 3f, x1, 688,0) - -inst_190: -// rs1_val==6 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333334, 0x4, 1b, x1, 692,0) - -inst_191: -// rs1_val==6 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x6, 0x0, 1b, x1, 696,0) - -inst_192: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555555, 0x2, 1b, x1, 700,0) - -inst_193: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555556, 0x10, 3f, x1, 704,0) - -inst_194: -// rs1_val==6 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x4, 0x400, 3f, x1, 708,0) - -inst_195: -// rs1_val==6 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb503, 0x10, 3f, x1, 712,0) - -inst_196: -// rs1_val==6 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x0, 0x0, 1b, x1, 716,0) - -inst_197: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666665, 0x80, 3f, x1, 720,0) - -inst_198: -// rs1_val==6 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333332, 0x40, 3f, x1, 724,0) - -inst_199: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555554, 0xa, 1b, x1, 728,0) - -inst_200: -// rs1_val==6 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x2, 0x2, 1b, x1, 732,0) - -inst_201: -// rs1_val==6 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb504, 0x6, 3f, x1, 736,0) - -inst_202: -// rs1_val==6 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb504, 0x400, 3f, x1, 740,0) - -inst_203: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666666, 0x6, 1b, x1, 744,0) - -inst_204: -// rs1_val==6 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333333, 0x2, 1b, x1, 748,0) - -inst_205: -// rs1_val==6 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 752,0) - -inst_206: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555556, 0x0, 1b, x1, 756,0) - -inst_207: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x1, 760,0) - -inst_208: -// rs1_val==6 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x3, 0x2, 3f, x1, 764,0) - -inst_209: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb505, 0x2, 1b, x1, 768,0) - -inst_210: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb503, 0x4, 3f, x1, 772,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666667, 0x0, 3f, x1, 776,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333334, 0x0, 1b, x1, 780,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 784,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555555, 0x10, 3f, x1, 788,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555556, 0x8, 3f, x1, 792,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x4, 0x4, 3f, x1, 796,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb503, 0x20, 3f, x1, 800,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x0, 0x20, 3f, x1, 804,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666665, 0x2, 1b, x1, 808,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333332, 0x200, 3f, x1, 812,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555554, 0x400, 3f, x1, 816,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x2, 0x6, 3f, x1, 820,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb504, 0x6, 3f, x1, 824,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb504, 0x0, 3f, x1, 828,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666666, 0x400, 3f, x1, 832,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333333, 0x8, 3f, x1, 836,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x5, 0x8, 1b, x1, 840,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555556, 0x2, 1b, x1, 844,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x1, 848,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x3, 0x8, 1b, x1, 852,0) - -inst_231: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb505, 0x40, 3f, x1, 856,0) - -inst_232: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb503, 0x556, 1b, x1, 860,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666667, 0x2, 3f, x1, 864,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x1, 868,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x6, 0x40, 3f, x1, 872,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555555, 0x6, 3f, x1, 876,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555556, 0x2, 1b, x1, 880,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x4, 0x10, 3f, x1, 884,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb503, 0x6, 1b, x1, 888,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x0, 0x10, 3f, x1, 892,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x1, 896,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333332, 0x400, 3f, x1, 900,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555554, 0x100, 3f, x1, 904,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x2, 0x10, 3f, x1, 908,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb504, 0x400, 3f, x1, 912,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb504, 0x8, 1b, x1, 916,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666666, 0x100, 3f, x1, 920,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x1, 924,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x5, 0xa, 1b, x1, 928,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555556, 0x0, 1b, x1, 932,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555555, 0x200, 3f, x1, 936,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 940,0) - -inst_253: -// rs1_val==4 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb505, 0x20, 3f, x1, 944,0) - -inst_254: -// rs1_val==4 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb503, 0x200, 3f, x1, 948,0) - -inst_255: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666667, 0x2, 3f, x1, 952,0) - -inst_256: -// rs1_val==4 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x1, 956,0) - -inst_257: -// rs1_val==4 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x6, 0xa, 1b, x1, 960,0) - -inst_258: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555555, 0x20, 3f, x1, 964,0) - -inst_259: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555556, 0xa, 1b, x1, 968,0) - -inst_260: -// rs1_val==4 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x4, 0x6, 1b, x1, 972,0) - -inst_261: -// rs1_val==4 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb503, 0x400, 1b, x1, 976,0) - -inst_262: -// rs1_val==4 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x0, 0x100, 3f, x1, 980,0) - -inst_263: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666665, 0x100, 3f, x1, 984,0) - -inst_264: -// rs1_val==4 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333332, 0x400, 3f, x1, 988,0) - -inst_265: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555554, 0x200, 3f, x1, 992,0) - -inst_266: -// rs1_val==4 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x2, 0x80, 3f, x1, 996,0) - -inst_267: -// rs1_val==4 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb504, 0x400, 1b, x1, 1000,0) - -inst_268: -// rs1_val==4 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb504, 0x0, 3f, x1, 1004,0) - -inst_269: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666666, 0x10, 3f, x1, 1008,0) - -inst_270: -// rs1_val==4 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1012,0) - -inst_271: -// rs1_val==4 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x5, 0x80, 3f, x1, 1016,0) - -inst_272: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555556, 0x6, 3f, x1, 1020,0) - -inst_273: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555555, 0x0, 1b, x1, 1024,0) - -inst_274: -// rs1_val==4 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x3, 0x40, 3f, x1, 1028,0) - -inst_275: -// rs1_val==46339 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb505, 0x2, 1b, x1, 1032,0) - -inst_276: -// rs1_val==46339 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb503, 0x400, 1b, x1, 1036,0) - -inst_277: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x1, 1040,0) - -inst_278: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x1, 1044,0) - -inst_279: -// rs1_val==46339 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x6, 0x2, 3f, x1, 1048,0) - -inst_280: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1052,0) - -inst_281: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555556, 0x2, 1b, x1, 1056,0) - -inst_282: -// rs1_val==46339 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4, 0x2, 1b, x1, 1060,0) - -inst_283: -// rs1_val==46339 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb503, 0x6, 3f, x1, 1064,0) - -inst_284: -// rs1_val==46339 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x0, 0x20, 3f, x1, 1068,0) - -inst_285: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666665, 0x0, 1b, x1, 1072,0) - -inst_286: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333332, 0x0, 1b, x1, 1076,0) - -inst_287: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x1, 1080,0) - -inst_288: -// rs1_val==46339 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x2, 0x8, 1b, x1, 1084,0) - -inst_289: -// rs1_val==46339 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb504, 0x4, 3f, x1, 1088,0) - -inst_290: -// rs1_val==46339 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb504, 0x200, 3f, x1, 1092,0) - -inst_291: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x1, 1096,0) - -inst_292: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333333, 0x100, 3f, x1, 1100,0) - -inst_293: -// rs1_val==46339 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x5, 0x400, 1b, x1, 1104,0) - -inst_294: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555556, 0x4, 1b, x1, 1108,0) - -inst_295: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555555, 0x8, 1b, x1, 1112,0) - -inst_296: -// rs1_val==46339 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x1, 1116,0) - -inst_297: -// rs1_val==0 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb505, 0x2, 1b, x1, 1120,0) - -inst_298: -// rs1_val==0 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb503, 0x8, 3f, x1, 1124,0) - -inst_299: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666667, 0x20, 3f, x1, 1128,0) - -inst_300: -// rs1_val==0 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333334, 0x0, 3f, x1, 1132,0) - -inst_301: -// rs1_val==0 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x6, 0x2, 3f, x1, 1136,0) - -inst_302: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555555, 0x10, 3f, x1, 1140,0) - -inst_303: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555556, 0x4, 3f, x1, 1144,0) - -inst_304: -// rs1_val==0 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x4, 0x0, 1b, x1, 1148,0) - -inst_305: -// rs1_val==0 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb503, 0x2, 3f, x1, 1152,0) - -inst_306: -// rs1_val==0 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x0, 0x8, 1b, x1, 1156,0) - -inst_307: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666665, 0x10, 3f, x1, 1160,0) - -inst_308: -// rs1_val==0 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333332, 0x100, 3f, x1, 1164,0) - -inst_309: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555554, 0x6, 1b, x1, 1168,0) - -inst_310: -// rs1_val==0 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x2, 0x4, 3f, x1, 1172,0) - -inst_311: -// rs1_val==0 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb504, 0x100, 3f, x1, 1176,0) - -inst_312: -// rs1_val==0 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb504, 0x80, 3f, x1, 1180,0) - -inst_313: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666666, 0x2, 1b, x1, 1184,0) - -inst_314: -// rs1_val==0 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333333, 0xa, 1b, x1, 1188,0) - -inst_315: -// rs1_val==0 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x5, 0x80, 3f, x1, 1192,0) - -inst_316: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1196,0) - -inst_317: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555555, 0x400, 3f, x1, 1200,0) - -inst_318: -// rs1_val==0 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x3, 0x100, 3f, x1, 1204,0) - -inst_319: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x1, 1208,0) - -inst_320: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb503, 0x4, 3f, x1, 1212,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666667, 0x8, 1b, x1, 1216,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333334, 0x556, 1b, x1, 1220,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x6, 0x8, 1b, x1, 1224,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x1, 1228,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555556, 0x4, 3f, x1, 1232,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x4, 0x6, 1b, x1, 1236,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb503, 0x8, 1b, x1, 1240,0) - -inst_328: -// rs1_val==858993459 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x0, 0x80, 3f, x1, 1244,0) - -inst_329: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x1, 1248,0) - -inst_330: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x1, 1252,0) - -inst_331: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555554, 0x40, 3f, x1, 1256,0) - -inst_332: -// rs1_val==858993459 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x2, 0x4, 3f, x1, 1260,0) - -inst_333: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb504, 0x0, 3f, x1, 1264,0) - -inst_334: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb504, 0x8, 1b, x1, 1268,0) - -inst_335: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666666, 0x8, 1b, x1, 1272,0) - -inst_336: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333333, 0x556, 1b, x1, 1276,0) - -inst_337: -// rs1_val==858993459 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x5, 0x6, 3f, x1, 1280,0) - -inst_338: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1284,0) - -inst_339: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555555, 0x556, 1b, x1, 1288,0) - -inst_340: -// rs1_val==858993459 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x3, 0x0, 1b, x1, 1292,0) - -inst_341: -// rs1_val==5 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb505, 0x556, 1b, x1, 1296,0) - -inst_342: -// rs1_val==5 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb503, 0x200, 3f, x1, 1300,0) - -inst_343: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666667, 0x0, 1b, x1, 1304,0) - -inst_344: -// rs1_val==5 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333334, 0x6, 1b, x1, 1308,0) - -inst_345: -// rs1_val==5 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x6, 0x2, 3f, x1, 1312,0) - -inst_346: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555555, 0x20, 3f, x1, 1316,0) - -inst_347: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555556, 0x400, 3f, x1, 1320,0) - -inst_348: -// rs1_val==5 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x1, 1324,0) - -inst_349: -// rs1_val==5 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb503, 0x6, 1b, x1, 1328,0) - -inst_350: -// rs1_val==5 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x0, 0x200, 3f, x1, 1332,0) - -inst_351: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666665, 0x6, 3f, x1, 1336,0) - -inst_352: -// rs1_val==5 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333332, 0x556, 1b, x1, 1340,0) - -inst_353: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555554, 0x2, 1b, x1, 1344,0) - -inst_354: -// rs1_val==5 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x2, 0x6, 1b, x1, 1348,0) - -inst_355: -// rs1_val==5 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb504, 0xa, 1b, x1, 1352,0) - -inst_356: -// rs1_val==5 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb504, 0x2, 1b, x1, 1356,0) - -inst_357: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x1, 1360,0) - -inst_358: -// rs1_val==5 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333333, 0x80, 3f, x1, 1364,0) - -inst_359: -// rs1_val==5 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x1, 1368,0) - -inst_360: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1372,0) - -inst_361: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555555, 0x100, 3f, x1, 1376,0) - -inst_362: -// rs1_val==5 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x3, 0x6, 3f, x1, 1380,0) - -inst_363: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x1, 1384,0) - -inst_364: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb503, 0xa, 1b, x1, 1388,0) - -inst_365: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666667, 0x400, 3f, x1, 1392,0) - -inst_366: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333334, 0x200, 3f, x1, 1396,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x6, 0x40, 3f, x1, 1400,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555555, 0x8, 1b, x1, 1404,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555556, 0x8, 1b, x1, 1408,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x4, 0x2, 1b, x1, 1412,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb503, 0x20, 3f, x1, 1416,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x0, 0x0, 1b, x1, 1420,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666665, 0x200, 3f, x1, 1424,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333332, 0xa, 1b, x1, 1428,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555554, 0x2, 3f, x1, 1432,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x2, 0x6, 3f, x1, 1436,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb504, 0x4, 3f, x1, 1440,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb504, 0x2, 3f, x1, 1444,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666666, 0x2, 3f, x1, 1448,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333333, 0x200, 3f, x1, 1452,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x5, 0x556, 1b, x1, 1456,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555556, 0x8, 3f, x1, 1460,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555555, 0x200, 3f, x1, 1464,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x3, 0x4, 3f, x1, 1468,0) - -inst_385: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb505, 0xa, 1b, x1, 1472,0) - -inst_386: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb503, 0x4, 3f, x1, 1476,0) - -inst_387: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x1, 1480,0) - -inst_388: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333334, 0x0, 3f, x1, 1484,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x6, 0x6, 3f, x1, 1488,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555555, 0x6, 1b, x1, 1492,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555556, 0x8, 3f, x1, 1496,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x4, 0x200, 3f, x1, 1500,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb503, 0x0, 3f, x1, 1504,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1508,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x1, 1512,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333332, 0x40, 3f, x1, 1516,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555554, 0x4, 1b, x1, 1520,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x2, 0x10, 3f, x1, 1524,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb504, 0x8, 3f, x1, 1528,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb504, 0x2, 3f, x1, 1532,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666666, 0x20, 3f, x1, 1536,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333333, 0xa, 1b, x1, 1540,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x5, 0x6, 1b, x1, 1544,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555556, 0x8, 3f, x1, 1548,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555555, 0x200, 3f, x1, 1552,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x3, 0x6, 3f, x1, 1556,0) - -inst_407: -// rs1_val==3 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb505, 0x0, 1b, x1, 1560,0) - -inst_408: -// rs1_val==3 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb503, 0x80, 3f, x1, 1564,0) - -inst_409: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666667, 0x2, 3f, x1, 1568,0) - -inst_410: -// rs1_val==3 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333334, 0x4, 3f, x1, 1572,0) - -inst_411: -// rs1_val==3 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x6, 0x8, 3f, x1, 1576,0) - -inst_412: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555555, 0x10, 3f, x1, 1580,0) - -inst_413: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555556, 0x4, 3f, x1, 1584,0) - -inst_414: -// rs1_val==3 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x4, 0x2, 1b, x1, 1588,0) - -inst_415: -// rs1_val==3 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb503, 0x8, 1b, x1, 1592,0) - -inst_416: -// rs1_val==3 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x0, 0x80, 3f, x1, 1596,0) - -inst_417: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666665, 0x20, 3f, x1, 1600,0) - -inst_418: -// rs1_val==3 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333332, 0x0, 3f, x1, 1604,0) - -inst_419: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555554, 0x80, 3f, x1, 1608,0) - -inst_420: -// rs1_val==3 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x2, 0x8, 3f, x1, 1612,0) - -inst_421: -// rs1_val==3 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb504, 0x400, 3f, x1, 1616,0) - -inst_422: -// rs1_val==3 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb504, 0x100, 3f, x1, 1620,0) - -inst_423: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x1, 1624,0) - -inst_424: -// rs1_val==3 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333333, 0x400, 3f, x1, 1628,0) - -inst_425: -// rs1_val==3 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x5, 0x200, 3f, x1, 1632,0) - -inst_426: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555556, 0x400, 1b, x1, 1636,0) - -inst_427: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555555, 0x400, 1b, x1, 1640,0) - -inst_428: -// rs1_val==3 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x3, 0x4, 1b, x1, 1644,0) - -inst_429: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x0, 0x8, 1b, x1, 1648,0) - -inst_430: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666665, 0xa, 1b, x1, 1652,0) - -inst_431: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333332, 0x200, 3f, x1, 1656,0) - -inst_432: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555554, 0x400, 1b, x1, 1660,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x2, 0x556, 1b, x1, 1664,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb504, 0x40, 3f, x1, 1668,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb504, 0x8, 1b, x1, 1672,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 1b, x1, 1676,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x1, 1680,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x5, 0x0, 3f, x1, 1684,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555556, 0x400, 3f, x1, 1688,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x1, 1692,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x3, 0x10, 3f, x1, 1696,0) - -inst_442: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb505, 0x4, 1b, x1, 1700,0) - -inst_443: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb503, 0x8, 3f, x1, 1704,0) - -inst_444: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666667, 0x4, 3f, x1, 1708,0) - -inst_445: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333334, 0x6, 3f, x1, 1712,0) - -inst_446: -// rs1_val==858993458 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x6, 0x20, 3f, x1, 1716,0) - -inst_447: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555555, 0x2, 3f, x1, 1720,0) - -inst_448: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555556, 0x2, 3f, x1, 1724,0) - -inst_449: -// rs1_val==858993458 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x4, 0x0, 1b, x1, 1728,0) - -inst_450: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb503, 0x6, 3f, x1, 1732,0) - -inst_451: -// rs1_val==858993458 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x0, 0xa, 1b, x1, 1736,0) - -inst_452: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666665, 0x8, 3f, x1, 1740,0) - -inst_453: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x1, 1744,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555554, 0x556, 1b, x1, 1748,0) - -inst_455: -// rs1_val==858993458 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x2, 0x100, 3f, x1, 1752,0) - -inst_456: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb504, 0x8, 3f, x1, 1756,0) - -inst_457: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb504, 0x100, 3f, x1, 1760,0) - -inst_458: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666666, 0x400, 1b, x1, 1764,0) - -inst_459: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333333, 0x400, 1b, x1, 1768,0) - -inst_460: -// rs1_val==858993458 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x1, 1772,0) - -inst_461: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555556, 0x4, 3f, x1, 1776,0) - -inst_462: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555555, 0x6, 1b, x1, 1780,0) - -inst_463: -// rs1_val==858993458 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x3, 0x10, 3f, x1, 1784,0) - -inst_464: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb505, 0x4, 3f, x1, 1788,0) - -inst_465: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb503, 0x8, 1b, x1, 1792,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666667, 0x2, 1b, x1, 1796,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1800,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x6, 0x2, 3f, x1, 1804,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555555, 0x8, 1b, x1, 1808,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1812,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1816,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x1, 1820,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x0, 0x2, 3f, x1, 1824,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666665, 0x40, 3f, x1, 1828,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x1, 1832,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555554, 0x2, 1b, x1, 1836,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2, 0x80, 3f, x1, 1840,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x1, 1844,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb504, 0x20, 3f, x1, 1848,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666666, 0x40, 3f, x1, 1852,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333333, 0x400, 1b, x1, 1856,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x5, 0x400, 1b, x1, 1860,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555556, 0x40, 3f, x1, 1864,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555555, 0x0, 1b, x1, 1868,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x3, 0x556, 1b, x1, 1872,0) - -inst_486: -// rs1_val==2 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb505, 0x400, 3f, x1, 1876,0) - -inst_487: -// rs1_val==2 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb503, 0x80, 3f, x1, 1880,0) - -inst_488: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666667, 0x4, 3f, x1, 1884,0) - -inst_489: -// rs1_val==2 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333334, 0x100, 3f, x1, 1888,0) - -inst_490: -// rs1_val==2 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x6, 0x20, 3f, x1, 1892,0) - -inst_491: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555555, 0x200, 3f, x1, 1896,0) - -inst_492: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555556, 0x80, 3f, x1, 1900,0) - -inst_493: -// rs1_val==2 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x4, 0x80, 3f, x1, 1904,0) - -inst_494: -// rs1_val==2 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb503, 0x8, 1b, x1, 1908,0) - -inst_495: -// rs1_val==2 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x0, 0x2, 3f, x1, 1912,0) - -inst_496: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666665, 0x8, 1b, x1, 1916,0) - -inst_497: -// rs1_val==2 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333332, 0x2, 3f, x1, 1920,0) - -inst_498: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555554, 0x100, 3f, x1, 1924,0) - -inst_499: -// rs1_val==2 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x2, 0x100, 3f, x1, 1928,0) - -inst_500: -// rs1_val==2 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb504, 0x2, 1b, x1, 1932,0) - -inst_501: -// rs1_val==2 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb504, 0x4, 3f, x1, 1936,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666666, 0x4, 3f, x1, 1940,0) - -inst_503: -// rs1_val==2 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x1, 1944,0) - -inst_504: -// rs1_val==2 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x5, 0x6, 1b, x1, 1948,0) - -inst_505: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555556, 0x6, 1b, x1, 1952,0) - -inst_506: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555555, 0x80, 3f, x1, 1956,0) - -inst_507: -// rs1_val==2 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x1, 1960,0) - -inst_508: -// rs1_val==46340 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb505, 0x2, 1b, x1, 1964,0) - -inst_509: -// rs1_val==46340 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb503, 0x100, 3f, x1, 1968,0) - -inst_510: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666667, 0x80, 3f, x1, 1972,0) - -inst_511: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333334, 0x10, 3f, x1, 1976,0) - -inst_512: -// rs1_val==46340 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x6, 0x2, 3f, x1, 1980,0) - -inst_513: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555555, 0x20, 3f, x1, 1984,0) - -inst_514: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x1, 1988,0) - -inst_515: -// rs1_val==46340 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x4, 0x8, 1b, x1, 1992,0) - -inst_516: -// rs1_val==46340 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x1, 1996,0) - -inst_517: -// rs1_val==46340 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2000,0) - -inst_518: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666665, 0x100, 3f, x1, 2004,0) - -inst_519: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x1, 2008,0) - -inst_520: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555554, 0x6, 3f, x1, 2012,0) - -inst_521: -// rs1_val==46340 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x2, 0x100, 3f, x1, 2016,0) - -inst_522: -// rs1_val==46340 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb504, 0x4, 3f, x1, 2020,0) - -inst_523: -// rs1_val==46340 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb504, 0xa, 1b, x1, 2024,0) - -inst_524: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x1, 2028,0) - -inst_525: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333333, 0x8, 3f, x1, 2032,0) - -inst_526: -// rs1_val==46340 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x5, 0x0, 1b, x1, 2036,0) - -inst_527: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555556, 0x6, 1b, x1, 2040,0) - -inst_528: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555555, 0x100, 3f, x1, 2044,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_529: -// rs1_val==46340 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x3, 0x8, 3f, x1, 0,0) - -inst_530: -// rs1_val==-46340 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb505, 0x400, 1b, x1, 4,0) - -inst_531: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb503, 0x6, 3f, x1, 8,0) - -inst_532: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x1, 12,0) - -inst_533: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333334, 0x100, 3f, x1, 16,0) - -inst_534: -// rs1_val==-46340 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x6, 0x400, 1b, x1, 20,0) - -inst_535: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x1, 24,0) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555556, 0x20, 3f, x1, 28,0) - -inst_537: -// rs1_val==-46340 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x4, 0x2, 1b, x1, 32,0) - -inst_538: -// rs1_val==-46340 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 36,0) - -inst_539: -// rs1_val==-46340 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x0, 0x2, 1b, x1, 40,0) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x1, 44,0) - -inst_541: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333332, 0x2, 3f, x1, 48,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555554, 0x6, 3f, x1, 52,0) - -inst_543: -// rs1_val==-46340 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x2, 0x6, 1b, x1, 56,0) - -inst_544: -// rs1_val==-46340 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb504, 0x2, 3f, x1, 60,0) - -inst_545: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb504, 0x556, 1b, x1, 64,0) - -inst_546: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666666, 0x20, 3f, x1, 68,0) - -inst_547: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333333, 0x40, 3f, x1, 72,0) - -inst_548: -// rs1_val==-46340 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x5, 0x2, 3f, x1, 76,0) - -inst_549: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555556, 0x400, 1b, x1, 80,0) - -inst_550: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555555, 0x100, 3f, x1, 84,0) - -inst_551: -// rs1_val==-46340 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 88,0) - -inst_552: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb505, 0x10, 3f, x1, 92,0) - -inst_553: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb503, 0x20, 3f, x1, 96,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666667, 0x2, 1b, x1, 100,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 104,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x6, 0x10, 3f, x1, 108,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555555, 0x4, 1b, x1, 112,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555556, 0x2, 3f, x1, 116,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x1, 120,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb503, 0x6, 3f, x1, 124,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x0, 0x80, 3f, x1, 128,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666665, 0x2, 1b, x1, 132,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x1, 136,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x1, 140,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x2, 0x2, 1b, x1, 144,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb504, 0x0, 1b, x1, 148,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb504, 0x20, 3f, x1, 152,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x1, 156,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333333, 0x200, 3f, x1, 160,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x1, 164,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 168,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x1, 172,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x3, 0x6, 1b, x1, 176,0) - -inst_574: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb505, 0x20, 3f, x1, 180,0) - -inst_575: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb503, 0x6, 1b, x1, 184,0) - -inst_576: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666667, 0x400, 1b, x1, 188,0) - -inst_577: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333334, 0x0, 3f, x1, 192,0) - -inst_578: -// rs1_val==858993459 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 196,0) - -inst_579: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555555, 0x8, 3f, x1, 200,0) - -inst_580: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555556, 0x80, 3f, x1, 204,0) - -inst_581: -// rs1_val==858993459 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x4, 0x200, 3f, x1, 208,0) - -inst_582: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb503, 0x8, 1b, x1, 212,0) - -inst_583: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x8; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x10001, -0x8, 0x400, 1b, x1, 216,0) - -inst_584: -// rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x20000001; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x8001, -0x20000001, 0x20, 3f, x1, 220,0) - -inst_585: -// rs2_val == -67108865, rs1_val == 256 -// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:-0x4000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, -0x4000001, 0x556, 1b, x1, 224,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x7_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S deleted file mode 100644 index e4d89fc09..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S +++ /dev/null @@ -1,3724 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bgeu instruction of the RISC-V E extension for the bgeu covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bgeu) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x5, rs2==x5, rs1_val > 0 and rs2_val > 0, rs2_val == 4294967039, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 -// opcode: bgeu, op1:x5; op2:x5; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x3, x5, x5, 0xdfffffff, 0xdfffffff, 0x400, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x1, rs2==x10, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs1_val == 4261412863 -// opcode: bgeu, op1:x1; op2:x10; op1val:0xfdffffff; op2val:0x7fffffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x3, x1, x10, 0xfdffffff, 0x7fffffff, 0x4, 3f, x2, 4,0) - -inst_2: -// rs1==x0, rs2==x9, rs2_val == 3221225471, -// opcode: bgeu, op1:x0; op2:x9; op1val:0x0; op2val:0xbfffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x3, x0, x9, 0x0, 0xbfffffff, 0xa, 1b, x2, 8,0) - -inst_3: -// rs1==x7, rs2==x11, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 -// opcode: bgeu, op1:x7; op2:x11; op1val:0x13; op2val:0xdfffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x3, x7, x11, 0x13, 0xdfffffff, 0x6, 3f, x2, 12,0) - -inst_4: -// rs1==x6, rs2==x12, rs2_val == 4026531839, rs1_val == 2 -// opcode: bgeu, op1:x6; op2:x12; op1val:0x2; op2val:0xefffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x3, x6, x12, 0x2, 0xefffffff, 0x8, 3f, x2, 16,0) - -inst_5: -// rs1==x9, rs2==x13, rs2_val == 4160749567, rs1_val == 3221225471 -// opcode: bgeu, op1:x9; op2:x13; op1val:0xbfffffff; op2val:0xf7ffffff; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x3, x9, x13, 0xbfffffff, 0xf7ffffff, 0x10, 3f, x2, 20,0) - -inst_6: -// rs1==x11, rs2==x7, rs2_val == 4227858431, -// opcode: bgeu, op1:x11; op2:x7; op1val:0xb505; op2val:0xfbffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x3, x11, x7, 0xb505, 0xfbffffff, 0x2, 3f, x2, 24,0) - -inst_7: -// rs1==x13, rs2==x6, rs2_val == 4261412863, rs1_val == 1 -// opcode: bgeu, op1:x13; op2:x6; op1val:0x1; op2val:0xfdffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x3, x13, x6, 0x1, 0xfdffffff, 0x2, 3f, x2, 28,0) - -inst_8: -// rs1==x12, rs2==x15, rs2_val == 4278190079, -// opcode: bgeu, op1:x12; op2:x15; op1val:0x66666666; op2val:0xfeffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x3, x12, x15, 0x66666666, 0xfeffffff, 0x0, 1b, x2, 32,0) - -inst_9: -// rs1==x10, rs2==x14, rs2_val == 4286578687, -// opcode: bgeu, op1:x10; op2:x14; op1val:0x33333333; op2val:0xff7fffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x3, x10, x14, 0x33333333, 0xff7fffff, 0x6, 3f, x2, 36,0) - -inst_10: -// rs1==x3, rs2==x8, rs2_val == 4290772991, -// opcode: bgeu, op1:x3; op2:x8; op1val:0x12; op2val:0xffbfffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x3, x8, 0x12, 0xffbfffff, 0x4, 1b, x2, 40,0) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_11: -// rs1==x4, rs2==x2, rs2_val == 4292870143, -// opcode: bgeu, op1:x4; op2:x2; op1val:0x6; op2val:0xffdfffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x4, x2, 0x6, 0xffdfffff, 0x6, 1b, x5, 0,0) - -inst_12: -// rs1==x15, rs2==x3, rs2_val == 4293918719, -// opcode: bgeu, op1:x15; op2:x3; op1val:0x5; op2val:0xffefffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x15, x3, 0x5, 0xffefffff, 0x2, 1b, x5, 4,0) - -inst_13: -// rs1==x14, rs2==x1, rs2_val == 4294443007, rs1_val == 4293918719 -// opcode: bgeu, op1:x14; op2:x1; op1val:0xffefffff; op2val:0xfff7ffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x14, x1, 0xffefffff, 0xfff7ffff, 0x80, 3f, x5, 8,0) - -inst_14: -// rs1==x8, rs2==x4, rs2_val == 4294705151, -// opcode: bgeu, op1:x8; op2:x4; op1val:0x3; op2val:0xfffbffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x8, x4, 0x3, 0xfffbffff, 0x4, 1b, x5, 12,0) - -inst_15: -// rs1==x2, rs2==x0, rs2_val == 4294836223, rs1_val == 2147483647 -// opcode: bgeu, op1:x2; op2:x0; op1val:0x7fffffff; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x2, x0, 0x7fffffff, 0x0, 0x2, 1b, x5, 16,0) - -inst_16: -// rs2_val == 4294901759, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffeffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffeffff, 0x4, 3f, x5, 20,0) - -inst_17: -// rs2_val == 4294934527, rs1_val == 4 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff7fff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff7fff, 0x6, 3f, x5, 24,0) - -inst_18: -// rs2_val == 4294950911, rs1_val == 4294443007 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0xffffbfff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfff7ffff, 0xffffbfff, 0x2, 1b, x5, 28,0) - -inst_19: -// rs2_val == 4294959103, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffffdfff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffffdfff, 0x20, 3f, x5, 32,0) - -inst_20: -// rs2_val == 4294963199, rs1_val == 4286578687 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0xffffefff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xff7fffff, 0xffffefff, 0x100, 3f, x5, 36,0) - -inst_21: -// rs2_val == 4294965247, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffff7ff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffff7ff, 0x400, 1b, x5, 40,0) - -inst_22: -// rs2_val == 4294966271, rs1_val == 268435456 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xfffffbff; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000000, 0xfffffbff, 0x8, 1b, x5, 44,0) - -inst_23: -// rs2_val == 4294966783, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffffdff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffffdff, 0x4, 3f, x5, 48,0) - -inst_24: -// rs2_val == 4294967167, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffffff7f; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffffff7f, 0x0, 3f, x5, 52,0) - -inst_25: -// rs2_val == 4294967231, rs1_val == 4294967293 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xffffffbf; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffd, 0xffffffbf, 0x0, 3f, x5, 56,0) - -inst_26: -// rs2_val == 4294967263, rs1_val == 16777216 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0xffffffdf; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0xffffffdf, 0x100, 3f, x5, 60,0) - -inst_27: -// rs2_val == 4294967279, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xffffffef; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xffffffef, 0x80, 3f, x5, 64,0) - -inst_28: -// rs2_val == 4294967287, rs1_val == 67108864 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000000; op2val:0xfffffff7; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000000, 0xfffffff7, 0xa, 1b, x5, 68,0) - -inst_29: -// rs2_val == 4294967291, rs1_val == 32768 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffffb; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000, 0xfffffffb, 0xa, 1b, x5, 72,0) - -inst_30: -// rs2_val == 4294967293, rs1_val == 524288 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000; op2val:0xfffffffd; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000, 0xfffffffd, 0x10, 3f, x5, 76,0) - -inst_31: -// rs2_val == 4294967294, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xdfffffff; op2val:0xfffffffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xdfffffff, 0xfffffffe, 0x6, 1b, x5, 80,0) - -inst_32: -// rs1_val == 4026531839, rs2_val == 1073741824 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xefffffff, 0x40000000, 0x2, 3f, x5, 84,0) - -inst_33: -// rs1_val == 4160749567, rs2_val == 67108864 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xf7ffffff, 0x4000000, 0x2, 3f, x5, 88,0) - -inst_34: -// rs1_val == 4227858431, rs2_val == 32768 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x8000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x8000, 0x4, 3f, x5, 92,0) - -inst_35: -// rs1_val == 4278190079, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xc; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfeffffff, 0xc, 0x4, 3f, x5, 96,0) - -inst_36: -// rs1_val == 4290772991, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0xffffffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffbfffff, 0xffffffff, 0x556, 1b, x5, 100,0) - -inst_37: -// rs1_val == 4292870143, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x8000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffdfffff, 0x8000, 0x10, 3f, x5, 104,0) - -inst_38: -// rs1_val == 4294705151, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfffffeff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffbffff, 0xfffffeff, 0x0, 1b, x5, 108,0) - -inst_39: -// rs1_val == 4294836223, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffdffff, 0x5, 0x0, 1b, x5, 112,0) - -inst_40: -// rs1_val == 4294901759, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0xfffff7ff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffeffff, 0xfffff7ff, 0x20, 3f, x5, 116,0) - -inst_41: -// rs1_val == 4294934527, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0xc; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0xc, 0xa, 1b, x5, 120,0) - -inst_42: -// rs1_val == 4294950911, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0xfffffffd; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffbfff, 0xfffffffd, 0x20, 3f, x5, 124,0) - -inst_43: -// rs1_val == 4294959103, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xfeffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffdfff, 0xfeffffff, 0x100, 3f, x5, 128,0) - -inst_44: -// rs1_val == 4294963199, rs2_val == 16777216 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x1000000, 0x10, 3f, x5, 132,0) - -inst_45: -// rs1_val == 4294965247, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0xfffffbff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0xfffffbff, 0x400, 3f, x5, 136,0) - -inst_46: -// rs1_val == 4294966271, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xefffffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffbff, 0xefffffff, 0x80, 3f, x5, 140,0) - -inst_47: -// rs1_val == 4294966783, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x1000000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffdff, 0x1000000, 0x6, 1b, x5, 144,0) - -inst_48: -// rs1_val == 4294967039, rs2_val == 268435456 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x10000000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffeff, 0x10000000, 0x0, 3f, x5, 148,0) - -inst_49: -// rs1_val == 4294967167, rs2_val == 256 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x100; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x100, 0x6, 3f, x5, 152,0) - -inst_50: -// rs1_val == 4294967231, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0xffffffbf; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffbf, 0xffffffbf, 0x100, 3f, x5, 156,0) - -inst_51: -// rs1_val == 4294967263, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xffffffbf; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0xffffffbf, 0x6, 1b, x5, 160,0) - -inst_52: -// rs1_val == 4294967279, rs2_val == 2048 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x800; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffef, 0x800, 0xa, 1b, x5, 164,0) - -inst_53: -// rs1_val == 4294967287, rs2_val == 134217728 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x8000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffff7, 0x8000000, 0x2, 1b, x5, 168,0) - -inst_54: -// rs1_val == 4294967291, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0xfffffeff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffb, 0xfffffeff, 0x400, 1b, x5, 172,0) - -inst_55: -// rs1_val == 4294967294, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0xe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffe, 0xe, 0x400, 3f, x5, 176,0) - -inst_56: -// rs2_val == 2147483648, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x80000000; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0x80000000, 0x80, 3f, x5, 180,0) - -inst_57: -// rs2_val == 536870912, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x20000000; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x20000000, 0x556, 1b, x5, 184,0) - -inst_58: -// rs2_val == 33554432, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x2000000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x2000000, 0x200, 3f, x5, 188,0) - -inst_59: -// rs2_val == 8388608, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x800000, 0x200, 3f, x5, 192,0) - -inst_60: -// rs2_val == 4194304, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x400000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0x400000, 0x6, 3f, x5, 196,0) - -inst_61: -// rs2_val == 2097152, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x200000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x200000, 0x8, 1b, x5, 200,0) - -inst_62: -// rs2_val == 1048576, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x100000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x100000, 0x0, 3f, x5, 204,0) - -inst_63: -// rs2_val == 524288, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x7; op2val:0x80000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7, 0x80000, 0x10, 3f, x5, 208,0) - -inst_64: -// rs2_val == 262144, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x40000, 0x0, 3f, x5, 212,0) - -inst_65: -// rs2_val == 131072, rs1_val == 65536 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x20000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x20000, 0x200, 3f, x5, 216,0) - -inst_66: -// rs2_val == 65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x10000, 0x40, 3f, x5, 220,0) - -inst_67: -// rs2_val == 16384, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xc; op2val:0x4000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xc, 0x4000, 0x2, 3f, x5, 224,0) - -inst_68: -// rs2_val == 8192, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2000, 0x6, 3f, x5, 228,0) - -inst_69: -// rs2_val == 4096, rs1_val == 32 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20; op2val:0x1000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20, 0x1000, 0x2, 1b, x5, 232,0) - -inst_70: -// rs2_val == 1024, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x400; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x400, 0x6, 1b, x5, 236,0) - -inst_71: -// rs2_val == 512, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x200, 0x4, 3f, x5, 240,0) - -inst_72: -// rs2_val == 128, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x80; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x80, 0x100, 3f, x5, 244,0) - -inst_73: -// rs2_val == 64, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x40; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x40, 0x8, 3f, x5, 248,0) - -inst_74: -// rs2_val == 32, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x20, 0x200, 3f, x5, 252,0) - -inst_75: -// rs2_val == 16, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x10; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x10, 0x6, 3f, x5, 256,0) - -inst_76: -// rs2_val == 8, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0x8; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0x8, 0x2, 1b, x5, 260,0) - -inst_77: -// rs2_val == 4, rs1_val==65536 and rs2_val==4 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x4, 0x2, 1b, x5, 264,0) - -inst_78: -// rs2_val == 2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x2, 0x4, 3f, x5, 268,0) - -inst_79: -// rs2_val == 1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x1; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x1, 0x10, 3f, x5, 272,0) - -inst_80: -// rs1_val == 2147483648, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000000; op2val:0xfffffff7; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000000, 0xfffffff7, 0x2, 1b, x5, 276,0) - -inst_81: -// rs1_val == 1073741824, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000000; op2val:0xb; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000000, 0xb, 0x100, 3f, x5, 280,0) - -inst_82: -// rs1_val == 536870912, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x800000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000000, 0x800000, 0x8, 3f, x5, 284,0) - -inst_83: -// rs1_val == 134217728, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000000; op2val:0xefffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000000, 0xefffffff, 0x100, 3f, x5, 288,0) - -inst_84: -// rs1_val == 33554432, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xb; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000000, 0xb, 0x200, 3f, x5, 292,0) - -inst_85: -// rs1_val == 8388608, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x800000; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800000, 0x4, 0x8, 1b, x5, 296,0) - -inst_86: -// rs1_val == 4194304, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x400000; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400000, 0x1, 0x0, 3f, x5, 300,0) - -inst_87: -// rs1_val == 2097152, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x200000; op2val:0x7fffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200000, 0x7fffffff, 0x400, 1b, x5, 304,0) - -inst_88: -// rs1_val == 1048576, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x100000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100000, 0x33333333, 0x2, 1b, x5, 308,0) - -inst_89: -// rs1_val == 262144, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000, 0x80, 0x8, 3f, x5, 312,0) - -inst_90: -// rs1_val == 131072, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000; op2val:0x20000000; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000, 0x20000000, 0x20, 3f, x5, 316,0) - -inst_91: -// rs1_val == 16384, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000, 0x66666666, 0x6, 3f, x5, 320,0) - -inst_92: -// rs1_val == 8192, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000, 0x66666666, 0x2, 1b, x5, 324,0) - -inst_93: -// rs1_val == 4096, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffeff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000, 0xfffffeff, 0x556, 1b, x5, 328,0) - -inst_94: -// rs1_val == 2048, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x800; op2val:0xfffffffd; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800, 0xfffffffd, 0x80, 3f, x5, 332,0) - -inst_95: -// rs1_val == 1024, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x400; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400, 0x0, 0x6, 3f, x5, 336,0) - -inst_96: -// rs1_val == 512, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x200; op2val:0xefffffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200, 0xefffffff, 0x556, 1b, x5, 340,0) - -inst_97: -// rs1_val == 256, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x100; op2val:0xb505; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100, 0xb505, 0x200, 3f, x5, 344,0) - -inst_98: -// rs1_val == 128, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80, 0x0, 0x4, 3f, x5, 348,0) - -inst_99: -// rs1_val == 64, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffefff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40, 0xffffefff, 0x556, 1b, x5, 352,0) - -inst_100: -// rs1_val == 16, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10, 0xfffffeff, 0x100, 3f, x5, 356,0) - -inst_101: -// rs1_val == 8, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8; op2val:0xffffefff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8, 0xffffefff, 0x6, 3f, x5, 360,0) - -inst_102: -// rs1_val==65536 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x10000, 0x0, 3f, x5, 364,0) - -inst_103: -// rs1_val==65536 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x1, 0x80, 3f, x5, 368,0) - -inst_104: -// rs1_val==65536 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb505, 0x10, 3f, x5, 372,0) - -inst_105: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666667, 0x556, 1b, x5, 376,0) - -inst_106: -// rs1_val==65536 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333334, 0x80, 3f, x5, 380,0) - -inst_107: -// rs1_val==65536 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x6, 0x400, 1b, x5, 384,0) - -inst_108: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaab, 0x100, 3f, x5, 388,0) - -inst_109: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555556, 0x20, 3f, x5, 392,0) - -inst_110: -// rs1_val==65536 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xfffe, 0x4, 3f, x5, 396,0) - -inst_111: -// rs1_val==65536 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x0, 0x100, 3f, x5, 400,0) - -inst_112: -// rs1_val==65536 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb503, 0x8, 1b, x5, 404,0) - -inst_113: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666665, 0x400, 1b, x5, 408,0) - -inst_114: -// rs1_val==65536 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333332, 0x8, 3f, x5, 412,0) - -inst_115: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaa9, 0x200, 3f, x5, 416,0) - -inst_116: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555554, 0x8, 1b, x5, 420,0) - -inst_117: -// rs1_val==65536 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x2, 0x40, 3f, x5, 424,0) - -inst_118: -// rs1_val==65536 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xffff, 0x80, 3f, x5, 428,0) - -inst_119: -// rs1_val==65536 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb504, 0x100, 3f, x5, 432,0) - -inst_120: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666666, 0x4, 3f, x5, 436,0) - -inst_121: -// rs1_val==65536 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x5, 440,0) - -inst_122: -// rs1_val==65536 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x5, 0x4, 1b, x5, 444,0) - -inst_123: -// rs1_val==65536 and rs2_val==2863311530, rs2_val == 2863311530 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaaa, 0x2, 3f, x5, 448,0) - -inst_124: -// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555555, 0x20, 3f, x5, 452,0) - -inst_125: -// rs1_val==65536 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x3, 0x20, 3f, x5, 456,0) - -inst_126: -// rs1_val==1 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x10000, 0x4, 1b, x5, 460,0) - -inst_127: -// rs1_val==1 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x1, 0x100, 3f, x5, 464,0) - -inst_128: -// rs1_val==1 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb505, 0x80, 3f, x5, 468,0) - -inst_129: -// rs1_val==1 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666667, 0x2, 1b, x5, 472,0) - -inst_130: -// rs1_val==1 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333334, 0x4, 3f, x5, 476,0) - -inst_131: -// rs1_val==1 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x6, 0xa, 1b, x5, 480,0) - -inst_132: -// rs1_val==1 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaab, 0x400, 3f, x5, 484,0) - -inst_133: -// rs1_val==1 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555556, 0x400, 3f, x5, 488,0) - -inst_134: -// rs1_val==1 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x4, 0x80, 3f, x5, 492,0) - -inst_135: -// rs1_val==1 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xfffe, 0x400, 1b, x5, 496,0) - -inst_136: -// rs1_val==1 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x0, 0x2, 3f, x5, 500,0) - -inst_137: -// rs1_val==1 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb503, 0x8, 1b, x5, 504,0) - -inst_138: -// rs1_val==1 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666665, 0x556, 1b, x5, 508,0) - -inst_139: -// rs1_val==1 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333332, 0x40, 3f, x5, 512,0) - -inst_140: -// rs1_val==1 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaa9, 0x400, 3f, x5, 516,0) - -inst_141: -// rs1_val==1 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555554, 0x40, 3f, x5, 520,0) - -inst_142: -// rs1_val==1 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x2, 0x100, 3f, x5, 524,0) - -inst_143: -// rs1_val==1 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xffff, 0x20, 3f, x5, 528,0) - -inst_144: -// rs1_val==1 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb504, 0x4, 3f, x5, 532,0) - -inst_145: -// rs1_val==1 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666666, 0x10, 3f, x5, 536,0) - -inst_146: -// rs1_val==1 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333333, 0x8, 1b, x5, 540,0) - -inst_147: -// rs1_val==1 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x5, 0x8, 3f, x5, 544,0) - -inst_148: -// rs1_val==1 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaaa, 0x40, 3f, x5, 548,0) - -inst_149: -// rs1_val==1 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555555, 0x6, 1b, x5, 552,0) - -inst_150: -// rs1_val==1 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x3, 0x10, 3f, x5, 556,0) - -inst_151: -// rs1_val==46341 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x10000, 0x8, 3f, x5, 560,0) - -inst_152: -// rs1_val==46341 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x1, 0x200, 3f, x5, 564,0) - -inst_153: -// rs1_val==46341 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb505, 0x400, 3f, x5, 568,0) - -inst_154: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666667, 0x556, 1b, x5, 572,0) - -inst_155: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333334, 0x10, 3f, x5, 576,0) - -inst_156: -// rs1_val==46341 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x6, 0x20, 3f, x5, 580,0) - -inst_157: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaab, 0x4, 1b, x5, 584,0) - -inst_158: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555556, 0x6, 3f, x5, 588,0) - -inst_159: -// rs1_val==46341 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x4, 0x4, 1b, x5, 592,0) - -inst_160: -// rs1_val==46341 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xfffe, 0x556, 1b, x5, 596,0) - -inst_161: -// rs1_val==46341 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x0, 0x6, 1b, x5, 600,0) - -inst_162: -// rs1_val==46341 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb503, 0xa, 1b, x5, 604,0) - -inst_163: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x5, 608,0) - -inst_164: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333332, 0x200, 3f, x5, 612,0) - -inst_165: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaa9, 0x0, 3f, x5, 616,0) - -inst_166: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555554, 0x2, 1b, x5, 620,0) - -inst_167: -// rs1_val==46341 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x2, 0x80, 3f, x5, 624,0) - -inst_168: -// rs1_val==46341 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xffff, 0x6, 1b, x5, 628,0) - -inst_169: -// rs1_val==46341 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb504, 0x40, 3f, x5, 632,0) - -inst_170: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666666, 0x6, 1b, x5, 636,0) - -inst_171: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333333, 0xa, 1b, x5, 640,0) - -inst_172: -// rs1_val==46341 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x5, 0x20, 3f, x5, 644,0) - -inst_173: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaaa, 0x20, 3f, x5, 648,0) - -inst_174: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x5, 652,0) - -inst_175: -// rs1_val==46341 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x3, 0x40, 3f, x5, 656,0) - -inst_176: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x10000, 0x4, 3f, x5, 660,0) - -inst_177: -// rs1_val==1717986919 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x1, 0x200, 3f, x5, 664,0) - -inst_178: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb505, 0x400, 1b, x5, 668,0) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666667, 0x6, 3f, x5, 672,0) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333334, 0x400, 1b, x5, 676,0) - -inst_181: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x6, 0x6, 3f, x5, 680,0) - -inst_182: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaab, 0x400, 1b, x5, 684,0) - -inst_183: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555556, 0x6, 3f, x5, 688,0) - -inst_184: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x4, 0x8, 1b, x5, 692,0) - -inst_185: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xfffe, 0x2, 3f, x5, 696,0) - -inst_186: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x0, 0x6, 1b, x5, 700,0) - -inst_187: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb503, 0x80, 3f, x5, 704,0) - -inst_188: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666665, 0x8, 1b, x5, 708,0) - -inst_189: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333332, 0x8, 1b, x5, 712,0) - -inst_190: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaa9, 0x20, 3f, x5, 716,0) - -inst_191: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555554, 0x200, 3f, x5, 720,0) - -inst_192: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x2, 0x4, 3f, x5, 724,0) - -inst_193: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xffff, 0x10, 3f, x5, 728,0) - -inst_194: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x5, 732,0) - -inst_195: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666666, 0x8, 1b, x5, 736,0) - -inst_196: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333333, 0x0, 3f, x5, 740,0) - -inst_197: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x5, 0x6, 3f, x5, 744,0) - -inst_198: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaaa, 0x556, 1b, x5, 748,0) - -inst_199: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555555, 0x80, 3f, x5, 752,0) - -inst_200: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x3, 0x4, 3f, x5, 756,0) - -inst_201: -// rs1_val==858993460 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x10000, 0x8, 3f, x5, 760,0) - -inst_202: -// rs1_val==858993460 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x1, 0x8, 3f, x5, 764,0) - -inst_203: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb505, 0x0, 3f, x5, 768,0) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x5, 772,0) - -inst_205: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333334, 0x200, 3f, x5, 776,0) - -inst_206: -// rs1_val==858993460 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x6, 0x4, 3f, x5, 780,0) - -inst_207: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaab, 0x8, 3f, x5, 784,0) - -inst_208: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555556, 0x10, 3f, x5, 788,0) - -inst_209: -// rs1_val==858993460 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x4, 0x40, 3f, x5, 792,0) - -inst_210: -// rs1_val==858993460 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xfffe, 0x10, 3f, x5, 796,0) - -inst_211: -// rs1_val==858993460 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x0, 0x400, 1b, x5, 800,0) - -inst_212: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x5, 804,0) - -inst_213: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666665, 0x6, 1b, x5, 808,0) - -inst_214: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333332, 0x2, 3f, x5, 812,0) - -inst_215: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaa9, 0x8, 3f, x5, 816,0) - -inst_216: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555554, 0x4, 3f, x5, 820,0) - -inst_217: -// rs1_val==858993460 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x2, 0x20, 3f, x5, 824,0) - -inst_218: -// rs1_val==858993460 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xffff, 0x40, 3f, x5, 828,0) - -inst_219: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb504, 0x10, 3f, x5, 832,0) - -inst_220: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666666, 0x4, 3f, x5, 836,0) - -inst_221: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333333, 0x400, 3f, x5, 840,0) - -inst_222: -// rs1_val==858993460 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x5, 0x0, 3f, x5, 844,0) - -inst_223: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaaa, 0x2, 3f, x5, 848,0) - -inst_224: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555555, 0x0, 1b, x5, 852,0) - -inst_225: -// rs1_val==858993460 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x3, 0x2, 1b, x5, 856,0) - -inst_226: -// rs1_val==6 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x10000, 0x4, 3f, x5, 860,0) - -inst_227: -// rs1_val==6 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x1, 0x20, 3f, x5, 864,0) - -inst_228: -// rs1_val==6 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb505, 0x100, 3f, x5, 868,0) - -inst_229: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666667, 0x2, 3f, x5, 872,0) - -inst_230: -// rs1_val==6 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333334, 0x556, 1b, x5, 876,0) - -inst_231: -// rs1_val==6 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x6, 0x4, 3f, x5, 880,0) - -inst_232: -// rs1_val==6 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaab, 0x20, 3f, x5, 884,0) - -inst_233: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555556, 0x4, 3f, x5, 888,0) - -inst_234: -// rs1_val==6 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x4, 0x4, 1b, x5, 892,0) - -inst_235: -// rs1_val==6 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffe, 0x6, 1b, x5, 896,0) - -inst_236: -// rs1_val==6 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x0, 0x556, 1b, x5, 900,0) - -inst_237: -// rs1_val==6 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb503, 0x10, 3f, x5, 904,0) - -inst_238: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666665, 0x8, 3f, x5, 908,0) - -inst_239: -// rs1_val==6 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333332, 0x4, 1b, x5, 912,0) - -inst_240: -// rs1_val==6 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaa9, 0x4, 1b, x5, 916,0) - -inst_241: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555554, 0x200, 3f, x5, 920,0) - -inst_242: -// rs1_val==6 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x2, 0x4, 3f, x5, 924,0) - -inst_243: -// rs1_val==6 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xffff, 0x4, 1b, x5, 928,0) - -inst_244: -// rs1_val==6 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb504, 0x80, 3f, x5, 932,0) - -inst_245: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666666, 0x6, 1b, x5, 936,0) - -inst_246: -// rs1_val==6 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333333, 0x2, 3f, x5, 940,0) - -inst_247: -// rs1_val==6 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x5, 0x40, 3f, x5, 944,0) - -inst_248: -// rs1_val==6 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaaa, 0x80, 3f, x5, 948,0) - -inst_249: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555555, 0x556, 1b, x5, 952,0) - -inst_250: -// rs1_val==6 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x3, 0x6, 1b, x5, 956,0) - -inst_251: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x10000, 0x400, 1b, x5, 960,0) - -inst_252: -// rs1_val==2863311531 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x1, 0xa, 1b, x5, 964,0) - -inst_253: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb505, 0x100, 3f, x5, 968,0) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666667, 0x100, 3f, x5, 972,0) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 1b, x5, 976,0) - -inst_256: -// rs1_val==2863311531 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x5, 980,0) - -inst_257: -// rs1_val==2863311531 and rs2_val==2863311531, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 1b, x5, 984,0) - -inst_258: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555556, 0x8, 3f, x5, 988,0) - -inst_259: -// rs1_val==2863311531 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x4, 0x6, 3f, x5, 992,0) - -inst_260: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xfffe, 0x6, 1b, x5, 996,0) - -inst_261: -// rs1_val==2863311531 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x0, 0x400, 1b, x5, 1000,0) - -inst_262: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb503, 0x8, 1b, x5, 1004,0) - -inst_263: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666665, 0x2, 3f, x5, 1008,0) - -inst_264: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333332, 0x0, 3f, x5, 1012,0) - -inst_265: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x8, 1b, x5, 1016,0) - -inst_266: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555554, 0x40, 3f, x5, 1020,0) - -inst_267: -// rs1_val==2863311531 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x2, 0x2, 1b, x5, 1024,0) - -inst_268: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xffff, 0x200, 3f, x5, 1028,0) - -inst_269: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb504, 0x8, 3f, x5, 1032,0) - -inst_270: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666666, 0x80, 3f, x5, 1036,0) - -inst_271: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333333, 0x6, 1b, x5, 1040,0) - -inst_272: -// rs1_val==2863311531 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x5, 0x2, 3f, x5, 1044,0) - -inst_273: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0xa, 1b, x5, 1048,0) - -inst_274: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555555, 0x40, 3f, x5, 1052,0) - -inst_275: -// rs1_val==2863311531 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x3, 0x6, 1b, x5, 1056,0) - -inst_276: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x10000, 0x4, 1b, x5, 1060,0) - -inst_277: -// rs1_val==1431655766 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x1, 0x0, 1b, x5, 1064,0) - -inst_278: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb505, 0x8, 1b, x5, 1068,0) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666667, 0x4, 1b, x5, 1072,0) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333334, 0x20, 3f, x5, 1076,0) - -inst_281: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x6, 0x6, 3f, x5, 1080,0) - -inst_282: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaab, 0x400, 3f, x5, 1084,0) - -inst_283: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555556, 0x20, 3f, x5, 1088,0) - -inst_284: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x4, 0x4, 3f, x5, 1092,0) - -inst_285: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xfffe, 0x8, 3f, x5, 1096,0) - -inst_286: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x0, 0x400, 3f, x5, 1100,0) - -inst_287: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x5, 1104,0) - -inst_288: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x5, 1108,0) - -inst_289: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333332, 0x556, 1b, x5, 1112,0) - -inst_290: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaa9, 0x6, 3f, x5, 1116,0) - -inst_291: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555554, 0x2, 3f, x5, 1120,0) - -inst_292: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x2, 0x20, 3f, x5, 1124,0) - -inst_293: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xffff, 0x400, 3f, x5, 1128,0) - -inst_294: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb504, 0x80, 3f, x5, 1132,0) - -inst_295: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666666, 0x80, 3f, x5, 1136,0) - -inst_296: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333333, 0x6, 1b, x5, 1140,0) - -inst_297: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x5, 0x80, 3f, x5, 1144,0) - -inst_298: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaaa, 0x8, 3f, x5, 1148,0) - -inst_299: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555555, 0x400, 3f, x5, 1152,0) - -inst_300: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x3, 0x2, 1b, x5, 1156,0) - -inst_301: -// rs1_val==4 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x10000, 0x2, 1b, x5, 1160,0) - -inst_302: -// rs1_val==4 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x1, 0x4, 1b, x5, 1164,0) - -inst_303: -// rs1_val==4 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb505, 0x2, 3f, x5, 1168,0) - -inst_304: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666667, 0x6, 3f, x5, 1172,0) - -inst_305: -// rs1_val==4 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333334, 0x0, 1b, x5, 1176,0) - -inst_306: -// rs1_val==4 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x6, 0x4, 1b, x5, 1180,0) - -inst_307: -// rs1_val==4 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaab, 0x4, 3f, x5, 1184,0) - -inst_308: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555556, 0x4, 3f, x5, 1188,0) - -inst_309: -// rs1_val==4 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x4, 0x0, 1b, x5, 1192,0) - -inst_310: -// rs1_val==4 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffe, 0x200, 3f, x5, 1196,0) - -inst_311: -// rs1_val==4 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x0, 0x2, 1b, x5, 1200,0) - -inst_312: -// rs1_val==4 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb503, 0xa, 1b, x5, 1204,0) - -inst_313: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666665, 0x8, 3f, x5, 1208,0) - -inst_314: -// rs1_val==4 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333332, 0x400, 1b, x5, 1212,0) - -inst_315: -// rs1_val==4 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaa9, 0x200, 3f, x5, 1216,0) - -inst_316: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555554, 0x100, 3f, x5, 1220,0) - -inst_317: -// rs1_val==4 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x2, 0x2, 3f, x5, 1224,0) - -inst_318: -// rs1_val==4 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff, 0x100, 3f, x5, 1228,0) - -inst_319: -// rs1_val==4 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb504, 0xa, 1b, x5, 1232,0) - -inst_320: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666666, 0x10, 3f, x5, 1236,0) - -inst_321: -// rs1_val==4 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333333, 0x80, 3f, x5, 1240,0) - -inst_322: -// rs1_val==4 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x5, 0x2, 1b, x5, 1244,0) - -inst_323: -// rs1_val==4 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaaa, 0x200, 3f, x5, 1248,0) - -inst_324: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555555, 0x10, 3f, x5, 1252,0) - -inst_325: -// rs1_val==4 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x3, 0x20, 3f, x5, 1256,0) - -inst_326: -// rs1_val==65534 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x5, 1260,0) - -inst_327: -// rs1_val==65534 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x1, 0x40, 3f, x5, 1264,0) - -inst_328: -// rs1_val==65534 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb505, 0x0, 3f, x5, 1268,0) - -inst_329: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666667, 0xa, 1b, x5, 1272,0) - -inst_330: -// rs1_val==65534 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x5, 1276,0) - -inst_331: -// rs1_val==65534 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x6, 0x20, 3f, x5, 1280,0) - -inst_332: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 1b, x5, 1284,0) - -inst_333: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555556, 0x10, 3f, x5, 1288,0) - -inst_334: -// rs1_val==65534 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x4, 0x400, 3f, x5, 1292,0) - -inst_335: -// rs1_val==65534 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xfffe, 0x556, 1b, x5, 1296,0) - -inst_336: -// rs1_val==65534 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x0, 0x2, 3f, x5, 1300,0) - -inst_337: -// rs1_val==65534 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb503, 0x0, 1b, x5, 1304,0) - -inst_338: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666665, 0x8, 1b, x5, 1308,0) - -inst_339: -// rs1_val==65534 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333332, 0x40, 3f, x5, 1312,0) - -inst_340: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaa9, 0x2, 1b, x5, 1316,0) - -inst_341: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555554, 0x6, 1b, x5, 1320,0) - -inst_342: -// rs1_val==65534 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x2, 0x2, 1b, x5, 1324,0) - -inst_343: -// rs1_val==65534 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xffff, 0xa, 1b, x5, 1328,0) - -inst_344: -// rs1_val==65534 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb504, 0x2, 3f, x5, 1332,0) - -inst_345: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x5, 1336,0) - -inst_346: -// rs1_val==65534 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333333, 0x2, 1b, x5, 1340,0) - -inst_347: -// rs1_val==65534 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x5, 0x400, 1b, x5, 1344,0) - -inst_348: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaaa, 0xa, 1b, x5, 1348,0) - -inst_349: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555555, 0x20, 3f, x5, 1352,0) - -inst_350: -// rs1_val==65534 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x3, 0x6, 1b, x5, 1356,0) - -inst_351: -// rs1_val==0 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x10000, 0x10, 3f, x5, 1360,0) - -inst_352: -// rs1_val==0 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x1, 0x6, 1b, x5, 1364,0) - -inst_353: -// rs1_val==0 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb505, 0x6, 3f, x5, 1368,0) - -inst_354: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666667, 0x400, 1b, x5, 1372,0) - -inst_355: -// rs1_val==0 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333334, 0x8, 1b, x5, 1376,0) - -inst_356: -// rs1_val==0 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x6, 0x80, 3f, x5, 1380,0) - -inst_357: -// rs1_val==0 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaab, 0x100, 3f, x5, 1384,0) - -inst_358: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555556, 0x0, 1b, x5, 1388,0) - -inst_359: -// rs1_val==0 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x4, 0x4, 3f, x5, 1392,0) - -inst_360: -// rs1_val==0 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xfffe, 0x200, 3f, x5, 1396,0) - -inst_361: -// rs1_val==0 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x0, 0x2, 1b, x5, 1400,0) - -inst_362: -// rs1_val==0 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb503, 0x400, 1b, x5, 1404,0) - -inst_363: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666665, 0x80, 3f, x5, 1408,0) - -inst_364: -// rs1_val==0 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333332, 0x200, 3f, x5, 1412,0) - -inst_365: -// rs1_val==0 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaa9, 0x6, 1b, x5, 1416,0) - -inst_366: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555554, 0xa, 1b, x5, 1420,0) - -inst_367: -// rs1_val==0 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x2, 0x4, 1b, x5, 1424,0) - -inst_368: -// rs1_val==0 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xffff, 0x556, 1b, x5, 1428,0) - -inst_369: -// rs1_val==0 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb504, 0x80, 3f, x5, 1432,0) - -inst_370: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666666, 0x2, 3f, x5, 1436,0) - -inst_371: -// rs1_val==0 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333333, 0x400, 3f, x5, 1440,0) - -inst_372: -// rs1_val==0 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x5, 0x4, 1b, x5, 1444,0) - -inst_373: -// rs1_val==0 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaaa, 0xa, 1b, x5, 1448,0) - -inst_374: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555555, 0x0, 1b, x5, 1452,0) - -inst_375: -// rs1_val==0 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x3, 0x10, 3f, x5, 1456,0) - -inst_376: -// rs1_val==46339 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x10000, 0x2, 1b, x5, 1460,0) - -inst_377: -// rs1_val==46339 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x1, 0x2, 3f, x5, 1464,0) - -inst_378: -// rs1_val==46339 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb505, 0x0, 3f, x5, 1468,0) - -inst_379: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666667, 0x0, 3f, x5, 1472,0) - -inst_380: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x5, 1476,0) - -inst_381: -// rs1_val==46339 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x6, 0x200, 3f, x5, 1480,0) - -inst_382: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaab, 0x4, 1b, x5, 1484,0) - -inst_383: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x5, 1488,0) - -inst_384: -// rs1_val==46339 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x4, 0x8, 3f, x5, 1492,0) - -inst_385: -// rs1_val==46339 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xfffe, 0x100, 3f, x5, 1496,0) - -inst_386: -// rs1_val==46339 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x0, 0x4, 1b, x5, 1500,0) - -inst_387: -// rs1_val==46339 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb503, 0x4, 1b, x5, 1504,0) - -inst_388: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666665, 0x4, 1b, x5, 1508,0) - -inst_389: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333332, 0x2, 1b, x5, 1512,0) - -inst_390: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaa9, 0x40, 3f, x5, 1516,0) - -inst_391: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555554, 0x400, 1b, x5, 1520,0) - -inst_392: -// rs1_val==46339 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x2, 0x10, 3f, x5, 1524,0) - -inst_393: -// rs1_val==46339 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xffff, 0x4, 1b, x5, 1528,0) - -inst_394: -// rs1_val==46339 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb504, 0x10, 3f, x5, 1532,0) - -inst_395: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x5, 1536,0) - -inst_396: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x5, 1540,0) - -inst_397: -// rs1_val==46339 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x5, 0xa, 1b, x5, 1544,0) - -inst_398: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaaa, 0x556, 1b, x5, 1548,0) - -inst_399: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555555, 0x0, 3f, x5, 1552,0) - -inst_400: -// rs1_val==46339 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x3, 0x40, 3f, x5, 1556,0) - -inst_401: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x10000, 0x6, 1b, x5, 1560,0) - -inst_402: -// rs1_val==1717986917 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x1, 0xa, 1b, x5, 1564,0) - -inst_403: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb505, 0x0, 3f, x5, 1568,0) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666667, 0x6, 3f, x5, 1572,0) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x5, 1576,0) - -inst_406: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x6, 0x0, 1b, x5, 1580,0) - -inst_407: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaab, 0x8, 3f, x5, 1584,0) - -inst_408: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555556, 0x200, 3f, x5, 1588,0) - -inst_409: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x4, 0x6, 1b, x5, 1592,0) - -inst_410: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xfffe, 0x200, 3f, x5, 1596,0) - -inst_411: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x0, 0x400, 1b, x5, 1600,0) - -inst_412: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x5, 1604,0) - -inst_413: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666665, 0x20, 3f, x5, 1608,0) - -inst_414: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333332, 0x0, 3f, x5, 1612,0) - -inst_415: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaa9, 0x4, 1b, x5, 1616,0) - -inst_416: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x5, 1620,0) - -inst_417: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x2, 0x40, 3f, x5, 1624,0) - -inst_418: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffff, 0x6, 3f, x5, 1628,0) - -inst_419: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb504, 0x80, 3f, x5, 1632,0) - -inst_420: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x5, 1636,0) - -inst_421: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333333, 0x10, 3f, x5, 1640,0) - -inst_422: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x5, 0x0, 1b, x5, 1644,0) - -inst_423: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaaa, 0x556, 1b, x5, 1648,0) - -inst_424: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555555, 0x200, 3f, x5, 1652,0) - -inst_425: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x3, 0x8, 1b, x5, 1656,0) - -inst_426: -// rs1_val==858993458 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x10000, 0x100, 3f, x5, 1660,0) - -inst_427: -// rs1_val==858993458 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x1, 0x8, 1b, x5, 1664,0) - -inst_428: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb505, 0x2, 1b, x5, 1668,0) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x5, 1672,0) - -inst_430: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333334, 0x10, 3f, x5, 1676,0) - -inst_431: -// rs1_val==858993458 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x6, 0xa, 1b, x5, 1680,0) - -inst_432: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaab, 0x100, 3f, x5, 1684,0) - -inst_433: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x5, 1688,0) - -inst_434: -// rs1_val==858993458 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x4, 0x100, 3f, x5, 1692,0) - -inst_435: -// rs1_val==858993458 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffe, 0x2, 3f, x5, 1696,0) - -inst_436: -// rs1_val==858993458 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x0, 0x80, 3f, x5, 1700,0) - -inst_437: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb503, 0x556, 1b, x5, 1704,0) - -inst_438: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666665, 0x400, 1b, x5, 1708,0) - -inst_439: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333332, 0x10, 3f, x5, 1712,0) - -inst_440: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaa9, 0x6, 1b, x5, 1716,0) - -inst_441: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x5, 1720,0) - -inst_442: -// rs1_val==858993458 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x2, 0x10, 3f, x5, 1724,0) - -inst_443: -// rs1_val==858993458 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xffff, 0x6, 3f, x5, 1728,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb504, 0x6, 1b, x5, 1732,0) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666666, 0x100, 3f, x5, 1736,0) - -inst_446: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333333, 0x2, 1b, x5, 1740,0) - -inst_447: -// rs1_val==858993458 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x5, 0x2, 1b, x5, 1744,0) - -inst_448: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaaa, 0x80, 3f, x5, 1748,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555555, 0x80, 3f, x5, 1752,0) - -inst_450: -// rs1_val==858993458 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x3, 0x200, 3f, x5, 1756,0) - -inst_451: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x10000, 0x20, 3f, x5, 1760,0) - -inst_452: -// rs1_val==2863311529 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x1, 0x556, 1b, x5, 1764,0) - -inst_453: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb505, 0x40, 3f, x5, 1768,0) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666667, 0x40, 3f, x5, 1772,0) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333334, 0x400, 3f, x5, 1776,0) - -inst_456: -// rs1_val==2863311529 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x6, 0x6, 3f, x5, 1780,0) - -inst_457: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x80, 3f, x5, 1784,0) - -inst_458: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555556, 0x4, 3f, x5, 1788,0) - -inst_459: -// rs1_val==2863311529 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x4, 0x6, 1b, x5, 1792,0) - -inst_460: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xfffe, 0x200, 3f, x5, 1796,0) - -inst_461: -// rs1_val==2863311529 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x0, 0x4, 1b, x5, 1800,0) - -inst_462: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb503, 0x80, 3f, x5, 1804,0) - -inst_463: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666665, 0x400, 3f, x5, 1808,0) - -inst_464: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333332, 0x4, 3f, x5, 1812,0) - -inst_465: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x0, 1b, x5, 1816,0) - -inst_466: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 1b, x5, 1820,0) - -inst_467: -// rs1_val==2863311529 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x2, 0x6, 3f, x5, 1824,0) - -inst_468: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xffff, 0x200, 3f, x5, 1828,0) - -inst_469: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb504, 0x400, 1b, x5, 1832,0) - -inst_470: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666666, 0x100, 3f, x5, 1836,0) - -inst_471: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333333, 0x400, 1b, x5, 1840,0) - -inst_472: -// rs1_val==2863311529 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x5, 0x2, 3f, x5, 1844,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x4, 0x10, 3f, x5, 1848,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x5, 1852,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x0, 0x200, 3f, x5, 1856,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x5, 1860,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666665, 0x8, 1b, x5, 1864,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333332, 0x80, 3f, x5, 1868,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaa9, 0x100, 3f, x5, 1872,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x5, 1876,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x2, 0x80, 3f, x5, 1880,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xffff, 0x20, 3f, x5, 1884,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb504, 0x556, 1b, x5, 1888,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666666, 0x6, 1b, x5, 1892,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333333, 0x556, 1b, x5, 1896,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x5, 0x20, 3f, x5, 1900,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaaa, 0x20, 3f, x5, 1904,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555555, 0x100, 3f, x5, 1908,0) - -inst_489: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x3, 0x556, 1b, x5, 1912,0) - -inst_490: -// rs1_val==2 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x10000, 0x4, 3f, x5, 1916,0) - -inst_491: -// rs1_val==2 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x1, 0x40, 3f, x5, 1920,0) - -inst_492: -// rs1_val==2 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb505, 0x2, 3f, x5, 1924,0) - -inst_493: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666667, 0x2, 1b, x5, 1928,0) - -inst_494: -// rs1_val==2 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333334, 0x8, 3f, x5, 1932,0) - -inst_495: -// rs1_val==2 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x6, 0x8, 1b, x5, 1936,0) - -inst_496: -// rs1_val==2 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaab, 0x4, 1b, x5, 1940,0) - -inst_497: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555556, 0x100, 3f, x5, 1944,0) - -inst_498: -// rs1_val==2 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x4, 0x556, 1b, x5, 1948,0) - -inst_499: -// rs1_val==2 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xfffe, 0x200, 3f, x5, 1952,0) - -inst_500: -// rs1_val==2 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x0, 0x400, 3f, x5, 1956,0) - -inst_501: -// rs1_val==2 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb503, 0x0, 3f, x5, 1960,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666665, 0x4, 3f, x5, 1964,0) - -inst_503: -// rs1_val==2 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333332, 0x20, 3f, x5, 1968,0) - -inst_504: -// rs1_val==2 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaa9, 0x0, 1b, x5, 1972,0) - -inst_505: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555554, 0x200, 3f, x5, 1976,0) - -inst_506: -// rs1_val==2 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2, 0x400, 3f, x5, 1980,0) - -inst_507: -// rs1_val==2 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xffff, 0x556, 1b, x5, 1984,0) - -inst_508: -// rs1_val==2 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb504, 0x8, 3f, x5, 1988,0) - -inst_509: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666666, 0x40, 3f, x5, 1992,0) - -inst_510: -// rs1_val==2 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333333, 0xa, 1b, x5, 1996,0) - -inst_511: -// rs1_val==2 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x5, 0x10, 3f, x5, 2000,0) - -inst_512: -// rs1_val==2 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaaa, 0x400, 1b, x5, 2004,0) - -inst_513: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555555, 0xa, 1b, x5, 2008,0) - -inst_514: -// rs1_val==2 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x3, 0x6, 3f, x5, 2012,0) - -inst_515: -// rs1_val==65535 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x10000, 0x556, 1b, x5, 2016,0) - -inst_516: -// rs1_val==65535 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x1, 0x4, 1b, x5, 2020,0) - -inst_517: -// rs1_val==65535 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb505, 0x8, 3f, x5, 2024,0) - -inst_518: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666667, 0x4, 1b, x5, 2028,0) - -inst_519: -// rs1_val==65535 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333334, 0x20, 3f, x5, 2032,0) - -inst_520: -// rs1_val==65535 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x6, 0x4, 1b, x5, 2036,0) - -inst_521: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaab, 0x100, 3f, x5, 2040,0) - -inst_522: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555556, 0x20, 3f, x5, 2044,0) -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_523: -// rs1_val==65535 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x4, 0x100, 3f, x5, 0,0) - -inst_524: -// rs1_val==65535 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xfffe, 0x6, 1b, x5, 4,0) - -inst_525: -// rs1_val==65535 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x0, 0x556, 1b, x5, 8,0) - -inst_526: -// rs1_val==65535 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb503, 0x100, 3f, x5, 12,0) - -inst_527: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666665, 0x2, 1b, x5, 16,0) - -inst_528: -// rs1_val==65535 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x5, 20,0) - -inst_529: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaa9, 0x200, 3f, x5, 24,0) - -inst_530: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555554, 0x20, 3f, x5, 28,0) - -inst_531: -// rs1_val==65535 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x2, 0x6, 1b, x5, 32,0) - -inst_532: -// rs1_val==65535 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xffff, 0x0, 1b, x5, 36,0) - -inst_533: -// rs1_val==65535 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb504, 0x200, 3f, x5, 40,0) - -inst_534: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x5, 44,0) - -inst_535: -// rs1_val==65535 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333333, 0xa, 1b, x5, 48,0) - -inst_536: -// rs1_val==65535 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x5, 0x80, 3f, x5, 52,0) - -inst_537: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaaa, 0x40, 3f, x5, 56,0) - -inst_538: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555555, 0x2, 3f, x5, 60,0) - -inst_539: -// rs1_val==65535 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x3, 0x8, 3f, x5, 64,0) - -inst_540: -// rs1_val==46340 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x10000, 0x6, 1b, x5, 68,0) - -inst_541: -// rs1_val==46340 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x1, 0x2, 1b, x5, 72,0) - -inst_542: -// rs1_val==46340 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb505, 0x40, 3f, x5, 76,0) - -inst_543: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x5, 80,0) - -inst_544: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333334, 0x400, 3f, x5, 84,0) - -inst_545: -// rs1_val==46340 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x6, 0x2, 1b, x5, 88,0) - -inst_546: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaab, 0x556, 1b, x5, 92,0) - -inst_547: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x5, 96,0) - -inst_548: -// rs1_val==46340 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x4, 0x8, 1b, x5, 100,0) - -inst_549: -// rs1_val==46340 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xfffe, 0x80, 3f, x5, 104,0) - -inst_550: -// rs1_val==46340 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x0, 0x2, 3f, x5, 108,0) - -inst_551: -// rs1_val==46340 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb503, 0xa, 1b, x5, 112,0) - -inst_552: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666665, 0x8, 3f, x5, 116,0) - -inst_553: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333332, 0x4, 1b, x5, 120,0) - -inst_554: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaa9, 0x200, 3f, x5, 124,0) - -inst_555: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555554, 0x100, 3f, x5, 128,0) - -inst_556: -// rs1_val==46340 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x2, 0x200, 3f, x5, 132,0) - -inst_557: -// rs1_val==46340 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xffff, 0x100, 3f, x5, 136,0) - -inst_558: -// rs1_val==46340 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb504, 0x400, 1b, x5, 140,0) - -inst_559: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x5, 144,0) - -inst_560: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333333, 0x200, 3f, x5, 148,0) - -inst_561: -// rs1_val==46340 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x5, 0x556, 1b, x5, 152,0) - -inst_562: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x5, 156,0) - -inst_563: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x5, 160,0) - -inst_564: -// rs1_val==46340 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x3, 0x8, 3f, x5, 164,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x10000, 0x10, 3f, x5, 168,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x1, 0x200, 3f, x5, 172,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb505, 0x4, 1b, x5, 176,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x5, 180,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333334, 0x2, 1b, x5, 184,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x6, 0x8, 1b, x5, 188,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaab, 0x6, 3f, x5, 192,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x5, 196,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x4, 0x40, 3f, x5, 200,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xfffe, 0x200, 3f, x5, 204,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x0, 0x0, 1b, x5, 208,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb503, 0x0, 1b, x5, 212,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x5, 216,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333332, 0x2, 3f, x5, 220,0) - -inst_579: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaa9, 0x10, 3f, x5, 224,0) - -inst_580: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555554, 0x556, 1b, x5, 228,0) - -inst_581: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x2, 0x400, 1b, x5, 232,0) - -inst_582: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xffff, 0x200, 3f, x5, 236,0) - -inst_583: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb504, 0x2, 1b, x5, 240,0) - -inst_584: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666666, 0x200, 3f, x5, 244,0) - -inst_585: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333333, 0x10, 3f, x5, 248,0) - -inst_586: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x5, 0x400, 3f, x5, 252,0) - -inst_587: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaaa, 0x4, 1b, x5, 256,0) - -inst_588: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555555, 0x2, 3f, x5, 260,0) - -inst_589: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x3, 0x8, 1b, x5, 264,0) - -inst_590: -// rs1_val==858993459 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x10000, 0x2, 1b, x5, 268,0) - -inst_591: -// rs1_val==858993459 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x1, 0x0, 1b, x5, 272,0) - -inst_592: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb505, 0x2, 3f, x5, 276,0) - -inst_593: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666667, 0x6, 3f, x5, 280,0) - -inst_594: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333334, 0x400, 1b, x5, 284,0) - -inst_595: -// rs1_val==858993459 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x6, 0x0, 3f, x5, 288,0) - -inst_596: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaab, 0x10, 3f, x5, 292,0) - -inst_597: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555556, 0x200, 3f, x5, 296,0) - -inst_598: -// rs1_val==858993459 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x4, 0x8, 1b, x5, 300,0) - -inst_599: -// rs1_val==858993459 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xfffe, 0xa, 1b, x5, 304,0) - -inst_600: -// rs1_val==858993459 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x0, 0x0, 1b, x5, 308,0) - -inst_601: -// rs1_val==2863311529 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x3, 0x6, 1b, x5, 312,0) - -inst_602: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x5, 316,0) - -inst_603: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666665, 0x100, 3f, x5, 320,0) - -inst_604: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x5, 324,0) - -inst_605: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaa9, 0x100, 3f, x5, 328,0) - -inst_606: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555554, 0x8, 1b, x5, 332,0) - -inst_607: -// rs1_val==858993459 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x2, 0x80, 3f, x5, 336,0) - -inst_608: -// rs1_val==858993459 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xffff, 0x556, 1b, x5, 340,0) - -inst_609: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb504, 0x8, 1b, x5, 344,0) - -inst_610: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666666, 0x0, 3f, x5, 348,0) - -inst_611: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333333, 0x2, 1b, x5, 352,0) - -inst_612: -// rs1_val==858993459 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x5, 0x80, 3f, x5, 356,0) - -inst_613: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaaa, 0x6, 1b, x5, 360,0) - -inst_614: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x5, 364,0) - -inst_615: -// rs1_val==858993459 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x3, 0x0, 3f, x5, 368,0) - -inst_616: -// rs1_val==5 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x10000, 0x100, 3f, x5, 372,0) - -inst_617: -// rs1_val==5 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x1, 0x6, 3f, x5, 376,0) - -inst_618: -// rs1_val==5 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb505, 0xa, 1b, x5, 380,0) - -inst_619: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666667, 0x400, 1b, x5, 384,0) - -inst_620: -// rs1_val==5 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333334, 0x8, 3f, x5, 388,0) - -inst_621: -// rs1_val==5 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x6, 0x2, 1b, x5, 392,0) - -inst_622: -// rs1_val==5 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaab, 0x100, 3f, x5, 396,0) - -inst_623: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555556, 0x4, 3f, x5, 400,0) - -inst_624: -// rs1_val==5 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x4, 0x0, 3f, x5, 404,0) - -inst_625: -// rs1_val==5 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xfffe, 0x400, 3f, x5, 408,0) - -inst_626: -// rs1_val==5 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x0, 0x200, 3f, x5, 412,0) - -inst_627: -// rs1_val==5 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb503, 0x556, 1b, x5, 416,0) - -inst_628: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666665, 0x6, 1b, x5, 420,0) - -inst_629: -// rs1_val==5 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333332, 0x556, 1b, x5, 424,0) - -inst_630: -// rs1_val==5 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaa9, 0x6, 3f, x5, 428,0) - -inst_631: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555554, 0x556, 1b, x5, 432,0) - -inst_632: -// rs1_val==5 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x2, 0x6, 3f, x5, 436,0) - -inst_633: -// rs1_val==5 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xffff, 0xa, 1b, x5, 440,0) - -inst_634: -// rs1_val==5 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb504, 0x556, 1b, x5, 444,0) - -inst_635: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666666, 0x2, 3f, x5, 448,0) - -inst_636: -// rs1_val==5 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333333, 0x6, 3f, x5, 452,0) - -inst_637: -// rs1_val==5 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x5, 0x6, 1b, x5, 456,0) - -inst_638: -// rs1_val==5 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaaa, 0xa, 1b, x5, 460,0) - -inst_639: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555555, 0x0, 1b, x5, 464,0) - -inst_640: -// rs1_val==5 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x3, 0x0, 3f, x5, 468,0) - -inst_641: -// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x10000, 0x6, 1b, x5, 472,0) - -inst_642: -// rs1_val==2863311530 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x1, 0x556, 1b, x5, 476,0) - -inst_643: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb505, 0x10, 3f, x5, 480,0) - -inst_644: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666667, 0x0, 1b, x5, 484,0) - -inst_645: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333334, 0x4, 1b, x5, 488,0) - -inst_646: -// rs1_val==2863311530 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x6, 0x20, 3f, x5, 492,0) - -inst_647: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x0, 3f, x5, 496,0) - -inst_648: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555556, 0x8, 3f, x5, 500,0) - -inst_649: -// rs1_val==2863311530 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x4, 0x80, 3f, x5, 504,0) - -inst_650: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xfffe, 0x20, 3f, x5, 508,0) - -inst_651: -// rs1_val==2863311530 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x0, 0x6, 3f, x5, 512,0) - -inst_652: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb503, 0x400, 1b, x5, 516,0) - -inst_653: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666665, 0x20, 3f, x5, 520,0) - -inst_654: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333332, 0x0, 3f, x5, 524,0) - -inst_655: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x40, 3f, x5, 528,0) - -inst_656: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555554, 0x40, 3f, x5, 532,0) - -inst_657: -// rs1_val==2863311530 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x2, 0x0, 1b, x5, 536,0) - -inst_658: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xffff, 0x40, 3f, x5, 540,0) - -inst_659: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb504, 0x0, 1b, x5, 544,0) - -inst_660: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666666, 0x400, 3f, x5, 548,0) - -inst_661: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333333, 0x100, 3f, x5, 552,0) - -inst_662: -// rs1_val==2863311530 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x5, 0x100, 3f, x5, 556,0) - -inst_663: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x6, 3f, x5, 560,0) - -inst_664: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555555, 0x400, 1b, x5, 564,0) - -inst_665: -// rs1_val==2863311530 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x3, 0x20, 3f, x5, 568,0) - -inst_666: -// rs1_val==1431655765 and rs2_val==65536, rs1_val == 1431655765 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x10000, 0x400, 3f, x5, 572,0) - -inst_667: -// rs1_val==1431655765 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x1, 0x8, 1b, x5, 576,0) - -inst_668: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb505, 0x10, 3f, x5, 580,0) - -inst_669: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x5, 584,0) - -inst_670: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x5, 588,0) - -inst_671: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x6, 0x80, 3f, x5, 592,0) - -inst_672: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaab, 0x8, 3f, x5, 596,0) - -inst_673: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555556, 0x200, 3f, x5, 600,0) - -inst_674: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x4, 0x556, 1b, x5, 604,0) - -inst_675: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xfffe, 0x4, 3f, x5, 608,0) - -inst_676: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x0, 0x200, 3f, x5, 612,0) - -inst_677: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb503, 0x8, 3f, x5, 616,0) - -inst_678: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666665, 0xa, 1b, x5, 620,0) - -inst_679: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333332, 0x4, 1b, x5, 624,0) - -inst_680: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaa9, 0x100, 3f, x5, 628,0) - -inst_681: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555554, 0x100, 3f, x5, 632,0) - -inst_682: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x2, 0x8, 1b, x5, 636,0) - -inst_683: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xffff, 0x0, 1b, x5, 640,0) - -inst_684: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb504, 0x20, 3f, x5, 644,0) - -inst_685: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x5, 648,0) - -inst_686: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x5, 652,0) - -inst_687: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x5, 0xa, 1b, x5, 656,0) - -inst_688: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaaa, 0x8, 3f, x5, 660,0) - -inst_689: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x5, 664,0) - -inst_690: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x3, 0x8, 3f, x5, 668,0) - -inst_691: -// rs1_val==3 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x10000, 0x400, 1b, x5, 672,0) - -inst_692: -// rs1_val==3 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x1, 0x400, 1b, x5, 676,0) - -inst_693: -// rs1_val==3 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb505, 0x8, 3f, x5, 680,0) - -inst_694: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666667, 0x0, 3f, x5, 684,0) - -inst_695: -// rs1_val==3 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333334, 0x8, 1b, x5, 688,0) - -inst_696: -// rs1_val==3 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x6, 0x0, 3f, x5, 692,0) - -inst_697: -// rs1_val==3 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaab, 0x400, 3f, x5, 696,0) - -inst_698: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555556, 0x400, 3f, x5, 700,0) - -inst_699: -// rs1_val==3 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x4, 0x8, 1b, x5, 704,0) - -inst_700: -// rs1_val==3 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xfffe, 0x8, 3f, x5, 708,0) - -inst_701: -// rs1_val==3 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x0, 0xa, 1b, x5, 712,0) - -inst_702: -// rs1_val==3 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb503, 0x40, 3f, x5, 716,0) - -inst_703: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666665, 0x200, 3f, x5, 720,0) - -inst_704: -// rs1_val==3 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333332, 0x200, 3f, x5, 724,0) - -inst_705: -// rs1_val==3 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaa9, 0x4, 1b, x5, 728,0) - -inst_706: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555554, 0x2, 3f, x5, 732,0) - -inst_707: -// rs1_val==3 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x2, 0x400, 1b, x5, 736,0) - -inst_708: -// rs1_val==3 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xffff, 0x6, 3f, x5, 740,0) - -inst_709: -// rs1_val==3 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb504, 0x2, 3f, x5, 744,0) - -inst_710: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666666, 0x8, 1b, x5, 748,0) - -inst_711: -// rs1_val==3 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333333, 0x2, 1b, x5, 752,0) - -inst_712: -// rs1_val==3 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x5, 0x4, 3f, x5, 756,0) - -inst_713: -// rs1_val==3 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaaa, 0x0, 1b, x5, 760,0) - -inst_714: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555555, 0x0, 3f, x5, 764,0) - -inst_715: -// rs1_val==3 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x3, 0x200, 3f, x5, 768,0) - -inst_716: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x200, 3f, x5, 772,0) - -inst_717: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555555, 0x20, 3f, x5, 776,0) - -inst_718: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x10000, 0x4, 1b, x5, 780,0) - -inst_719: -// rs1_val==1431655764 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x1, 0x6, 3f, x5, 784,0) - -inst_720: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb505, 0x2, 3f, x5, 788,0) - -inst_721: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666667, 0x4, 1b, x5, 792,0) - -inst_722: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x5, 796,0) - -inst_723: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x6, 0xa, 1b, x5, 800,0) - -inst_724: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaab, 0x6, 1b, x5, 804,0) - -inst_725: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x5, 808,0) - -inst_726: -// rs2_val == 3221225471, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xbfffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xbfffffff, 0xa, 1b, x5, 812,0) - -inst_727: -// rs2_val == 4294836223, rs1_val == 2147483647 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffdffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7fffffff, 0xfffdffff, 0x2, 1b, x5, 816,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 11*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 205*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S deleted file mode 100644 index 540d301aa..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S +++ /dev/null @@ -1,3014 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the blt instruction of the RISC-V E extension for the blt covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",blt) - -RVTEST_SIGBASE( x6,signature_x6_1) - -inst_0: -// rs1 == rs2, rs1==x7, rs2==x7, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs2_val == -65, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x7; op2:x7; op1val:-0x10001; op2val:-0x10001; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x4, x7, x7, -0x10001, -0x10001, 0x80, 3f, x6, 0,0) - -inst_1: -// rs1 != rs2, rs1==x9, rs2==x15, rs2_val == 2147483647, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x9; op2:x15; op1val:0x4; op2val:0x7fffffff; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x4, x9, x15, 0x4, 0x7fffffff, 0x0, 3f, x6, 4,0) - -inst_2: -// rs1==x12, rs2==x3, rs2_val == -1073741825, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x12; op2:x3; op1val:0x4; op2val:-0x40000001; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x4, x12, x3, 0x4, -0x40000001, 0x400, 3f, x6, 8,0) - -inst_3: -// rs1==x2, rs2==x5, rs2_val == -536870913, -// opcode: blt, op1:x2; op2:x5; op1val:0x0; op2val:-0x20000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x4, x2, x5, 0x0, -0x20000001, 0x6, 1b, x6, 12,0) - -inst_4: -// rs1==x11, rs2==x0, rs2_val == -268435457, rs1_val == 1048576 -// opcode: blt, op1:x11; op2:x0; op1val:0x100000; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x4, x11, x0, 0x100000, 0x0, 0x200, 3f, x6, 16,0) - -inst_5: -// rs1==x3, rs2==x13, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -9 -// opcode: blt, op1:x3; op2:x13; op1val:-0x9; op2val:-0x8000001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x4, x3, x13, -0x9, -0x8000001, 0x4, 1b, x6, 20,0) - -inst_6: -// rs1==x5, rs2==x12, rs2_val == -67108865, -// opcode: blt, op1:x5; op2:x12; op1val:-0x1; op2val:-0x4000001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x4, x5, x12, -0x1, -0x4000001, 0x2, 1b, x6, 24,0) - -inst_7: -// rs1==x1, rs2==x14, rs2_val == -33554433, -// opcode: blt, op1:x1; op2:x14; op1val:-0x10001; op2val:-0x2000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x4, x1, x14, -0x10001, -0x2000001, 0x6, 1b, x6, 28,0) - -inst_8: -// rs1==x13, rs2==x4, rs2_val == -16777217, rs1_val == 1024 -// opcode: blt, op1:x13; op2:x4; op1val:0x400; op2val:-0x1000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x5, x13, x4, 0x400, -0x1000001, 0x6, 3f, x6, 32,0) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_9: -// rs1==x14, rs2==x8, rs2_val == -8388609, rs1_val == -2049 -// opcode: blt, op1:x14; op2:x8; op1val:-0x801; op2val:-0x800001; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x5, x14, x8, -0x801, -0x800001, 0x8, 3f, x3, 0,0) - -inst_10: -// rs1==x8, rs2==x10, rs2_val == -4194305, -// opcode: blt, op1:x8; op2:x10; op1val:-0x1; op2val:-0x400001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x8, x10, -0x1, -0x400001, 0x2, 1b, x3, 4,0) - -inst_11: -// rs1==x0, rs2==x2, rs2_val == -2097153, -// opcode: blt, op1:x0; op2:x2; op1val:0x0; op2val:-0x200001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x0, x2, 0x0, -0x200001, 0x2, 3f, x3, 8,0) - -inst_12: -// rs1==x4, rs2==x1, rs2_val == -1048577, rs1_val == 67108864 -// opcode: blt, op1:x4; op2:x1; op1val:0x4000000; op2val:-0x100001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x4, x1, 0x4000000, -0x100001, 0x2, 1b, x3, 12,0) - -inst_13: -// rs1==x10, rs2==x6, rs2_val == -524289, rs1_val == -8388609 -// opcode: blt, op1:x10; op2:x6; op1val:-0x800001; op2val:-0x80001; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x5, x10, x6, -0x800001, -0x80001, 0x556, 1b, x3, 16,0) - -inst_14: -// rs1==x15, rs2==x11, rs2_val == -262145, -// opcode: blt, op1:x15; op2:x11; op1val:-0xb503; op2val:-0x40001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x5, x15, x11, -0xb503, -0x40001, 0x200, 3f, x3, 20,0) - -inst_15: -// rs1==x6, rs2==x9, rs2_val == -131073, rs1_val == -1025 -// opcode: blt, op1:x6; op2:x9; op1val:-0x401; op2val:-0x20001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x5, x6, x9, -0x401, -0x20001, 0x4, 3f, x3, 24,0) - -inst_16: -// rs2_val == -65537, rs1_val == 2048 -// opcode: blt, op1:x10; op2:x11; op1val:0x800; op2val:-0x10001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x800, -0x10001, 0x0, 3f, x3, 28,0) - -inst_17: -// rs2_val == -32769, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x8001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x8001, 0x0, 1b, x3, 32,0) - -inst_18: -// rs2_val == -16385, rs1_val == -5 -// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:-0x4001; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, -0x4001, 0x40, 3f, x3, 36,0) - -inst_19: -// rs2_val == -8193, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x2001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x2001, 0x4, 1b, x3, 40,0) - -inst_20: -// rs2_val == -4097, -// opcode: blt, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x1001; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000000, -0x1001, 0x80, 3f, x3, 44,0) - -inst_21: -// rs2_val == -2049, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x801; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x801, 0x6, 3f, x3, 48,0) - -inst_22: -// rs2_val == -1025, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1048577 -// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, -0x401, 0x8, 1b, x3, 52,0) - -inst_23: -// rs2_val == -513, rs1_val == -67108865 -// opcode: blt, op1:x10; op2:x11; op1val:-0x4000001; op2val:-0x201; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x4000001, -0x201, 0x0, 1b, x3, 56,0) - -inst_24: -// rs2_val == -257, rs1_val == -134217729 -// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, -0x101, 0x6, 1b, x3, 60,0) - -inst_25: -// rs2_val == -129, rs1_val == 32 -// opcode: blt, op1:x10; op2:x11; op1val:0x20; op2val:-0x81; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20, -0x81, 0x0, 1b, x3, 64,0) - -inst_26: -// rs2_val == -33, rs1_val == 2 -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x21; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x21, 0x20, 3f, x3, 68,0) - -inst_27: -// rs2_val == -17, -// opcode: blt, op1:x10; op2:x11; op1val:-0x6; op2val:-0x11; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x6, -0x11, 0x100, 3f, x3, 72,0) - -inst_28: -// rs2_val == -9, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x9; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x9, 0x400, 3f, x3, 76,0) - -inst_29: -// rs2_val == -5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x5, 0x6, 3f, x3, 80,0) - -inst_30: -// rs2_val == -3, rs1_val == -268435457 -// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, -0x3, 0x400, 3f, x3, 84,0) - -inst_31: -// rs2_val == -2, rs1_val == -129 -// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:-0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, -0x2, 0x400, 1b, x3, 88,0) - -inst_32: -// rs1_val == 2147483647, rs2_val == 8 -// opcode: blt, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0x8; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x7fffffff, 0x8, 0x80, 3f, x3, 92,0) - -inst_33: -// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 134217728 -// opcode: blt, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x8000000; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000001, 0x8000000, 0x40, 3f, x3, 96,0) - -inst_34: -// rs1_val == -536870913, -// opcode: blt, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x20000001, -0x201, 0x4, 3f, x3, 100,0) - -inst_35: -// rs1_val == -33554433, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2000001, -0x55555555, 0x4, 1b, x3, 104,0) - -inst_36: -// rs1_val == -16777217, -// opcode: blt, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x800001; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x1000001, -0x800001, 0x556, 1b, x3, 108,0) - -inst_37: -// rs1_val == -4194305, rs2_val == 1048576 -// opcode: blt, op1:x10; op2:x11; op1val:-0x400001; op2val:0x100000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x400001, 0x100000, 0x6, 3f, x3, 112,0) - -inst_38: -// rs1_val == -2097153, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x8000000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x8000000, 0x0, 3f, x3, 116,0) - -inst_39: -// rs1_val == -524289, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, -0x200001, 0x2, 3f, x3, 120,0) - -inst_40: -// rs1_val == -262145, rs2_val == 524288 -// opcode: blt, op1:x10; op2:x11; op1val:-0x40001; op2val:0x80000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40001, 0x80000, 0x2, 3f, x3, 124,0) - -inst_41: -// rs1_val == -131073, rs2_val == 131072 -// opcode: blt, op1:x10; op2:x11; op1val:-0x20001; op2val:0x20000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x20001, 0x20000, 0x400, 3f, x3, 128,0) - -inst_42: -// rs1_val == -32769, -// opcode: blt, op1:x10; op2:x11; op1val:-0x8001; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8001, -0xb503, 0x4, 1b, x3, 132,0) - -inst_43: -// rs1_val == -16385, -// opcode: blt, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x40001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x4001, -0x40001, 0x2, 3f, x3, 136,0) - -inst_44: -// rs1_val == -8193, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x40000001; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2001, -0x40000001, 0x20, 3f, x3, 140,0) - -inst_45: -// rs1_val == -4097, rs2_val == 256 -// opcode: blt, op1:x10; op2:x11; op1val:-0x1001; op2val:0x100; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x1001, 0x100, 0x4, 3f, x3, 144,0) - -inst_46: -// rs1_val == -513, rs2_val == 1431655765 -// opcode: blt, op1:x10; op2:x11; op1val:-0x201; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x201, 0x55555555, 0x8, 1b, x3, 148,0) - -inst_47: -// rs1_val == -257, -// opcode: blt, op1:x10; op2:x11; op1val:-0x101; op2val:-0x801; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x101, -0x801, 0x2, 3f, x3, 152,0) - -inst_48: -// rs1_val == -65, rs2_val == 2 -// opcode: blt, op1:x10; op2:x11; op1val:-0x41; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x41, 0x2, 0x400, 1b, x3, 156,0) - -inst_49: -// rs1_val == -33, -// opcode: blt, op1:x10; op2:x11; op1val:-0x21; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x21, 0x55555554, 0x80, 3f, x3, 160,0) - -inst_50: -// rs1_val == -17, -// opcode: blt, op1:x10; op2:x11; op1val:-0x11; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x11, 0x66666667, 0x10, 3f, x3, 164,0) - -inst_51: -// rs1_val == -3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x5, 0x100, 3f, x3, 168,0) - -inst_52: -// rs1_val == -2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2; op2val:-0x2001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2, -0x2001, 0x0, 3f, x3, 172,0) - -inst_53: -// rs2_val == -2147483648, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x80000000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x80000000, 0x8, 1b, x3, 176,0) - -inst_54: -// rs2_val == 1073741824, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x40000000, 0x2, 3f, x3, 180,0) - -inst_55: -// rs2_val == 536870912, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x20000000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x20000000, 0x2, 1b, x3, 184,0) - -inst_56: -// rs2_val == 268435456, -// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, 0x10000000, 0x6, 3f, x3, 188,0) - -inst_57: -// rs2_val == 67108864, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x4000000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x4000000, 0x400, 3f, x3, 192,0) - -inst_58: -// rs2_val == 33554432, -// opcode: blt, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x9, 0x2000000, 0xa, 1b, x3, 196,0) - -inst_59: -// rs2_val == 16777216, rs1_val == 2097152 -// opcode: blt, op1:x10; op2:x11; op1val:0x200000; op2val:0x1000000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x200000, 0x1000000, 0x100, 3f, x3, 200,0) - -inst_60: -// rs2_val == 8388608, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x800000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x800000, 0x100, 3f, x3, 204,0) - -inst_61: -// rs2_val == 4194304, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x400000; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x400000, 0x20, 3f, x3, 208,0) - -inst_62: -// rs2_val == 2097152, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200000, 0xa, 1b, x3, 212,0) - -inst_63: -// rs2_val == 262144, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x40000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x40000, 0x100, 3f, x3, 216,0) - -inst_64: -// rs2_val == 65536, rs1_val == 8192 -// opcode: blt, op1:x10; op2:x11; op1val:0x2000; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000, 0x10000, 0x6, 3f, x3, 220,0) - -inst_65: -// rs2_val == 32768, -// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x8000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, 0x8000, 0x2, 3f, x3, 224,0) - -inst_66: -// rs2_val == 16384, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4000, 0x400, 3f, x3, 228,0) - -inst_67: -// rs2_val == 8192, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2000; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2000, 0x10, 3f, x3, 232,0) - -inst_68: -// rs2_val == 4096, -// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:0x1000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, 0x1000, 0x6, 3f, x3, 236,0) - -inst_69: -// rs2_val == 2048, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x800; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x800, 0x2, 3f, x3, 240,0) - -inst_70: -// rs2_val == 1024, -// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x400; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, 0x400, 0x556, 1b, x3, 244,0) - -inst_71: -// rs2_val == 512, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200, 0x80, 3f, x3, 248,0) - -inst_72: -// rs2_val == 128, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x80; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x80, 0x40, 3f, x3, 252,0) - -inst_73: -// rs2_val == 64, -// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:0x40; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, 0x40, 0x10, 3f, x3, 256,0) - -inst_74: -// rs2_val == 32, -// opcode: blt, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x20; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3fffffff, 0x20, 0x0, 1b, x3, 260,0) - -inst_75: -// rs2_val == 16, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x10; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x10, 0x100, 3f, x3, 264,0) - -inst_76: -// rs2_val == 4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, 0x4, 0x4, 1b, x3, 268,0) - -inst_77: -// rs2_val == 1, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x1, 0x4, 1b, x3, 272,0) - -inst_78: -// rs1_val == -2147483648, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80000000, -0x2, 0x6, 1b, x3, 276,0) - -inst_79: -// rs1_val == 1073741824, rs2_val == -1431655766 -// opcode: blt, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000000, -0x55555556, 0x20, 3f, x3, 280,0) - -inst_80: -// rs1_val == 536870912, -// opcode: blt, op1:x10; op2:x11; op1val:0x20000000; op2val:0x4000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000000, 0x4000, 0x0, 1b, x3, 284,0) - -inst_81: -// rs1_val == 268435456, -// opcode: blt, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x41; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000000, -0x41, 0xa, 1b, x3, 288,0) - -inst_82: -// rs1_val == 134217728, -// opcode: blt, op1:x10; op2:x11; op1val:0x8000000; op2val:0x8000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000000, 0x8000, 0x0, 3f, x3, 292,0) - -inst_83: -// rs1_val == 33554432, -// opcode: blt, op1:x10; op2:x11; op1val:0x2000000; op2val:0x8000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000000, 0x8000000, 0xa, 1b, x3, 296,0) - -inst_84: -// rs1_val == 16777216, -// opcode: blt, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000000, 0x40000, 0x8, 3f, x3, 300,0) - -inst_85: -// rs1_val == 8388608, -// opcode: blt, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x800000, 0x40000, 0x8, 1b, x3, 304,0) - -inst_86: -// rs1_val == 4194304, -// opcode: blt, op1:x10; op2:x11; op1val:0x400000; op2val:-0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x400000, -0x5, 0x556, 1b, x3, 308,0) - -inst_87: -// rs1_val == 524288, -// opcode: blt, op1:x10; op2:x11; op1val:0x80000; op2val:-0x80000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x80000, -0x80000000, 0xa, 1b, x3, 312,0) - -inst_88: -// rs1_val == 262144, -// opcode: blt, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000, 0x1, 0xa, 1b, x3, 316,0) - -inst_89: -// rs1_val == 131072, -// opcode: blt, op1:x10; op2:x11; op1val:0x20000; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000, 0x33333332, 0x2, 3f, x3, 320,0) - -inst_90: -// rs1_val == 65536, -// opcode: blt, op1:x10; op2:x11; op1val:0x10000; op2val:0x2000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000, 0x2000, 0x100, 3f, x3, 324,0) - -inst_91: -// rs1_val == 32768, -// opcode: blt, op1:x10; op2:x11; op1val:0x8000; op2val:0x4000; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000, 0x4000, 0x40, 3f, x3, 328,0) - -inst_92: -// rs1_val == 16384, -// opcode: blt, op1:x10; op2:x11; op1val:0x4000; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4000, -0x81, 0x4, 3f, x3, 332,0) - -inst_93: -// rs1_val == 4096, -// opcode: blt, op1:x10; op2:x11; op1val:0x1000; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000, 0x2000000, 0xa, 1b, x3, 336,0) - -inst_94: -// rs1_val == 512, -// opcode: blt, op1:x10; op2:x11; op1val:0x200; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x200, -0x201, 0x4, 1b, x3, 340,0) - -inst_95: -// rs1_val == 256, -// opcode: blt, op1:x10; op2:x11; op1val:0x100; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x100, 0x6, 0x556, 1b, x3, 344,0) - -inst_96: -// rs1_val == 128, -// opcode: blt, op1:x10; op2:x11; op1val:0x80; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x80, -0xb503, 0x400, 1b, x3, 348,0) - -inst_97: -// rs1_val == 64, -// opcode: blt, op1:x10; op2:x11; op1val:0x40; op2val:0x10; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40, 0x10, 0x20, 3f, x3, 352,0) - -inst_98: -// rs1_val == 16, -// opcode: blt, op1:x10; op2:x11; op1val:0x10; op2val:-0x4001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10, -0x4001, 0x200, 3f, x3, 356,0) - -inst_99: -// rs1_val == 8, -// opcode: blt, op1:x10; op2:x11; op1val:0x8; op2val:0x40000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8, 0x40000, 0x2, 3f, x3, 360,0) - -inst_100: -// rs1_val == 1, -// opcode: blt, op1:x10; op2:x11; op1val:0x1; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1, 0x2000000, 0xa, 1b, x3, 364,0) - -inst_101: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb505, 0x0, 1b, x3, 368,0) - -inst_102: -// rs1_val==46341 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb503, 0x200, 3f, x3, 372,0) - -inst_103: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666667, 0x8, 3f, x3, 376,0) - -inst_104: -// rs1_val==46341 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x3, 380,0) - -inst_105: -// rs1_val==46341 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x6, 0x6, 1b, x3, 384,0) - -inst_106: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555555, 0x80, 3f, x3, 388,0) - -inst_107: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555556, 0x0, 3f, x3, 392,0) - -inst_108: -// rs1_val==46341 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x4, 0xa, 1b, x3, 396,0) - -inst_109: -// rs1_val==46341 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb503, 0x0, 1b, x3, 400,0) - -inst_110: -// rs1_val==46341 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x0, 0x4, 3f, x3, 404,0) - -inst_111: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666665, 0x20, 3f, x3, 408,0) - -inst_112: -// rs1_val==46341 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333332, 0x400, 1b, x3, 412,0) - -inst_113: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x3, 416,0) - -inst_114: -// rs1_val==46341 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x2, 0x8, 3f, x3, 420,0) - -inst_115: -// rs1_val==46341 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb504, 0x80, 3f, x3, 424,0) - -inst_116: -// rs1_val==46341 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb504, 0x6, 1b, x3, 428,0) - -inst_117: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666666, 0x40, 3f, x3, 432,0) - -inst_118: -// rs1_val==46341 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333333, 0x8, 1b, x3, 436,0) - -inst_119: -// rs1_val==46341 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x5, 0x4, 1b, x3, 440,0) - -inst_120: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555556, 0x2, 3f, x3, 444,0) - -inst_121: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x3, 448,0) - -inst_122: -// rs1_val==46341 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x3, 0x400, 1b, x3, 452,0) - -inst_123: -// rs1_val==-46339 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb505, 0x20, 3f, x3, 456,0) - -inst_124: -// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb503, 0x4, 3f, x3, 460,0) - -inst_125: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666667, 0x556, 1b, x3, 464,0) - -inst_126: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333334, 0x8, 3f, x3, 468,0) - -inst_127: -// rs1_val==-46339 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x6, 0x556, 1b, x3, 472,0) - -inst_128: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555555, 0x2, 1b, x3, 476,0) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x3, 480,0) - -inst_130: -// rs1_val==-46339 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x4, 0x20, 3f, x3, 484,0) - -inst_131: -// rs1_val==-46339 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb503, 0x80, 3f, x3, 488,0) - -inst_132: -// rs1_val==-46339 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x0, 0x8, 1b, x3, 492,0) - -inst_133: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666665, 0x20, 3f, x3, 496,0) - -inst_134: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333332, 0x0, 3f, x3, 500,0) - -inst_135: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555554, 0x200, 3f, x3, 504,0) - -inst_136: -// rs1_val==-46339 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x2, 0xa, 1b, x3, 508,0) - -inst_137: -// rs1_val==-46339 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb504, 0x2, 3f, x3, 512,0) - -inst_138: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb504, 0x8, 1b, x3, 516,0) - -inst_139: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666666, 0x400, 1b, x3, 520,0) - -inst_140: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333333, 0xa, 1b, x3, 524,0) - -inst_141: -// rs1_val==-46339 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x5, 0x0, 1b, x3, 528,0) - -inst_142: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555556, 0x100, 3f, x3, 532,0) - -inst_143: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555555, 0x4, 1b, x3, 536,0) - -inst_144: -// rs1_val==-46339 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x3, 0xa, 1b, x3, 540,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb505, 0x0, 3f, x3, 544,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb503, 0x8, 3f, x3, 548,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666667, 0x556, 1b, x3, 552,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x3, 556,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x6, 0xa, 1b, x3, 560,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555555, 0x40, 3f, x3, 564,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x3, 568,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x4, 0x0, 3f, x3, 572,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb503, 0x4, 3f, x3, 576,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x0, 0x400, 3f, x3, 580,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666665, 0x4, 1b, x3, 584,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333332, 0x2, 1b, x3, 588,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555554, 0x40, 3f, x3, 592,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x2, 0x10, 3f, x3, 596,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb504, 0x400, 3f, x3, 600,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb504, 0x6, 1b, x3, 604,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x3, 608,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333333, 0x4, 3f, x3, 612,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x5, 0x6, 3f, x3, 616,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555556, 0x80, 3f, x3, 620,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 1b, x3, 624,0) - -inst_166: -// rs1_val==1717986919 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x3, 0x0, 3f, x3, 628,0) - -inst_167: -// rs1_val==858993460 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x3, 632,0) - -inst_168: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb503, 0x4, 3f, x3, 636,0) - -inst_169: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x3, 640,0) - -inst_170: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333334, 0x20, 3f, x3, 644,0) - -inst_171: -// rs1_val==858993460 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x6, 0x0, 1b, x3, 648,0) - -inst_172: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555555, 0x400, 3f, x3, 652,0) - -inst_173: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555556, 0x6, 3f, x3, 656,0) - -inst_174: -// rs1_val==858993460 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x4, 0x8, 1b, x3, 660,0) - -inst_175: -// rs1_val==858993460 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb503, 0x2, 3f, x3, 664,0) - -inst_176: -// rs1_val==858993460 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x0, 0x6, 3f, x3, 668,0) - -inst_177: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666665, 0x0, 1b, x3, 672,0) - -inst_178: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333332, 0x2, 1b, x3, 676,0) - -inst_179: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555554, 0x6, 3f, x3, 680,0) - -inst_180: -// rs1_val==858993460 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x2, 0x200, 3f, x3, 684,0) - -inst_181: -// rs1_val==858993460 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb504, 0x4, 3f, x3, 688,0) - -inst_182: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb504, 0x20, 3f, x3, 692,0) - -inst_183: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666666, 0x2, 3f, x3, 696,0) - -inst_184: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333333, 0x4, 1b, x3, 700,0) - -inst_185: -// rs1_val==858993460 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x5, 0x6, 1b, x3, 704,0) - -inst_186: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555556, 0x4, 1b, x3, 708,0) - -inst_187: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555555, 0x80, 3f, x3, 712,0) - -inst_188: -// rs1_val==858993460 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x3, 0x40, 3f, x3, 716,0) - -inst_189: -// rs1_val==6 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb505, 0x556, 1b, x3, 720,0) - -inst_190: -// rs1_val==6 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb503, 0x80, 3f, x3, 724,0) - -inst_191: -// rs1_val==6 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x3, 728,0) - -inst_192: -// rs1_val==6 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333334, 0x400, 3f, x3, 732,0) - -inst_193: -// rs1_val==6 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x6, 0x200, 3f, x3, 736,0) - -inst_194: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555555, 0x0, 1b, x3, 740,0) - -inst_195: -// rs1_val==6 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555556, 0x40, 3f, x3, 744,0) - -inst_196: -// rs1_val==6 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x4, 0x4, 3f, x3, 748,0) - -inst_197: -// rs1_val==6 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb503, 0x2, 3f, x3, 752,0) - -inst_198: -// rs1_val==6 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x0, 0x6, 1b, x3, 756,0) - -inst_199: -// rs1_val==6 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666665, 0xa, 1b, x3, 760,0) - -inst_200: -// rs1_val==6 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x3, 764,0) - -inst_201: -// rs1_val==6 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555554, 0x20, 3f, x3, 768,0) - -inst_202: -// rs1_val==6 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x2, 0x0, 3f, x3, 772,0) - -inst_203: -// rs1_val==6 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x3, 776,0) - -inst_204: -// rs1_val==6 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb504, 0x200, 3f, x3, 780,0) - -inst_205: -// rs1_val==6 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666666, 0x40, 3f, x3, 784,0) - -inst_206: -// rs1_val==6 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333333, 0xa, 1b, x3, 788,0) - -inst_207: -// rs1_val==6 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x5, 0x200, 3f, x3, 792,0) - -inst_208: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555556, 0x0, 3f, x3, 796,0) - -inst_209: -// rs1_val==6 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555555, 0x2, 1b, x3, 800,0) - -inst_210: -// rs1_val==6 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x3, 0x40, 3f, x3, 804,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x3, 808,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb503, 0x2, 1b, x3, 812,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666667, 0x6, 1b, x3, 816,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333334, 0x556, 1b, x3, 820,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x6, 0x10, 3f, x3, 824,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555555, 0x20, 3f, x3, 828,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555556, 0x40, 3f, x3, 832,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x4, 0x8, 1b, x3, 836,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb503, 0x0, 1b, x3, 840,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x0, 0x0, 1b, x3, 844,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666665, 0x20, 3f, x3, 848,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333332, 0x556, 1b, x3, 852,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555554, 0x40, 3f, x3, 856,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x2, 0x400, 1b, x3, 860,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb504, 0x556, 1b, x3, 864,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb504, 0x10, 3f, x3, 868,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666666, 0x6, 3f, x3, 872,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333333, 0x400, 3f, x3, 876,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x5, 0x80, 3f, x3, 880,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555556, 0x4, 3f, x3, 884,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555555, 0x20, 3f, x3, 888,0) - -inst_232: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x3, 0x4, 1b, x3, 892,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb505, 0x80, 3f, x3, 896,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb503, 0x0, 3f, x3, 900,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666667, 0x10, 3f, x3, 904,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333334, 0x40, 3f, x3, 908,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x6, 0x556, 1b, x3, 912,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555555, 0x10, 3f, x3, 916,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x3, 920,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x4, 0x6, 3f, x3, 924,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb503, 0x20, 3f, x3, 928,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x0, 0x556, 1b, x3, 932,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x3, 936,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x3, 940,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555554, 0x8, 3f, x3, 944,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x2, 0xa, 1b, x3, 948,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x3, 952,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb504, 0x40, 3f, x3, 956,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 3f, x3, 960,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x3, 964,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x5, 0x556, 1b, x3, 968,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555556, 0x2, 3f, x3, 972,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555555, 0x100, 3f, x3, 976,0) - -inst_254: -// rs1_val==1431655766 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x3, 980,0) - -inst_255: -// rs1_val==4 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb505, 0x8, 1b, x3, 984,0) - -inst_256: -// rs1_val==4 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb503, 0x0, 1b, x3, 988,0) - -inst_257: -// rs1_val==4 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666667, 0x20, 3f, x3, 992,0) - -inst_258: -// rs1_val==4 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x3, 996,0) - -inst_259: -// rs1_val==4 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x6, 0x2, 1b, x3, 1000,0) - -inst_260: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555555, 0x100, 3f, x3, 1004,0) - -inst_261: -// rs1_val==4 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555556, 0x4, 3f, x3, 1008,0) - -inst_262: -// rs1_val==4 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x4, 0x400, 1b, x3, 1012,0) - -inst_263: -// rs1_val==4 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb503, 0x0, 3f, x3, 1016,0) - -inst_264: -// rs1_val==4 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x0, 0x2, 1b, x3, 1020,0) - -inst_265: -// rs1_val==4 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666665, 0x4, 3f, x3, 1024,0) - -inst_266: -// rs1_val==4 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333332, 0x100, 3f, x3, 1028,0) - -inst_267: -// rs1_val==4 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555554, 0x2, 1b, x3, 1032,0) - -inst_268: -// rs1_val==4 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x2, 0x6, 1b, x3, 1036,0) - -inst_269: -// rs1_val==4 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb504, 0x20, 3f, x3, 1040,0) - -inst_270: -// rs1_val==4 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb504, 0x400, 1b, x3, 1044,0) - -inst_271: -// rs1_val==4 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666666, 0x10, 3f, x3, 1048,0) - -inst_272: -// rs1_val==4 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333333, 0x2, 3f, x3, 1052,0) - -inst_273: -// rs1_val==4 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x3, 1056,0) - -inst_274: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555556, 0x2, 3f, x3, 1060,0) - -inst_275: -// rs1_val==4 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555555, 0x8, 1b, x3, 1064,0) - -inst_276: -// rs1_val==4 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x3, 0x556, 1b, x3, 1068,0) - -inst_277: -// rs1_val==46339 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb505, 0x2, 3f, x3, 1072,0) - -inst_278: -// rs1_val==46339 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb503, 0x6, 3f, x3, 1076,0) - -inst_279: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x3, 1080,0) - -inst_280: -// rs1_val==46339 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333334, 0x400, 3f, x3, 1084,0) - -inst_281: -// rs1_val==46339 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x3, 1088,0) - -inst_282: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555555, 0x20, 3f, x3, 1092,0) - -inst_283: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x3, 1096,0) - -inst_284: -// rs1_val==46339 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x4, 0x100, 3f, x3, 1100,0) - -inst_285: -// rs1_val==46339 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb503, 0x400, 3f, x3, 1104,0) - -inst_286: -// rs1_val==46339 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x0, 0x200, 3f, x3, 1108,0) - -inst_287: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666665, 0x4, 3f, x3, 1112,0) - -inst_288: -// rs1_val==46339 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333332, 0x4, 1b, x3, 1116,0) - -inst_289: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555554, 0x40, 3f, x3, 1120,0) - -inst_290: -// rs1_val==46339 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x2, 0x80, 3f, x3, 1124,0) - -inst_291: -// rs1_val==46339 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb504, 0x8, 3f, x3, 1128,0) - -inst_292: -// rs1_val==46339 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb504, 0x10, 3f, x3, 1132,0) - -inst_293: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x3, 1136,0) - -inst_294: -// rs1_val==46339 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333333, 0x200, 3f, x3, 1140,0) - -inst_295: -// rs1_val==46339 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x5, 0x200, 3f, x3, 1144,0) - -inst_296: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555556, 0x2, 1b, x3, 1148,0) - -inst_297: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x3, 1152,0) - -inst_298: -// rs1_val==46339 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x3, 0x2, 3f, x3, 1156,0) - -inst_299: -// rs1_val==0 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb505, 0x0, 3f, x3, 1160,0) - -inst_300: -// rs1_val==0 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb503, 0x4, 1b, x3, 1164,0) - -inst_301: -// rs1_val==0 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666667, 0x6, 1b, x3, 1168,0) - -inst_302: -// rs1_val==0 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333334, 0x80, 3f, x3, 1172,0) - -inst_303: -// rs1_val==0 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x6, 0x0, 1b, x3, 1176,0) - -inst_304: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555555, 0x0, 1b, x3, 1180,0) - -inst_305: -// rs1_val==0 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555556, 0x8, 1b, x3, 1184,0) - -inst_306: -// rs1_val==0 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x4, 0x80, 3f, x3, 1188,0) - -inst_307: -// rs1_val==0 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb503, 0x8, 3f, x3, 1192,0) - -inst_308: -// rs1_val==0 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x0, 0x4, 1b, x3, 1196,0) - -inst_309: -// rs1_val==0 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666665, 0x10, 3f, x3, 1200,0) - -inst_310: -// rs1_val==0 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333332, 0x0, 3f, x3, 1204,0) - -inst_311: -// rs1_val==0 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555554, 0x40, 3f, x3, 1208,0) - -inst_312: -// rs1_val==0 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x2, 0x20, 3f, x3, 1212,0) - -inst_313: -// rs1_val==0 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb504, 0x100, 3f, x3, 1216,0) - -inst_314: -// rs1_val==0 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb504, 0x556, 1b, x3, 1220,0) - -inst_315: -// rs1_val==0 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666666, 0x8, 1b, x3, 1224,0) - -inst_316: -// rs1_val==0 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333333, 0x4, 3f, x3, 1228,0) - -inst_317: -// rs1_val==0 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x5, 0x20, 3f, x3, 1232,0) - -inst_318: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x3, 1236,0) - -inst_319: -// rs1_val==0 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555555, 0x4, 1b, x3, 1240,0) - -inst_320: -// rs1_val==0 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x3, 0x400, 3f, x3, 1244,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb505, 0x100, 3f, x3, 1248,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb503, 0x400, 1b, x3, 1252,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666667, 0x2, 3f, x3, 1256,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333334, 0x400, 3f, x3, 1260,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x6, 0x10, 3f, x3, 1264,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 1b, x3, 1268,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555556, 0xa, 1b, x3, 1272,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x4, 0x4, 3f, x3, 1276,0) - -inst_329: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x3, 1280,0) - -inst_330: -// rs1_val==1717986917 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x0, 0x2, 3f, x3, 1284,0) - -inst_331: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x3, 1288,0) - -inst_332: -// rs1_val==858993459 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x0, 0x0, 3f, x3, 1292,0) - -inst_333: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x3, 1296,0) - -inst_334: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x3, 1300,0) - -inst_335: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555554, 0x400, 3f, x3, 1304,0) - -inst_336: -// rs1_val==858993459 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x2, 0x10, 3f, x3, 1308,0) - -inst_337: -// rs1_val==858993459 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x3, 1312,0) - -inst_338: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb504, 0x80, 3f, x3, 1316,0) - -inst_339: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x3, 1320,0) - -inst_340: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x3, 1324,0) - -inst_341: -// rs1_val==858993459 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x5, 0x6, 1b, x3, 1328,0) - -inst_342: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x3, 1332,0) - -inst_343: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x3, 1336,0) - -inst_344: -// rs1_val==858993459 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x3, 0x400, 3f, x3, 1340,0) - -inst_345: -// rs1_val==5 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb505, 0x4, 1b, x3, 1344,0) - -inst_346: -// rs1_val==5 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb503, 0x2, 1b, x3, 1348,0) - -inst_347: -// rs1_val==5 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666667, 0x4, 3f, x3, 1352,0) - -inst_348: -// rs1_val==5 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333334, 0x4, 1b, x3, 1356,0) - -inst_349: -// rs1_val==5 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x6, 0x2, 1b, x3, 1360,0) - -inst_350: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555555, 0x400, 3f, x3, 1364,0) - -inst_351: -// rs1_val==5 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555556, 0x6, 1b, x3, 1368,0) - -inst_352: -// rs1_val==5 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x4, 0x6, 3f, x3, 1372,0) - -inst_353: -// rs1_val==5 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x3, 1376,0) - -inst_354: -// rs1_val==5 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x0, 0x400, 3f, x3, 1380,0) - -inst_355: -// rs1_val==5 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666665, 0x400, 3f, x3, 1384,0) - -inst_356: -// rs1_val==5 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333332, 0x0, 3f, x3, 1388,0) - -inst_357: -// rs1_val==5 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555554, 0x2, 1b, x3, 1392,0) - -inst_358: -// rs1_val==5 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x2, 0x20, 3f, x3, 1396,0) - -inst_359: -// rs1_val==5 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb504, 0x40, 3f, x3, 1400,0) - -inst_360: -// rs1_val==5 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb504, 0x4, 1b, x3, 1404,0) - -inst_361: -// rs1_val==5 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666666, 0x0, 3f, x3, 1408,0) - -inst_362: -// rs1_val==5 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333333, 0x556, 1b, x3, 1412,0) - -inst_363: -// rs1_val==5 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x5, 0x200, 3f, x3, 1416,0) - -inst_364: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555556, 0x400, 3f, x3, 1420,0) - -inst_365: -// rs1_val==5 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555555, 0x400, 1b, x3, 1424,0) - -inst_366: -// rs1_val==5 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x3, 0x4, 3f, x3, 1428,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x3, 1432,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb503, 0x8, 1b, x3, 1436,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666667, 0x6, 1b, x3, 1440,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333334, 0xa, 1b, x3, 1444,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x6, 0x4, 3f, x3, 1448,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555555, 0x200, 3f, x3, 1452,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555556, 0x80, 3f, x3, 1456,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x3, 1460,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb503, 0x0, 1b, x3, 1464,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x0, 0xa, 1b, x3, 1468,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666665, 0x556, 1b, x3, 1472,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333332, 0x20, 3f, x3, 1476,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555554, 0x8, 1b, x3, 1480,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x2, 0x6, 3f, x3, 1484,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x3, 1488,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb504, 0x556, 1b, x3, 1492,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666666, 0x556, 1b, x3, 1496,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333333, 0x20, 3f, x3, 1500,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x5, 0x0, 3f, x3, 1504,0) - -inst_386: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555556, 0x6, 3f, x3, 1508,0) - -inst_387: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555555, 0x2, 1b, x3, 1512,0) - -inst_388: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x3, 0xa, 1b, x3, 1516,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb505, 0x4, 3f, x3, 1520,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x3, 1524,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x3, 1528,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x3, 1532,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x6, 0x4, 1b, x3, 1536,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555555, 0x4, 1b, x3, 1540,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555556, 0x8, 1b, x3, 1544,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x4, 0x10, 3f, x3, 1548,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb503, 0x400, 1b, x3, 1552,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x0, 0x556, 1b, x3, 1556,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666665, 0x80, 3f, x3, 1560,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333332, 0x10, 3f, x3, 1564,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555554, 0x200, 3f, x3, 1568,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x2, 0x0, 3f, x3, 1572,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb504, 0x10, 3f, x3, 1576,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb504, 0x8, 3f, x3, 1580,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666666, 0x200, 3f, x3, 1584,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333333, 0x400, 3f, x3, 1588,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x5, 0x8, 1b, x3, 1592,0) - -inst_408: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555556, 0x200, 3f, x3, 1596,0) - -inst_409: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555555, 0x4, 3f, x3, 1600,0) - -inst_410: -// rs1_val==1431655765 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x3, 0x400, 3f, x3, 1604,0) - -inst_411: -// rs1_val==3 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb505, 0x6, 3f, x3, 1608,0) - -inst_412: -// rs1_val==3 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb503, 0xa, 1b, x3, 1612,0) - -inst_413: -// rs1_val==3 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666667, 0x20, 3f, x3, 1616,0) - -inst_414: -// rs1_val==3 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333334, 0x8, 1b, x3, 1620,0) - -inst_415: -// rs1_val==3 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x6, 0x100, 3f, x3, 1624,0) - -inst_416: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x3, 1628,0) - -inst_417: -// rs1_val==3 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555556, 0x10, 3f, x3, 1632,0) - -inst_418: -// rs1_val==3 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x4, 0x8, 3f, x3, 1636,0) - -inst_419: -// rs1_val==3 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb503, 0x4, 3f, x3, 1640,0) - -inst_420: -// rs1_val==3 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x0, 0x2, 1b, x3, 1644,0) - -inst_421: -// rs1_val==3 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666665, 0x400, 1b, x3, 1648,0) - -inst_422: -// rs1_val==3 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333332, 0x200, 3f, x3, 1652,0) - -inst_423: -// rs1_val==3 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555554, 0x0, 3f, x3, 1656,0) - -inst_424: -// rs1_val==3 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2, 0x200, 3f, x3, 1660,0) - -inst_425: -// rs1_val==3 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb504, 0x556, 1b, x3, 1664,0) - -inst_426: -// rs1_val==3 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb504, 0x40, 3f, x3, 1668,0) - -inst_427: -// rs1_val==3 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666666, 0x4, 1b, x3, 1672,0) - -inst_428: -// rs1_val==3 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333333, 0x0, 1b, x3, 1676,0) - -inst_429: -// rs1_val==3 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x5, 0x4, 3f, x3, 1680,0) - -inst_430: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555556, 0xa, 1b, x3, 1684,0) - -inst_431: -// rs1_val==3 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555555, 0x556, 1b, x3, 1688,0) - -inst_432: -// rs1_val==3 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x3, 0x6, 3f, x3, 1692,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333332, 0x0, 1b, x3, 1696,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555554, 0x8, 3f, x3, 1700,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x2, 0x8, 1b, x3, 1704,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb504, 0x20, 3f, x3, 1708,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x3, 1712,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666666, 0x400, 1b, x3, 1716,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333333, 0x8, 1b, x3, 1720,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x5, 0x8, 1b, x3, 1724,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555556, 0x20, 3f, x3, 1728,0) - -inst_442: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 1b, x3, 1732,0) - -inst_443: -// rs1_val==1717986917 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x3, 0x0, 3f, x3, 1736,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb505, 0x8, 1b, x3, 1740,0) - -inst_445: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb503, 0x20, 3f, x3, 1744,0) - -inst_446: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666667, 0x400, 1b, x3, 1748,0) - -inst_447: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333334, 0x0, 1b, x3, 1752,0) - -inst_448: -// rs1_val==858993458 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x3, 1756,0) - -inst_449: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x3, 1760,0) - -inst_450: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x3, 1764,0) - -inst_451: -// rs1_val==858993458 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x4, 0x4, 1b, x3, 1768,0) - -inst_452: -// rs1_val==858993458 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb503, 0x0, 1b, x3, 1772,0) - -inst_453: -// rs1_val==858993458 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x0, 0x8, 3f, x3, 1776,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666665, 0x400, 3f, x3, 1780,0) - -inst_455: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333332, 0x8, 3f, x3, 1784,0) - -inst_456: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x3, 1788,0) - -inst_457: -// rs1_val==858993458 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x2, 0x4, 1b, x3, 1792,0) - -inst_458: -// rs1_val==858993458 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x3, 1796,0) - -inst_459: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb504, 0x10, 3f, x3, 1800,0) - -inst_460: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666666, 0x200, 3f, x3, 1804,0) - -inst_461: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333333, 0x6, 3f, x3, 1808,0) - -inst_462: -// rs1_val==858993458 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x5, 0x400, 1b, x3, 1812,0) - -inst_463: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555556, 0xa, 1b, x3, 1816,0) - -inst_464: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555555, 0x6, 3f, x3, 1820,0) - -inst_465: -// rs1_val==858993458 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x3, 1824,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb505, 0x40, 3f, x3, 1828,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb503, 0x40, 3f, x3, 1832,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666667, 0x200, 3f, x3, 1836,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x3, 1840,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x6, 0x400, 1b, x3, 1844,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x3, 1848,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555556, 0x40, 3f, x3, 1852,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x4, 0x0, 3f, x3, 1856,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb503, 0x0, 1b, x3, 1860,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x0, 0x10, 3f, x3, 1864,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666665, 0x20, 3f, x3, 1868,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x3, 1872,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555554, 0x400, 1b, x3, 1876,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x2, 0x200, 3f, x3, 1880,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x3, 1884,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb504, 0x0, 3f, x3, 1888,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666666, 0x4, 1b, x3, 1892,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 3f, x3, 1896,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x5, 0x100, 3f, x3, 1900,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555556, 0x0, 1b, x3, 1904,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x3, 1908,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x3, 0x20, 3f, x3, 1912,0) - -inst_488: -// rs1_val==2 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb505, 0x2, 3f, x3, 1916,0) - -inst_489: -// rs1_val==2 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb503, 0x2, 3f, x3, 1920,0) - -inst_490: -// rs1_val==2 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666667, 0x4, 1b, x3, 1924,0) - -inst_491: -// rs1_val==2 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333334, 0x10, 3f, x3, 1928,0) - -inst_492: -// rs1_val==2 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x6, 0xa, 1b, x3, 1932,0) - -inst_493: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555555, 0x4, 3f, x3, 1936,0) - -inst_494: -// rs1_val==2 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555556, 0x2, 1b, x3, 1940,0) - -inst_495: -// rs1_val==2 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x4, 0x8, 1b, x3, 1944,0) - -inst_496: -// rs1_val==2 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb503, 0x4, 1b, x3, 1948,0) - -inst_497: -// rs1_val==2 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x0, 0x6, 1b, x3, 1952,0) - -inst_498: -// rs1_val==2 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666665, 0x40, 3f, x3, 1956,0) - -inst_499: -// rs1_val==2 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333332, 0x400, 3f, x3, 1960,0) - -inst_500: -// rs1_val==2 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555554, 0x40, 3f, x3, 1964,0) - -inst_501: -// rs1_val==2 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x2, 0x2, 3f, x3, 1968,0) - -inst_502: -// rs1_val==2 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb504, 0x4, 1b, x3, 1972,0) - -inst_503: -// rs1_val==2 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb504, 0x8, 3f, x3, 1976,0) - -inst_504: -// rs1_val==2 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666666, 0x200, 3f, x3, 1980,0) - -inst_505: -// rs1_val==2 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333333, 0x0, 3f, x3, 1984,0) - -inst_506: -// rs1_val==2 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x5, 0x8, 1b, x3, 1988,0) - -inst_507: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555556, 0x40, 3f, x3, 1992,0) - -inst_508: -// rs1_val==2 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555555, 0x2, 3f, x3, 1996,0) - -inst_509: -// rs1_val==2 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x3, 0x100, 3f, x3, 2000,0) - -inst_510: -// rs1_val==46340 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb505, 0x4, 3f, x3, 2004,0) - -inst_511: -// rs1_val==46340 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb503, 0x100, 3f, x3, 2008,0) - -inst_512: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x3, 2012,0) - -inst_513: -// rs1_val==46340 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333334, 0x6, 1b, x3, 2016,0) - -inst_514: -// rs1_val==46340 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x6, 0x2, 1b, x3, 2020,0) - -inst_515: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555555, 0x6, 3f, x3, 2024,0) - -inst_516: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555556, 0x8, 1b, x3, 2028,0) - -inst_517: -// rs1_val==46340 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x3, 2032,0) - -inst_518: -// rs1_val==46340 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb503, 0x6, 1b, x3, 2036,0) - -inst_519: -// rs1_val==46340 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x0, 0x40, 3f, x3, 2040,0) - -inst_520: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666665, 0x4, 3f, x3, 2044,0) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_521: -// rs1_val==46340 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333332, 0xa, 1b, x3, 0,0) - -inst_522: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555554, 0x556, 1b, x3, 4,0) - -inst_523: -// rs1_val==46340 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x2, 0x8, 1b, x3, 8,0) - -inst_524: -// rs1_val==46340 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb504, 0xa, 1b, x3, 12,0) - -inst_525: -// rs1_val==46340 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb504, 0x0, 1b, x3, 16,0) - -inst_526: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666666, 0x6, 1b, x3, 20,0) - -inst_527: -// rs1_val==46340 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333333, 0xa, 1b, x3, 24,0) - -inst_528: -// rs1_val==46340 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x5, 0x80, 3f, x3, 28,0) - -inst_529: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555556, 0x80, 3f, x3, 32,0) - -inst_530: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555555, 0x6, 3f, x3, 36,0) - -inst_531: -// rs1_val==46340 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x3, 0x80, 3f, x3, 40,0) - -inst_532: -// rs1_val==-46340 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb505, 0x0, 1b, x3, 44,0) - -inst_533: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb503, 0x10, 3f, x3, 48,0) - -inst_534: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666667, 0x8, 3f, x3, 52,0) - -inst_535: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333334, 0xa, 1b, x3, 56,0) - -inst_536: -// rs1_val==-46340 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x6, 0xa, 1b, x3, 60,0) - -inst_537: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x3, 64,0) - -inst_538: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555556, 0x400, 3f, x3, 68,0) - -inst_539: -// rs1_val==-46340 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x4, 0x80, 3f, x3, 72,0) - -inst_540: -// rs1_val==-46340 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb503, 0x8, 3f, x3, 76,0) - -inst_541: -// rs1_val==-46340 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x3, 80,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x3, 84,0) - -inst_543: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333332, 0x400, 1b, x3, 88,0) - -inst_544: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555554, 0x2, 3f, x3, 92,0) - -inst_545: -// rs1_val==-46340 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x2, 0x100, 3f, x3, 96,0) - -inst_546: -// rs1_val==-46340 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb504, 0x2, 1b, x3, 100,0) - -inst_547: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb504, 0x200, 3f, x3, 104,0) - -inst_548: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666666, 0x4, 3f, x3, 108,0) - -inst_549: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333333, 0x556, 1b, x3, 112,0) - -inst_550: -// rs1_val==-46340 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x5, 0x40, 3f, x3, 116,0) - -inst_551: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555556, 0x0, 1b, x3, 120,0) - -inst_552: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555555, 0x10, 3f, x3, 124,0) - -inst_553: -// rs1_val==-46340 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x3, 128,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x3, 132,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb503, 0xa, 1b, x3, 136,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666667, 0x400, 1b, x3, 140,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333334, 0x200, 3f, x3, 144,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x6, 0x0, 1b, x3, 148,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555555, 0x40, 3f, x3, 152,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x3, 156,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4, 0x400, 3f, x3, 160,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb503, 0x80, 3f, x3, 164,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x3, 168,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666665, 0x4, 1b, x3, 172,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333332, 0x10, 3f, x3, 176,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x3, 180,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x2, 0x2, 3f, x3, 184,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb504, 0x400, 1b, x3, 188,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb504, 0x400, 1b, x3, 192,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666666, 0x6, 3f, x3, 196,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333333, 0x8, 3f, x3, 200,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x5, 0x556, 1b, x3, 204,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555556, 0x8, 1b, x3, 208,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555555, 0x0, 1b, x3, 212,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x3, 0x8, 1b, x3, 216,0) - -inst_576: -// rs1_val==858993459 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb505, 0x10, 3f, x3, 220,0) - -inst_577: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb503, 0x80, 3f, x3, 224,0) - -inst_578: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666667, 0x556, 1b, x3, 228,0) - -inst_579: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333334, 0x2, 1b, x3, 232,0) - -inst_580: -// rs1_val==858993459 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x6, 0x2, 1b, x3, 236,0) - -inst_581: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555555, 0x400, 1b, x3, 240,0) - -inst_582: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x3, 244,0) - -inst_583: -// rs1_val==858993459 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x4, 0x20, 3f, x3, 248,0) - -inst_584: -// rs1_val==858993459 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb503, 0x80, 3f, x3, 252,0) - -inst_585: -// rs2_val == -268435457, rs1_val == 1048576 -// opcode: blt, op1:x10; op2:x11; op1val:0x100000; op2val:-0x10000001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x100000, -0x10000001, 0x200, 3f, x3, 256,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x6_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x6_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 65*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S deleted file mode 100644 index ef04e47c0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S +++ /dev/null @@ -1,3719 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bltu instruction of the RISC-V E extension for the bltu covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bltu) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 == rs2, rs1==x4, rs2==x4, rs1_val > 0 and rs2_val > 0, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs2_val == 512 -// opcode: bltu, op1:x4; op2:x4; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x4, x4, 0xdfffffff, 0xdfffffff, 0x6, 3f, x1, 0,0) - -inst_1: -// rs1 != rs2, rs1==x5, rs2==x6, rs2_val == 2147483647, rs1_val == 268435456, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 -// opcode: bltu, op1:x5; op2:x6; op1val:0x10000000; op2val:0x7fffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x5, x6, 0x10000000, 0x7fffffff, 0x6, 3f, x1, 4,0) - -inst_2: -// rs1==x15, rs2==x8, rs2_val == 3221225471, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 -// opcode: bltu, op1:x15; op2:x8; op1val:0xdfffffff; op2val:0xbfffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x7, x15, x8, 0xdfffffff, 0xbfffffff, 0x8, 1b, x1, 8,0) - -inst_3: -// rs1==x13, rs2==x15, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 -// opcode: bltu, op1:x13; op2:x15; op1val:0x3; op2val:0xdfffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x7, x13, x15, 0x3, 0xdfffffff, 0x0, 1b, x1, 12,0) - -inst_4: -// rs1==x2, rs2==x14, rs2_val == 4026531839, rs1_val == 134217728 -// opcode: bltu, op1:x2; op2:x14; op1val:0x8000000; op2val:0xefffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x2, x14, 0x8000000, 0xefffffff, 0x6, 1b, x1, 16,0) - -inst_5: -// rs1==x9, rs2==x11, rs2_val == 4160749567, -// opcode: bltu, op1:x9; op2:x11; op1val:0x66666665; op2val:0xf7ffffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x7, x9, x11, 0x66666665, 0xf7ffffff, 0x4, 1b, x1, 20,0) - -inst_6: -// rs1==x3, rs2==x2, rs2_val == 4227858431, -// opcode: bltu, op1:x3; op2:x2; op1val:0xffffffff; op2val:0xfbffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x7, x3, x2, 0xffffffff, 0xfbffffff, 0x0, 1b, x1, 24,0) - -inst_7: -// rs1==x7, rs2==x5, rs2_val == 4261412863, rs1_val == 2 -// opcode: bltu, op1:x7; op2:x5; op1val:0x2; op2val:0xfdffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x4, x7, x5, 0x2, 0xfdffffff, 0x6, 3f, x1, 28,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x6, rs2==x12, rs2_val == 4278190079, -// opcode: bltu, op1:x6; op2:x12; op1val:0x66666665; op2val:0xfeffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x4, x6, x12, 0x66666665, 0xfeffffff, 0x8, 3f, x2, 0,0) - -inst_9: -// rs1==x1, rs2==x9, rs2_val == 4286578687, rs1_val == 4294967167 -// opcode: bltu, op1:x1; op2:x9; op1val:0xffffff7f; op2val:0xff7fffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x4, x1, x9, 0xffffff7f, 0xff7fffff, 0x4, 3f, x2, 4,0) - -inst_10: -// rs1==x12, rs2==x10, rs2_val == 4290772991, rs1_val == 2147483648 -// opcode: bltu, op1:x12; op2:x10; op1val:0x80000000; op2val:0xffbfffff; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x4, x12, x10, 0x80000000, 0xffbfffff, 0x20, 3f, x2, 8,0) - -inst_11: -// rs1==x14, rs2==x13, rs2_val == 4292870143, -// opcode: bltu, op1:x14; op2:x13; op1val:0x0; op2val:0xffdfffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x4, x14, x13, 0x0, 0xffdfffff, 0x0, 3f, x2, 12,0) - -inst_12: -// rs1==x10, rs2==x7, rs2_val == 4293918719, -// opcode: bltu, op1:x10; op2:x7; op1val:0xffffff7f; op2val:0xffefffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x4, x10, x7, 0xffffff7f, 0xffefffff, 0x100, 3f, x2, 16,0) - -inst_13: -// rs1==x0, rs2==x3, rs2_val == 4294443007, rs1_val == 4290772991 -// opcode: bltu, op1:x0; op2:x3; op1val:0x0; op2val:0xfff7ffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x4, x0, x3, 0x0, 0xfff7ffff, 0x6, 1b, x2, 20,0) - -inst_14: -// rs1==x11, rs2==x0, rs2_val == 4294705151, -// opcode: bltu, op1:x11; op2:x0; op1val:0x9; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x4, x11, x0, 0x9, 0x0, 0x40, 3f, x2, 24,0) - -inst_15: -// rs1==x8, rs2==x1, rs2_val == 4294836223, rs1_val == 4294836223 -// opcode: bltu, op1:x8; op2:x1; op1val:0xfffdffff; op2val:0xfffdffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x8, x1, 0xfffdffff, 0xfffdffff, 0x0, 3f, x2, 28,0) - -inst_16: -// rs2_val == 4294901759, rs1_val == 2147483647 -// opcode: bltu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffeffff; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7fffffff, 0xfffeffff, 0x556, 1b, x2, 32,0) - -inst_17: -// rs2_val == 4294934527, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff7fff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff7fff, 0x8, 3f, x2, 36,0) - -inst_18: -// rs2_val == 4294950911, rs1_val == 4026531839 -// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0xffffbfff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0xffffbfff, 0x80, 3f, x2, 40,0) - -inst_19: -// rs2_val == 4294959103, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0, rs1_val == 4294959103 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xffffdfff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0xffffdfff, 0x8, 1b, x2, 44,0) - -inst_20: -// rs2_val == 4294963199, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xffffefff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000000, 0xffffefff, 0x0, 1b, x2, 48,0) - -inst_21: -// rs2_val == 4294965247, rs1_val == 8192 -// opcode: bltu, op1:x10; op2:x11; op1val:0x2000; op2val:0xfffff7ff; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000, 0xfffff7ff, 0xa, 1b, x2, 52,0) - -inst_22: -// rs2_val == 4294966271, rs1_val == 1 -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffffbff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffffbff, 0x8, 1b, x2, 56,0) - -inst_23: -// rs2_val == 4294966783, -// opcode: bltu, op1:x10; op2:x11; op1val:0x12; op2val:0xfffffdff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x12, 0xfffffdff, 0x10, 3f, x2, 60,0) - -inst_24: -// rs2_val == 4294967039, rs1_val == 16 -// opcode: bltu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10, 0xfffffeff, 0x4, 3f, x2, 64,0) - -inst_25: -// rs2_val == 4294967167, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffffff7f; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffffff7f, 0x40, 3f, x2, 68,0) - -inst_26: -// rs2_val == 4294967231, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffffffbf; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffffffbf, 0x6, 1b, x2, 72,0) - -inst_27: -// rs2_val == 4294967263, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffffffdf; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffffffdf, 0x8, 3f, x2, 76,0) - -inst_28: -// rs2_val == 4294967279, rs1_val == 64 -// opcode: bltu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffffef; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40, 0xffffffef, 0x6, 3f, x2, 80,0) - -inst_29: -// rs2_val == 4294967287, -// opcode: bltu, op1:x10; op2:x11; op1val:0xe; op2val:0xfffffff7; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xe, 0xfffffff7, 0x40, 3f, x2, 84,0) - -inst_30: -// rs2_val == 4294967291, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffffffb; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffffffb, 0x2, 3f, x2, 88,0) - -inst_31: -// rs2_val == 4294967293, rs1_val == 131072 -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0xfffffffd; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0xfffffffd, 0x80, 3f, x2, 92,0) - -inst_32: -// rs2_val == 4294967294, -// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0xfffffffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0xfffffffe, 0x2, 1b, x2, 96,0) - -inst_33: -// rs1_val == 3221225471, rs2_val == 8192 -// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x2000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x2000, 0x4, 3f, x2, 100,0) - -inst_34: -// rs1_val == 4160749567, rs2_val == 67108864 -// opcode: bltu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf7ffffff, 0x4000000, 0x4, 1b, x2, 104,0) - -inst_35: -// rs1_val == 4227858431, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfbffffff, 0x55555556, 0x6, 1b, x2, 108,0) - -inst_36: -// rs1_val == 4261412863, rs2_val == 1431655765 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfdffffff; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfdffffff, 0x55555555, 0x40, 3f, x2, 112,0) - -inst_37: -// rs1_val == 4278190079, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfeffffff, 0xffff, 0x8, 3f, x2, 116,0) - -inst_38: -// rs1_val == 4286578687, -// opcode: bltu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0x200; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xff7fffff, 0x200, 0x4, 3f, x2, 120,0) - -inst_39: -// rs1_val == 4292870143, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffdfffff, 0x9, 0x2, 1b, x2, 124,0) - -inst_40: -// rs1_val == 4293918719, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x7fffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x7fffffff, 0xa, 1b, x2, 128,0) - -inst_41: -// rs1_val == 4294443007, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x55555555, 0x0, 3f, x2, 132,0) - -inst_42: -// rs1_val == 4294705151, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfff7ffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffbffff, 0xfff7ffff, 0x40, 3f, x2, 136,0) - -inst_43: -// rs1_val == 4294901759, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffeffff, 0x5, 0x4, 3f, x2, 140,0) - -inst_44: -// rs1_val == 4294934527, rs2_val == 256 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x100; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff7fff, 0x100, 0x10, 3f, x2, 144,0) - -inst_45: -// rs1_val == 4294950911, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffbfff, 0x33333334, 0x40, 3f, x2, 148,0) - -inst_46: -// rs1_val == 4294963199, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x55555556, 0x0, 1b, x2, 152,0) - -inst_47: -// rs1_val == 4294965247, rs2_val == 8 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x8; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffff7ff, 0x8, 0x556, 1b, x2, 156,0) - -inst_48: -// rs1_val == 4294966271, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xfffdffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffbff, 0xfffdffff, 0x100, 3f, x2, 160,0) - -inst_49: -// rs1_val == 4294966783, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x8; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffdff, 0x8, 0x4, 3f, x2, 164,0) - -inst_50: -// rs1_val == 4294967039, rs2_val == 131072 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x20000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffeff, 0x20000, 0x6, 3f, x2, 168,0) - -inst_51: -// rs1_val == 4294967231, rs2_val == 64 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0x40; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffbf, 0x40, 0x0, 3f, x2, 172,0) - -inst_52: -// rs1_val == 4294967263, rs2_val == 2863311530 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xaaaaaaaa; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffdf, 0xaaaaaaaa, 0x100, 3f, x2, 176,0) - -inst_53: -// rs1_val == 4294967279, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x11; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffef, 0x11, 0x556, 1b, x2, 180,0) - -inst_54: -// rs1_val == 4294967287, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffff7, 0x33333332, 0x0, 1b, x2, 184,0) - -inst_55: -// rs1_val == 4294967291, rs2_val == 2 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffb, 0x2, 0x100, 3f, x2, 188,0) - -inst_56: -// rs1_val == 4294967293, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffd, 0xfffe, 0x100, 3f, x2, 192,0) - -inst_57: -// rs1_val == 4294967294, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffe, 0x66666667, 0x200, 3f, x2, 196,0) - -inst_58: -// rs2_val == 2147483648, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x80000000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x80000000, 0x6, 3f, x2, 200,0) - -inst_59: -// rs2_val == 1073741824, -// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x40000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x40000000, 0x4, 3f, x2, 204,0) - -inst_60: -// rs2_val == 536870912, rs1_val == 4 -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x20000000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x20000000, 0x0, 3f, x2, 208,0) - -inst_61: -// rs2_val == 268435456, -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0x10000000; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0x10000000, 0x80, 3f, x2, 212,0) - -inst_62: -// rs2_val == 134217728, -// opcode: bltu, op1:x10; op2:x11; op1val:0x11; op2val:0x8000000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x11, 0x8000000, 0x8, 1b, x2, 216,0) - -inst_63: -// rs2_val == 33554432, rs1_val == 536870912 -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x2000000; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000000, 0x2000000, 0x556, 1b, x2, 220,0) - -inst_64: -// rs2_val == 16777216, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x1000000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffdffff, 0x1000000, 0x400, 1b, x2, 224,0) - -inst_65: -// rs2_val == 8388608, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x800000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x800000, 0x0, 3f, x2, 228,0) - -inst_66: -// rs2_val == 4194304, -// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x400000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0x400000, 0x4, 1b, x2, 232,0) - -inst_67: -// rs2_val == 2097152, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x200000; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x200000, 0x20, 3f, x2, 236,0) - -inst_68: -// rs2_val == 1048576, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x100000; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x100000, 0x556, 1b, x2, 240,0) - -inst_69: -// rs2_val == 524288, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x80000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x80000, 0x6, 3f, x2, 244,0) - -inst_70: -// rs2_val == 262144, -// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0x40000; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0x40000, 0x80, 3f, x2, 248,0) - -inst_71: -// rs2_val == 65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x10000, 0x4, 1b, x2, 252,0) - -inst_72: -// rs2_val == 32768, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x8000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x8000, 0x4, 3f, x2, 256,0) - -inst_73: -// rs2_val == 16384, -// opcode: bltu, op1:x10; op2:x11; op1val:0xf; op2val:0x4000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf, 0x4000, 0x4, 1b, x2, 260,0) - -inst_74: -// rs2_val == 4096, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x1000, 0x400, 1b, x2, 264,0) - -inst_75: -// rs2_val == 2048, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x800; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x800, 0x20, 3f, x2, 268,0) - -inst_76: -// rs2_val == 1024, rs1_val == 1431655765 -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x400, 0x6, 1b, x2, 272,0) - -inst_77: -// rs2_val == 128, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x80, 0x8, 3f, x2, 276,0) - -inst_78: -// rs2_val == 32, rs1_val == 4194304 -// opcode: bltu, op1:x10; op2:x11; op1val:0x400000; op2val:0x20; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400000, 0x20, 0x2, 3f, x2, 280,0) - -inst_79: -// rs2_val == 16, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0x10; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffbfffff, 0x10, 0x4, 1b, x2, 284,0) - -inst_80: -// rs2_val == 4, rs1_val == 67108864 -// opcode: bltu, op1:x10; op2:x11; op1val:0x4000000; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000000, 0x4, 0x556, 1b, x2, 288,0) - -inst_81: -// rs2_val == 1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x1, 0x0, 3f, x2, 292,0) - -inst_82: -// rs1_val == 1073741824, -// opcode: bltu, op1:x10; op2:x11; op1val:0x40000000; op2val:0x2000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000000, 0x2000000, 0x4, 1b, x2, 296,0) - -inst_83: -// rs1_val == 33554432, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000000, 0xaaaaaaab, 0x6, 1b, x2, 300,0) - -inst_84: -// rs1_val == 16777216, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000000, 0x40000000, 0x40, 3f, x2, 304,0) - -inst_85: -// rs1_val == 8388608, -// opcode: bltu, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800000, 0x40000, 0x40, 3f, x2, 308,0) - -inst_86: -// rs1_val == 2097152, -// opcode: bltu, op1:x10; op2:x11; op1val:0x200000; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200000, 0x55555556, 0xa, 1b, x2, 312,0) - -inst_87: -// rs1_val == 1048576, -// opcode: bltu, op1:x10; op2:x11; op1val:0x100000; op2val:0x80000000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100000, 0x80000000, 0x10, 3f, x2, 316,0) - -inst_88: -// rs1_val == 524288, -// opcode: bltu, op1:x10; op2:x11; op1val:0x80000; op2val:0xb; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80000, 0xb, 0x40, 3f, x2, 320,0) - -inst_89: -// rs1_val == 262144, -// opcode: bltu, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000, 0x1, 0x400, 1b, x2, 324,0) - -inst_90: -// rs1_val == 65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffff7ff; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffff7ff, 0x556, 1b, x2, 328,0) - -inst_91: -// rs1_val == 32768, -// opcode: bltu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffdff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8000, 0xfffffdff, 0x80, 3f, x2, 332,0) - -inst_92: -// rs1_val == 16384, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4000; op2val:0xfffffffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000, 0xfffffffe, 0x0, 3f, x2, 336,0) - -inst_93: -// rs1_val == 4096, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffffb; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000, 0xfffffffb, 0x0, 3f, x2, 340,0) - -inst_94: -// rs1_val == 2048, -// opcode: bltu, op1:x10; op2:x11; op1val:0x800; op2val:0x4000000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800, 0x4000000, 0x6, 1b, x2, 344,0) - -inst_95: -// rs1_val == 1024, -// opcode: bltu, op1:x10; op2:x11; op1val:0x400; op2val:0x13; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400, 0x13, 0x0, 3f, x2, 348,0) - -inst_96: -// rs1_val == 512, -// opcode: bltu, op1:x10; op2:x11; op1val:0x200; op2val:0x20; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200, 0x20, 0x8, 3f, x2, 352,0) - -inst_97: -// rs1_val == 256, -// opcode: bltu, op1:x10; op2:x11; op1val:0x100; op2val:0xfffff7ff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100, 0xfffff7ff, 0x6, 3f, x2, 356,0) - -inst_98: -// rs1_val == 128, -// opcode: bltu, op1:x10; op2:x11; op1val:0x80; op2val:0x7; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80, 0x7, 0x10, 3f, x2, 360,0) - -inst_99: -// rs1_val == 32, -// opcode: bltu, op1:x10; op2:x11; op1val:0x20; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20, 0x10000, 0x400, 1b, x2, 364,0) - -inst_100: -// rs1_val == 8, -// opcode: bltu, op1:x10; op2:x11; op1val:0x8; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8, 0x10000, 0x100, 3f, x2, 368,0) - -inst_101: -// rs1_val==65536 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x10000, 0x2, 1b, x2, 372,0) - -inst_102: -// rs1_val==65536 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x1, 0x6, 1b, x2, 376,0) - -inst_103: -// rs1_val==65536 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb505, 0x556, 1b, x2, 380,0) - -inst_104: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666667, 0x2, 1b, x2, 384,0) - -inst_105: -// rs1_val==65536 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333334, 0x4, 3f, x2, 388,0) - -inst_106: -// rs1_val==65536 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x6, 0x4, 3f, x2, 392,0) - -inst_107: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaab, 0x20, 3f, x2, 396,0) - -inst_108: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555556, 0x100, 3f, x2, 400,0) - -inst_109: -// rs1_val==65536 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x4, 0x20, 3f, x2, 404,0) - -inst_110: -// rs1_val==65536 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffe, 0x20, 3f, x2, 408,0) - -inst_111: -// rs1_val==65536 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x0, 0x0, 1b, x2, 412,0) - -inst_112: -// rs1_val==65536 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb503, 0x0, 1b, x2, 416,0) - -inst_113: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666665, 0x2, 1b, x2, 420,0) - -inst_114: -// rs1_val==65536 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333332, 0x556, 1b, x2, 424,0) - -inst_115: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaa9, 0x400, 3f, x2, 428,0) - -inst_116: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555554, 0x80, 3f, x2, 432,0) - -inst_117: -// rs1_val==65536 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x2, 0x556, 1b, x2, 436,0) - -inst_118: -// rs1_val==65536 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xffff, 0x0, 3f, x2, 440,0) - -inst_119: -// rs1_val==65536 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb504, 0x10, 3f, x2, 444,0) - -inst_120: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666666, 0x400, 1b, x2, 448,0) - -inst_121: -// rs1_val==65536 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x2, 452,0) - -inst_122: -// rs1_val==65536 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x5, 0x400, 1b, x2, 456,0) - -inst_123: -// rs1_val==65536 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaaa, 0x0, 1b, x2, 460,0) - -inst_124: -// rs1_val==65536 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555555, 0x2, 1b, x2, 464,0) - -inst_125: -// rs1_val==65536 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x3, 0x80, 3f, x2, 468,0) - -inst_126: -// rs1_val==1 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x10000, 0x6, 1b, x2, 472,0) - -inst_127: -// rs1_val==1 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x1, 0x0, 1b, x2, 476,0) - -inst_128: -// rs1_val==1 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb505, 0x2, 1b, x2, 480,0) - -inst_129: -// rs1_val==1 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666667, 0x200, 3f, x2, 484,0) - -inst_130: -// rs1_val==1 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333334, 0x4, 3f, x2, 488,0) - -inst_131: -// rs1_val==1 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x6, 0x20, 3f, x2, 492,0) - -inst_132: -// rs1_val==1 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaab, 0x80, 3f, x2, 496,0) - -inst_133: -// rs1_val==1 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555556, 0x400, 3f, x2, 500,0) - -inst_134: -// rs1_val==1 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x4, 0x4, 1b, x2, 504,0) - -inst_135: -// rs1_val==1 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffe, 0x40, 3f, x2, 508,0) - -inst_136: -// rs1_val==1 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x0, 0x0, 3f, x2, 512,0) - -inst_137: -// rs1_val==1 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb503, 0x10, 3f, x2, 516,0) - -inst_138: -// rs1_val==1 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666665, 0x400, 3f, x2, 520,0) - -inst_139: -// rs1_val==1 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333332, 0x8, 3f, x2, 524,0) - -inst_140: -// rs1_val==1 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaa9, 0x10, 3f, x2, 528,0) - -inst_141: -// rs1_val==1 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555554, 0x4, 1b, x2, 532,0) - -inst_142: -// rs1_val==1 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x2, 0x200, 3f, x2, 536,0) - -inst_143: -// rs1_val==1 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xffff, 0x400, 3f, x2, 540,0) - -inst_144: -// rs1_val==1 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb504, 0x6, 1b, x2, 544,0) - -inst_145: -// rs1_val==1 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666666, 0x556, 1b, x2, 548,0) - -inst_146: -// rs1_val==1 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333333, 0x0, 1b, x2, 552,0) - -inst_147: -// rs1_val==1 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x5, 0x200, 3f, x2, 556,0) - -inst_148: -// rs1_val==1 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaaa, 0x8, 1b, x2, 560,0) - -inst_149: -// rs1_val==1 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555555, 0x556, 1b, x2, 564,0) - -inst_150: -// rs1_val==1 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x3, 0x80, 3f, x2, 568,0) - -inst_151: -// rs1_val==46341 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x10000, 0x0, 3f, x2, 572,0) - -inst_152: -// rs1_val==46341 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x1, 0x2, 3f, x2, 576,0) - -inst_153: -// rs1_val==46341 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb505, 0x4, 1b, x2, 580,0) - -inst_154: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666667, 0x6, 3f, x2, 584,0) - -inst_155: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333334, 0x100, 3f, x2, 588,0) - -inst_156: -// rs1_val==46341 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x6, 0x80, 3f, x2, 592,0) - -inst_157: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaab, 0x100, 3f, x2, 596,0) - -inst_158: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555556, 0x556, 1b, x2, 600,0) - -inst_159: -// rs1_val==46341 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x4, 0x8, 1b, x2, 604,0) - -inst_160: -// rs1_val==46341 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xfffe, 0x8, 3f, x2, 608,0) - -inst_161: -// rs1_val==46341 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x0, 0x0, 3f, x2, 612,0) - -inst_162: -// rs1_val==46341 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb503, 0x20, 3f, x2, 616,0) - -inst_163: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666665, 0x10, 3f, x2, 620,0) - -inst_164: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333332, 0x20, 3f, x2, 624,0) - -inst_165: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaa9, 0xa, 1b, x2, 628,0) - -inst_166: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555554, 0x10, 3f, x2, 632,0) - -inst_167: -// rs1_val==46341 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x2, 0x8, 1b, x2, 636,0) - -inst_168: -// rs1_val==46341 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xffff, 0x6, 1b, x2, 640,0) - -inst_169: -// rs1_val==46341 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb504, 0x2, 1b, x2, 644,0) - -inst_170: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x2, 648,0) - -inst_171: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333333, 0x4, 3f, x2, 652,0) - -inst_172: -// rs1_val==46341 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x5, 0x2, 3f, x2, 656,0) - -inst_173: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaaa, 0x556, 1b, x2, 660,0) - -inst_174: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555555, 0x100, 3f, x2, 664,0) - -inst_175: -// rs1_val==46341 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x3, 0x6, 3f, x2, 668,0) - -inst_176: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x10000, 0x10, 3f, x2, 672,0) - -inst_177: -// rs1_val==1717986919 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x1, 0x4, 3f, x2, 676,0) - -inst_178: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb505, 0x556, 1b, x2, 680,0) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986919, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666667, 0x80, 3f, x2, 684,0) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x2, 688,0) - -inst_181: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x6, 0x0, 3f, x2, 692,0) - -inst_182: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaab, 0x6, 3f, x2, 696,0) - -inst_183: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555556, 0x556, 1b, x2, 700,0) - -inst_184: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x4, 0x4, 1b, x2, 704,0) - -inst_185: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xfffe, 0x20, 3f, x2, 708,0) - -inst_186: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x0, 0x0, 3f, x2, 712,0) - -inst_187: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb503, 0x6, 3f, x2, 716,0) - -inst_188: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666665, 0x400, 1b, x2, 720,0) - -inst_189: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x2, 724,0) - -inst_190: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaa9, 0x2, 1b, x2, 728,0) - -inst_191: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555554, 0x0, 1b, x2, 732,0) - -inst_192: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x2, 0xa, 1b, x2, 736,0) - -inst_193: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xffff, 0x40, 3f, x2, 740,0) - -inst_194: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb504, 0x8, 3f, x2, 744,0) - -inst_195: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x2, 748,0) - -inst_196: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333333, 0x40, 3f, x2, 752,0) - -inst_197: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x5, 0x40, 3f, x2, 756,0) - -inst_198: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaaa, 0x8, 3f, x2, 760,0) - -inst_199: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555555, 0x4, 1b, x2, 764,0) - -inst_200: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x3, 0x8, 3f, x2, 768,0) - -inst_201: -// rs1_val==858993460 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x10000, 0x2, 1b, x2, 772,0) - -inst_202: -// rs1_val==858993460 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x1, 0x4, 3f, x2, 776,0) - -inst_203: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb505, 0x4, 1b, x2, 780,0) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666667, 0x10, 3f, x2, 784,0) - -inst_205: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x2, 788,0) - -inst_206: -// rs1_val==858993460 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x6, 0x6, 1b, x2, 792,0) - -inst_207: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaab, 0x6, 1b, x2, 796,0) - -inst_208: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555556, 0x4, 1b, x2, 800,0) - -inst_209: -// rs1_val==858993460 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x4, 0x400, 3f, x2, 804,0) - -inst_210: -// rs1_val==858993460 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xfffe, 0x4, 1b, x2, 808,0) - -inst_211: -// rs1_val==858993460 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x0, 0x2, 1b, x2, 812,0) - -inst_212: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb503, 0x80, 3f, x2, 816,0) - -inst_213: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666665, 0x20, 3f, x2, 820,0) - -inst_214: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333332, 0xa, 1b, x2, 824,0) - -inst_215: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaa9, 0x4, 3f, x2, 828,0) - -inst_216: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555554, 0x4, 1b, x2, 832,0) - -inst_217: -// rs1_val==858993460 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x2, 0x2, 1b, x2, 836,0) - -inst_218: -// rs1_val==858993460 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffff, 0x0, 1b, x2, 840,0) - -inst_219: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 844,0) - -inst_220: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666666, 0x200, 3f, x2, 848,0) - -inst_221: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333333, 0x10, 3f, x2, 852,0) - -inst_222: -// rs1_val==858993460 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x5, 0x100, 3f, x2, 856,0) - -inst_223: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaaa, 0x6, 1b, x2, 860,0) - -inst_224: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555555, 0x6, 3f, x2, 864,0) - -inst_225: -// rs1_val==858993460 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x3, 0x400, 1b, x2, 868,0) - -inst_226: -// rs1_val==6 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x10000, 0x40, 3f, x2, 872,0) - -inst_227: -// rs1_val==6 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x1, 0x0, 3f, x2, 876,0) - -inst_228: -// rs1_val==6 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb505, 0xa, 1b, x2, 880,0) - -inst_229: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666667, 0x4, 1b, x2, 884,0) - -inst_230: -// rs1_val==6 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333334, 0x10, 3f, x2, 888,0) - -inst_231: -// rs1_val==6 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x6, 0x100, 3f, x2, 892,0) - -inst_232: -// rs1_val==6 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaab, 0x10, 3f, x2, 896,0) - -inst_233: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555556, 0x4, 1b, x2, 900,0) - -inst_234: -// rs1_val==6 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x4, 0x6, 3f, x2, 904,0) - -inst_235: -// rs1_val==6 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xfffe, 0x2, 3f, x2, 908,0) - -inst_236: -// rs1_val==6 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x0, 0x6, 3f, x2, 912,0) - -inst_237: -// rs1_val==6 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb503, 0x8, 3f, x2, 916,0) - -inst_238: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666665, 0x4, 3f, x2, 920,0) - -inst_239: -// rs1_val==6 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333332, 0x10, 3f, x2, 924,0) - -inst_240: -// rs1_val==6 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaa9, 0x400, 3f, x2, 928,0) - -inst_241: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555554, 0x0, 3f, x2, 932,0) - -inst_242: -// rs1_val==6 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x2, 0x0, 3f, x2, 936,0) - -inst_243: -// rs1_val==6 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xffff, 0x2, 1b, x2, 940,0) - -inst_244: -// rs1_val==6 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb504, 0x10, 3f, x2, 944,0) - -inst_245: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666666, 0x0, 3f, x2, 948,0) - -inst_246: -// rs1_val==6 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333333, 0x8, 1b, x2, 952,0) - -inst_247: -// rs1_val==6 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x5, 0x6, 1b, x2, 956,0) - -inst_248: -// rs1_val==6 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaaa, 0x40, 3f, x2, 960,0) - -inst_249: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x2, 964,0) - -inst_250: -// rs1_val==6 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x3, 0x400, 3f, x2, 968,0) - -inst_251: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x10000, 0x0, 3f, x2, 972,0) - -inst_252: -// rs1_val==2863311531 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x1, 0x0, 1b, x2, 976,0) - -inst_253: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb505, 0x8, 3f, x2, 980,0) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666667, 0x8, 3f, x2, 984,0) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 3f, x2, 988,0) - -inst_256: -// rs1_val==2863311531 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x2, 992,0) - -inst_257: -// rs1_val==2863311531 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 3f, x2, 996,0) - -inst_258: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555556, 0xa, 1b, x2, 1000,0) - -inst_259: -// rs1_val==2863311531 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x4, 0x0, 1b, x2, 1004,0) - -inst_260: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xfffe, 0x100, 3f, x2, 1008,0) - -inst_261: -// rs1_val==2863311531 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x0, 0x2, 3f, x2, 1012,0) - -inst_262: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb503, 0x6, 3f, x2, 1016,0) - -inst_263: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666665, 0x556, 1b, x2, 1020,0) - -inst_264: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333332, 0x4, 1b, x2, 1024,0) - -inst_265: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x6, 3f, x2, 1028,0) - -inst_266: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555554, 0x8, 3f, x2, 1032,0) - -inst_267: -// rs1_val==2863311531 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x2, 0x6, 3f, x2, 1036,0) - -inst_268: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffff, 0x100, 3f, x2, 1040,0) - -inst_269: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb504, 0x0, 1b, x2, 1044,0) - -inst_270: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666666, 0x20, 3f, x2, 1048,0) - -inst_271: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333333, 0x4, 3f, x2, 1052,0) - -inst_272: -// rs1_val==2863311531 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x5, 0x100, 3f, x2, 1056,0) - -inst_273: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0x2, 1b, x2, 1060,0) - -inst_274: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555555, 0x80, 3f, x2, 1064,0) - -inst_275: -// rs1_val==2863311531 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x3, 0x4, 3f, x2, 1068,0) - -inst_276: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x10000, 0x4, 3f, x2, 1072,0) - -inst_277: -// rs1_val==1431655766 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x1, 0xa, 1b, x2, 1076,0) - -inst_278: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x2, 1080,0) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x2, 1084,0) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x2, 1088,0) - -inst_281: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x6, 0x6, 1b, x2, 1092,0) - -inst_282: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaab, 0x6, 1b, x2, 1096,0) - -inst_283: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555556, 0x556, 1b, x2, 1100,0) - -inst_284: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x4, 0x6, 3f, x2, 1104,0) - -inst_285: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xfffe, 0x0, 1b, x2, 1108,0) - -inst_286: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x0, 0x6, 1b, x2, 1112,0) - -inst_287: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x2, 1116,0) - -inst_288: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666665, 0xa, 1b, x2, 1120,0) - -inst_289: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333332, 0x20, 3f, x2, 1124,0) - -inst_290: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaa9, 0x8, 3f, x2, 1128,0) - -inst_291: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555554, 0x6, 3f, x2, 1132,0) - -inst_292: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x2, 0x100, 3f, x2, 1136,0) - -inst_293: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xffff, 0x6, 1b, x2, 1140,0) - -inst_294: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x2, 1144,0) - -inst_295: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666666, 0x0, 3f, x2, 1148,0) - -inst_296: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333333, 0x2, 1b, x2, 1152,0) - -inst_297: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x5, 0x4, 3f, x2, 1156,0) - -inst_298: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaaa, 0x0, 3f, x2, 1160,0) - -inst_299: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555555, 0x8, 3f, x2, 1164,0) - -inst_300: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x3, 0x0, 3f, x2, 1168,0) - -inst_301: -// rs1_val==4 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x10000, 0x6, 1b, x2, 1172,0) - -inst_302: -// rs1_val==4 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x1, 0x6, 1b, x2, 1176,0) - -inst_303: -// rs1_val==4 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb505, 0x8, 3f, x2, 1180,0) - -inst_304: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666667, 0x0, 1b, x2, 1184,0) - -inst_305: -// rs1_val==4 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x2, 1188,0) - -inst_306: -// rs1_val==4 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x6, 0x200, 3f, x2, 1192,0) - -inst_307: -// rs1_val==4 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaab, 0x400, 1b, x2, 1196,0) - -inst_308: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555556, 0x0, 1b, x2, 1200,0) - -inst_309: -// rs1_val==4 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x4, 0x40, 3f, x2, 1204,0) - -inst_310: -// rs1_val==4 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xfffe, 0x6, 1b, x2, 1208,0) - -inst_311: -// rs1_val==4 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x0, 0x8, 1b, x2, 1212,0) - -inst_312: -// rs1_val==4 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb503, 0x8, 1b, x2, 1216,0) - -inst_313: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666665, 0x556, 1b, x2, 1220,0) - -inst_314: -// rs1_val==4 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333332, 0x8, 1b, x2, 1224,0) - -inst_315: -// rs1_val==4 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaa9, 0x0, 1b, x2, 1228,0) - -inst_316: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555554, 0x6, 1b, x2, 1232,0) - -inst_317: -// rs1_val==4 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x2, 0x100, 3f, x2, 1236,0) - -inst_318: -// rs1_val==4 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xffff, 0x6, 3f, x2, 1240,0) - -inst_319: -// rs1_val==4 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb504, 0x400, 3f, x2, 1244,0) - -inst_320: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666666, 0x100, 3f, x2, 1248,0) - -inst_321: -// rs1_val==4 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333333, 0x8, 1b, x2, 1252,0) - -inst_322: -// rs1_val==4 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x5, 0x10, 3f, x2, 1256,0) - -inst_323: -// rs1_val==4 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaaa, 0x400, 1b, x2, 1260,0) - -inst_324: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555555, 0xa, 1b, x2, 1264,0) - -inst_325: -// rs1_val==4 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x3, 0x2, 3f, x2, 1268,0) - -inst_326: -// rs1_val==65534 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x2, 1272,0) - -inst_327: -// rs1_val==65534 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x1, 0x6, 1b, x2, 1276,0) - -inst_328: -// rs1_val==65534 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb505, 0x2, 1b, x2, 1280,0) - -inst_329: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666667, 0x4, 1b, x2, 1284,0) - -inst_330: -// rs1_val==65534 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x2, 1288,0) - -inst_331: -// rs1_val==65534 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x6, 0x200, 3f, x2, 1292,0) - -inst_332: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 3f, x2, 1296,0) - -inst_333: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555556, 0x0, 3f, x2, 1300,0) - -inst_334: -// rs1_val==65534 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x4, 0x8, 1b, x2, 1304,0) - -inst_335: -// rs1_val==65534 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xfffe, 0x400, 3f, x2, 1308,0) - -inst_336: -// rs1_val==65534 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x0, 0x80, 3f, x2, 1312,0) - -inst_337: -// rs1_val==65534 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb503, 0x40, 3f, x2, 1316,0) - -inst_338: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666665, 0x6, 3f, x2, 1320,0) - -inst_339: -// rs1_val==65534 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333332, 0x100, 3f, x2, 1324,0) - -inst_340: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaa9, 0xa, 1b, x2, 1328,0) - -inst_341: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555554, 0x0, 1b, x2, 1332,0) - -inst_342: -// rs1_val==65534 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x2, 0x40, 3f, x2, 1336,0) - -inst_343: -// rs1_val==65534 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xffff, 0x6, 3f, x2, 1340,0) - -inst_344: -// rs1_val==65534 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb504, 0x6, 1b, x2, 1344,0) - -inst_345: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x2, 1348,0) - -inst_346: -// rs1_val==65534 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333333, 0x6, 3f, x2, 1352,0) - -inst_347: -// rs1_val==65534 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x5, 0x4, 3f, x2, 1356,0) - -inst_348: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaaa, 0x8, 3f, x2, 1360,0) - -inst_349: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555555, 0x0, 3f, x2, 1364,0) - -inst_350: -// rs1_val==65534 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x3, 0x0, 1b, x2, 1368,0) - -inst_351: -// rs1_val==0 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x10000, 0x8, 3f, x2, 1372,0) - -inst_352: -// rs1_val==0 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x1, 0x100, 3f, x2, 1376,0) - -inst_353: -// rs1_val==0 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb505, 0x40, 3f, x2, 1380,0) - -inst_354: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666667, 0x400, 3f, x2, 1384,0) - -inst_355: -// rs1_val==0 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333334, 0x10, 3f, x2, 1388,0) - -inst_356: -// rs1_val==0 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x6, 0x20, 3f, x2, 1392,0) - -inst_357: -// rs1_val==0 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaab, 0x2, 3f, x2, 1396,0) - -inst_358: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555556, 0x8, 1b, x2, 1400,0) - -inst_359: -// rs1_val==0 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x4, 0x400, 3f, x2, 1404,0) - -inst_360: -// rs1_val==0 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xfffe, 0x400, 3f, x2, 1408,0) - -inst_361: -// rs1_val==0 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x0, 0x0, 3f, x2, 1412,0) - -inst_362: -// rs1_val==0 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb503, 0x400, 3f, x2, 1416,0) - -inst_363: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666665, 0x20, 3f, x2, 1420,0) - -inst_364: -// rs1_val==0 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333332, 0x2, 1b, x2, 1424,0) - -inst_365: -// rs1_val==0 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaa9, 0x80, 3f, x2, 1428,0) - -inst_366: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555554, 0xa, 1b, x2, 1432,0) - -inst_367: -// rs1_val==0 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x2, 0x20, 3f, x2, 1436,0) - -inst_368: -// rs1_val==0 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xffff, 0x2, 3f, x2, 1440,0) - -inst_369: -// rs1_val==0 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb504, 0x8, 1b, x2, 1444,0) - -inst_370: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666666, 0x8, 1b, x2, 1448,0) - -inst_371: -// rs1_val==0 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333333, 0x400, 3f, x2, 1452,0) - -inst_372: -// rs1_val==0 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x5, 0x8, 3f, x2, 1456,0) - -inst_373: -// rs1_val==0 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaaa, 0x400, 1b, x2, 1460,0) - -inst_374: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555555, 0x2, 1b, x2, 1464,0) - -inst_375: -// rs1_val==0 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x3, 0x20, 3f, x2, 1468,0) - -inst_376: -// rs1_val==46339 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x10000, 0x200, 3f, x2, 1472,0) - -inst_377: -// rs1_val==46339 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x1, 0x8, 3f, x2, 1476,0) - -inst_378: -// rs1_val==46339 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb505, 0x400, 3f, x2, 1480,0) - -inst_379: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666667, 0x20, 3f, x2, 1484,0) - -inst_380: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333334, 0x2, 1b, x2, 1488,0) - -inst_381: -// rs1_val==46339 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x6, 0x20, 3f, x2, 1492,0) - -inst_382: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaab, 0x8, 3f, x2, 1496,0) - -inst_383: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1500,0) - -inst_384: -// rs1_val==46339 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x4, 0x0, 1b, x2, 1504,0) - -inst_385: -// rs1_val==46339 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xfffe, 0x556, 1b, x2, 1508,0) - -inst_386: -// rs1_val==46339 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x0, 0x6, 3f, x2, 1512,0) - -inst_387: -// rs1_val==46339 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb503, 0x20, 3f, x2, 1516,0) - -inst_388: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666665, 0x400, 1b, x2, 1520,0) - -inst_389: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333332, 0x100, 3f, x2, 1524,0) - -inst_390: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaa9, 0x400, 1b, x2, 1528,0) - -inst_391: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x2, 1532,0) - -inst_392: -// rs1_val==46339 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1536,0) - -inst_393: -// rs1_val==46339 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff, 0x4, 1b, x2, 1540,0) - -inst_394: -// rs1_val==46339 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb504, 0x8, 3f, x2, 1544,0) - -inst_395: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666666, 0x8, 1b, x2, 1548,0) - -inst_396: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1552,0) - -inst_397: -// rs1_val==46339 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x5, 0x8, 1b, x2, 1556,0) - -inst_398: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaaa, 0x200, 3f, x2, 1560,0) - -inst_399: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555555, 0x80, 3f, x2, 1564,0) - -inst_400: -// rs1_val==46339 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x2, 1568,0) - -inst_401: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x10000, 0x10, 3f, x2, 1572,0) - -inst_402: -// rs1_val==1717986917 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x1, 0x8, 3f, x2, 1576,0) - -inst_403: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb505, 0x80, 3f, x2, 1580,0) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666667, 0x4, 3f, x2, 1584,0) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1588,0) - -inst_406: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x6, 0x10, 3f, x2, 1592,0) - -inst_407: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaab, 0x556, 1b, x2, 1596,0) - -inst_408: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555556, 0x2, 3f, x2, 1600,0) - -inst_409: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1604,0) - -inst_410: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffe, 0x4, 1b, x2, 1608,0) - -inst_411: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x0, 0x6, 1b, x2, 1612,0) - -inst_412: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb503, 0x10, 3f, x2, 1616,0) - -inst_413: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x2, 1620,0) - -inst_414: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333332, 0x8, 1b, x2, 1624,0) - -inst_415: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaa9, 0x0, 1b, x2, 1628,0) - -inst_416: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x2, 1632,0) - -inst_417: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x2, 0x400, 3f, x2, 1636,0) - -inst_418: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xffff, 0x0, 3f, x2, 1640,0) - -inst_419: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb504, 0x10, 3f, x2, 1644,0) - -inst_420: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 3f, x2, 1648,0) - -inst_421: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333333, 0x400, 3f, x2, 1652,0) - -inst_422: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x5, 0x6, 3f, x2, 1656,0) - -inst_423: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaaa, 0x4, 3f, x2, 1660,0) - -inst_424: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x2, 1664,0) - -inst_425: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x3, 0x40, 3f, x2, 1668,0) - -inst_426: -// rs1_val==858993458 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x10000, 0x200, 3f, x2, 1672,0) - -inst_427: -// rs1_val==858993458 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x1, 0x40, 3f, x2, 1676,0) - -inst_428: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb505, 0x40, 3f, x2, 1680,0) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666667, 0x80, 3f, x2, 1684,0) - -inst_430: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1688,0) - -inst_431: -// rs1_val==858993458 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x6, 0x4, 1b, x2, 1692,0) - -inst_432: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaab, 0xa, 1b, x2, 1696,0) - -inst_433: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555556, 0x10, 3f, x2, 1700,0) - -inst_434: -// rs1_val==858993458 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x4, 0x2, 1b, x2, 1704,0) - -inst_435: -// rs1_val==858993458 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xfffe, 0x100, 3f, x2, 1708,0) - -inst_436: -// rs1_val==858993458 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x0, 0x556, 1b, x2, 1712,0) - -inst_437: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb503, 0x2, 1b, x2, 1716,0) - -inst_438: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1720,0) - -inst_439: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1724,0) - -inst_440: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaa9, 0x200, 3f, x2, 1728,0) - -inst_441: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x2, 1732,0) - -inst_442: -// rs1_val==858993458 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x2, 0x4, 3f, x2, 1736,0) - -inst_443: -// rs1_val==858993458 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xffff, 0x10, 3f, x2, 1740,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb504, 0x4, 3f, x2, 1744,0) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666666, 0x4, 1b, x2, 1748,0) - -inst_446: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1752,0) - -inst_447: -// rs1_val==858993458 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x2, 1756,0) - -inst_448: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaaa, 0x4, 1b, x2, 1760,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555555, 0x100, 3f, x2, 1764,0) - -inst_450: -// rs1_val==858993458 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x3, 0x80, 3f, x2, 1768,0) - -inst_451: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x10000, 0x100, 3f, x2, 1772,0) - -inst_452: -// rs1_val==2863311529 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x1, 0x6, 3f, x2, 1776,0) - -inst_453: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb505, 0x2, 3f, x2, 1780,0) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666667, 0x4, 3f, x2, 1784,0) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333334, 0x4, 1b, x2, 1788,0) - -inst_456: -// rs1_val==2863311529 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x6, 0x200, 3f, x2, 1792,0) - -inst_457: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x8, 3f, x2, 1796,0) - -inst_458: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555556, 0x556, 1b, x2, 1800,0) - -inst_459: -// rs1_val==2863311529 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x4, 0x8, 3f, x2, 1804,0) - -inst_460: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xfffe, 0x4, 3f, x2, 1808,0) - -inst_461: -// rs1_val==2863311529 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x0, 0x40, 3f, x2, 1812,0) - -inst_462: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb503, 0x400, 1b, x2, 1816,0) - -inst_463: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666665, 0x8, 1b, x2, 1820,0) - -inst_464: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333332, 0x0, 1b, x2, 1824,0) - -inst_465: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x400, 1b, x2, 1828,0) - -inst_466: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 3f, x2, 1832,0) - -inst_467: -// rs1_val==2863311529 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x2, 0x8, 1b, x2, 1836,0) - -inst_468: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xffff, 0x10, 3f, x2, 1840,0) - -inst_469: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb504, 0x8, 3f, x2, 1844,0) - -inst_470: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666666, 0x2, 1b, x2, 1848,0) - -inst_471: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333333, 0x80, 3f, x2, 1852,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x4, 0x4, 3f, x2, 1856,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x2, 1860,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x0, 0x40, 3f, x2, 1864,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb503, 0x6, 1b, x2, 1868,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666665, 0x8, 3f, x2, 1872,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1876,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaa9, 0x80, 3f, x2, 1880,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x2, 1884,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x2, 0x0, 3f, x2, 1888,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xffff, 0x100, 3f, x2, 1892,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb504, 0x0, 1b, x2, 1896,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666666, 0x8, 1b, x2, 1900,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333333, 0x6, 3f, x2, 1904,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x5, 0x4, 1b, x2, 1908,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaaa, 0x400, 3f, x2, 1912,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x2, 1916,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x3, 0x20, 3f, x2, 1920,0) - -inst_489: -// rs1_val==2 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x10000, 0x2, 3f, x2, 1924,0) - -inst_490: -// rs1_val==2 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x1, 0x6, 3f, x2, 1928,0) - -inst_491: -// rs1_val==2 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb505, 0x10, 3f, x2, 1932,0) - -inst_492: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666667, 0x400, 3f, x2, 1936,0) - -inst_493: -// rs1_val==2 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333334, 0x400, 1b, x2, 1940,0) - -inst_494: -// rs1_val==2 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x6, 0x6, 3f, x2, 1944,0) - -inst_495: -// rs1_val==2 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaab, 0x6, 3f, x2, 1948,0) - -inst_496: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555556, 0x10, 3f, x2, 1952,0) - -inst_497: -// rs1_val==2 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x4, 0x8, 3f, x2, 1956,0) - -inst_498: -// rs1_val==2 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xfffe, 0x0, 3f, x2, 1960,0) - -inst_499: -// rs1_val==2 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x0, 0x400, 1b, x2, 1964,0) - -inst_500: -// rs1_val==2 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb503, 0x0, 1b, x2, 1968,0) - -inst_501: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666665, 0x4, 3f, x2, 1972,0) - -inst_502: -// rs1_val==2 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333332, 0x400, 3f, x2, 1976,0) - -inst_503: -// rs1_val==2 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaa9, 0x2, 1b, x2, 1980,0) - -inst_504: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555554, 0x2, 1b, x2, 1984,0) - -inst_505: -// rs1_val==2 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x2, 0x4, 1b, x2, 1988,0) - -inst_506: -// rs1_val==2 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xffff, 0x80, 3f, x2, 1992,0) - -inst_507: -// rs1_val==2 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb504, 0x8, 3f, x2, 1996,0) - -inst_508: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666666, 0x40, 3f, x2, 2000,0) - -inst_509: -// rs1_val==2 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x2, 2004,0) - -inst_510: -// rs1_val==2 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x5, 0x40, 3f, x2, 2008,0) - -inst_511: -// rs1_val==2 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaaa, 0x200, 3f, x2, 2012,0) - -inst_512: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555555, 0x2, 3f, x2, 2016,0) - -inst_513: -// rs1_val==2 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x2, 2020,0) - -inst_514: -// rs1_val==65535 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x10000, 0x400, 1b, x2, 2024,0) - -inst_515: -// rs1_val==65535 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x1, 0x400, 1b, x2, 2028,0) - -inst_516: -// rs1_val==65535 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb505, 0x80, 3f, x2, 2032,0) - -inst_517: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666667, 0x556, 1b, x2, 2036,0) - -inst_518: -// rs1_val==65535 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333334, 0x200, 3f, x2, 2040,0) - -inst_519: -// rs1_val==65535 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x6, 0x4, 1b, x2, 2044,0) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaab, 0x20, 3f, x2, 0,0) - -inst_521: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555556, 0x100, 3f, x2, 4,0) - -inst_522: -// rs1_val==65535 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x4, 0x6, 1b, x2, 8,0) - -inst_523: -// rs1_val==65535 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xfffe, 0x6, 3f, x2, 12,0) - -inst_524: -// rs1_val==65535 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x0, 0x40, 3f, x2, 16,0) - -inst_525: -// rs1_val==65535 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb503, 0x0, 3f, x2, 20,0) - -inst_526: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666665, 0x200, 3f, x2, 24,0) - -inst_527: -// rs1_val==65535 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x2, 28,0) - -inst_528: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaa9, 0xa, 1b, x2, 32,0) - -inst_529: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555554, 0x200, 3f, x2, 36,0) - -inst_530: -// rs1_val==65535 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x2, 0x0, 3f, x2, 40,0) - -inst_531: -// rs1_val==65535 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xffff, 0x20, 3f, x2, 44,0) - -inst_532: -// rs1_val==65535 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb504, 0x8, 3f, x2, 48,0) - -inst_533: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x2, 52,0) - -inst_534: -// rs1_val==65535 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333333, 0x40, 3f, x2, 56,0) - -inst_535: -// rs1_val==65535 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x5, 0x2, 1b, x2, 60,0) - -inst_536: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaaa, 0x4, 1b, x2, 64,0) - -inst_537: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555555, 0x0, 1b, x2, 68,0) - -inst_538: -// rs1_val==65535 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x3, 0x400, 3f, x2, 72,0) - -inst_539: -// rs1_val==46340 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x10000, 0x8, 1b, x2, 76,0) - -inst_540: -// rs1_val==46340 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x1, 0x100, 3f, x2, 80,0) - -inst_541: -// rs1_val==46340 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb505, 0x8, 1b, x2, 84,0) - -inst_542: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666667, 0x2, 1b, x2, 88,0) - -inst_543: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333334, 0x6, 3f, x2, 92,0) - -inst_544: -// rs1_val==46340 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x6, 0x6, 1b, x2, 96,0) - -inst_545: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaab, 0x80, 3f, x2, 100,0) - -inst_546: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x2, 104,0) - -inst_547: -// rs1_val==46340 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x4, 0x400, 3f, x2, 108,0) - -inst_548: -// rs1_val==46340 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xfffe, 0x8, 3f, x2, 112,0) - -inst_549: -// rs1_val==46340 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x2, 116,0) - -inst_550: -// rs1_val==46340 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x2, 120,0) - -inst_551: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666665, 0xa, 1b, x2, 124,0) - -inst_552: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x2, 128,0) - -inst_553: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaa9, 0x4, 1b, x2, 132,0) - -inst_554: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555554, 0x10, 3f, x2, 136,0) - -inst_555: -// rs1_val==46340 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x2, 0x10, 3f, x2, 140,0) - -inst_556: -// rs1_val==46340 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xffff, 0x2, 1b, x2, 144,0) - -inst_557: -// rs1_val==46340 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb504, 0x2, 3f, x2, 148,0) - -inst_558: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x2, 152,0) - -inst_559: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333333, 0x4, 1b, x2, 156,0) - -inst_560: -// rs1_val==46340 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x5, 0x6, 1b, x2, 160,0) - -inst_561: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x2, 164,0) - -inst_562: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x2, 168,0) - -inst_563: -// rs1_val==46340 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x3, 0x0, 3f, x2, 172,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x10000, 0x100, 3f, x2, 176,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x1, 0x80, 3f, x2, 180,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb505, 0x0, 1b, x2, 184,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666667, 0x40, 3f, x2, 188,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333334, 0x6, 1b, x2, 192,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x6, 0x4, 1b, x2, 196,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaab, 0x4, 1b, x2, 200,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555556, 0x20, 3f, x2, 204,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x2, 208,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xfffe, 0x40, 3f, x2, 212,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x0, 0x10, 3f, x2, 216,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb503, 0x40, 3f, x2, 220,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666665, 0x8, 1b, x2, 224,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x2, 228,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaa9, 0x100, 3f, x2, 232,0) - -inst_579: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555554, 0x10, 3f, x2, 236,0) - -inst_580: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x2, 0x40, 3f, x2, 240,0) - -inst_581: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffff, 0x4, 1b, x2, 244,0) - -inst_582: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb504, 0x80, 3f, x2, 248,0) - -inst_583: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666666, 0x4, 3f, x2, 252,0) - -inst_584: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333333, 0x2, 3f, x2, 256,0) - -inst_585: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x2, 260,0) - -inst_586: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaaa, 0x6, 3f, x2, 264,0) - -inst_587: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x2, 268,0) - -inst_588: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x3, 0x4, 3f, x2, 272,0) - -inst_589: -// rs1_val==858993459 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x10000, 0x0, 1b, x2, 276,0) - -inst_590: -// rs1_val==858993459 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x1, 0x2, 3f, x2, 280,0) - -inst_591: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb505, 0xa, 1b, x2, 284,0) - -inst_592: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666667, 0x40, 3f, x2, 288,0) - -inst_593: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333334, 0x400, 3f, x2, 292,0) - -inst_594: -// rs1_val==858993459 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x6, 0x6, 3f, x2, 296,0) - -inst_595: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaab, 0xa, 1b, x2, 300,0) - -inst_596: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555556, 0x8, 3f, x2, 304,0) - -inst_597: -// rs1_val==858993459 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x4, 0x10, 3f, x2, 308,0) - -inst_598: -// rs1_val==858993459 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xfffe, 0x200, 3f, x2, 312,0) - -inst_599: -// rs1_val==858993459 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x0, 0x20, 3f, x2, 316,0) - -inst_600: -// rs1_val==2863311529 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x3, 0x2, 1b, x2, 320,0) - -inst_601: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb503, 0x40, 3f, x2, 324,0) - -inst_602: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666665, 0x6, 1b, x2, 328,0) - -inst_603: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333332, 0x2, 1b, x2, 332,0) - -inst_604: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaa9, 0x2, 3f, x2, 336,0) - -inst_605: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555554, 0x400, 1b, x2, 340,0) - -inst_606: -// rs1_val==858993459 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x2, 0x80, 3f, x2, 344,0) - -inst_607: -// rs1_val==858993459 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xffff, 0x2, 3f, x2, 348,0) - -inst_608: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb504, 0x8, 3f, x2, 352,0) - -inst_609: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666666, 0x0, 1b, x2, 356,0) - -inst_610: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333333, 0x100, 3f, x2, 360,0) - -inst_611: -// rs1_val==858993459 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x5, 0x4, 1b, x2, 364,0) - -inst_612: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaaa, 0x80, 3f, x2, 368,0) - -inst_613: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555555, 0x4, 3f, x2, 372,0) - -inst_614: -// rs1_val==858993459 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x3, 0x400, 1b, x2, 376,0) - -inst_615: -// rs1_val==5 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x10000, 0x400, 1b, x2, 380,0) - -inst_616: -// rs1_val==5 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x1, 0x8, 1b, x2, 384,0) - -inst_617: -// rs1_val==5 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb505, 0x2, 1b, x2, 388,0) - -inst_618: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666667, 0x400, 1b, x2, 392,0) - -inst_619: -// rs1_val==5 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333334, 0x10, 3f, x2, 396,0) - -inst_620: -// rs1_val==5 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x6, 0x40, 3f, x2, 400,0) - -inst_621: -// rs1_val==5 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaab, 0x6, 1b, x2, 404,0) - -inst_622: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555556, 0xa, 1b, x2, 408,0) - -inst_623: -// rs1_val==5 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x2, 412,0) - -inst_624: -// rs1_val==5 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xfffe, 0x10, 3f, x2, 416,0) - -inst_625: -// rs1_val==5 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x0, 0x0, 1b, x2, 420,0) - -inst_626: -// rs1_val==5 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb503, 0x400, 3f, x2, 424,0) - -inst_627: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666665, 0x20, 3f, x2, 428,0) - -inst_628: -// rs1_val==5 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333332, 0x10, 3f, x2, 432,0) - -inst_629: -// rs1_val==5 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaa9, 0x80, 3f, x2, 436,0) - -inst_630: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555554, 0x200, 3f, x2, 440,0) - -inst_631: -// rs1_val==5 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x2, 0x100, 3f, x2, 444,0) - -inst_632: -// rs1_val==5 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xffff, 0x0, 3f, x2, 448,0) - -inst_633: -// rs1_val==5 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb504, 0x40, 3f, x2, 452,0) - -inst_634: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x2, 456,0) - -inst_635: -// rs1_val==5 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333333, 0x8, 3f, x2, 460,0) - -inst_636: -// rs1_val==5 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x2, 464,0) - -inst_637: -// rs1_val==5 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaaa, 0x4, 3f, x2, 468,0) - -inst_638: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555555, 0x80, 3f, x2, 472,0) - -inst_639: -// rs1_val==5 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x3, 0x0, 1b, x2, 476,0) - -inst_640: -// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x10000, 0x20, 3f, x2, 480,0) - -inst_641: -// rs1_val==2863311530 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x1, 0x8, 3f, x2, 484,0) - -inst_642: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb505, 0x0, 1b, x2, 488,0) - -inst_643: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666667, 0x2, 1b, x2, 492,0) - -inst_644: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333334, 0x400, 1b, x2, 496,0) - -inst_645: -// rs1_val==2863311530 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x6, 0x200, 3f, x2, 500,0) - -inst_646: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x4, 3f, x2, 504,0) - -inst_647: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555556, 0x556, 1b, x2, 508,0) - -inst_648: -// rs1_val==2863311530 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x4, 0x0, 1b, x2, 512,0) - -inst_649: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xfffe, 0x40, 3f, x2, 516,0) - -inst_650: -// rs1_val==2863311530 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x0, 0x4, 3f, x2, 520,0) - -inst_651: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb503, 0x0, 3f, x2, 524,0) - -inst_652: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666665, 0x200, 3f, x2, 528,0) - -inst_653: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333332, 0x20, 3f, x2, 532,0) - -inst_654: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x2, 1b, x2, 536,0) - -inst_655: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555554, 0x10, 3f, x2, 540,0) - -inst_656: -// rs1_val==2863311530 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x2, 0x2, 1b, x2, 544,0) - -inst_657: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xffff, 0x100, 3f, x2, 548,0) - -inst_658: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb504, 0x200, 3f, x2, 552,0) - -inst_659: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666666, 0x10, 3f, x2, 556,0) - -inst_660: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333333, 0xa, 1b, x2, 560,0) - -inst_661: -// rs1_val==2863311530 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x5, 0x200, 3f, x2, 564,0) - -inst_662: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x4, 1b, x2, 568,0) - -inst_663: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555555, 0x4, 3f, x2, 572,0) - -inst_664: -// rs1_val==2863311530 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x3, 0x200, 3f, x2, 576,0) - -inst_665: -// rs1_val==1431655765 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x10000, 0x4, 1b, x2, 580,0) - -inst_666: -// rs1_val==1431655765 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x1, 0x4, 3f, x2, 584,0) - -inst_667: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb505, 0x2, 1b, x2, 588,0) - -inst_668: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666667, 0xa, 1b, x2, 592,0) - -inst_669: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333334, 0x8, 3f, x2, 596,0) - -inst_670: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 600,0) - -inst_671: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaab, 0x4, 3f, x2, 604,0) - -inst_672: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x2, 608,0) - -inst_673: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x4, 0x6, 1b, x2, 612,0) - -inst_674: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xfffe, 0x2, 3f, x2, 616,0) - -inst_675: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x0, 0x20, 3f, x2, 620,0) - -inst_676: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb503, 0xa, 1b, x2, 624,0) - -inst_677: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x2, 628,0) - -inst_678: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x2, 632,0) - -inst_679: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaa9, 0x20, 3f, x2, 636,0) - -inst_680: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555554, 0xa, 1b, x2, 640,0) - -inst_681: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x2, 0x0, 1b, x2, 644,0) - -inst_682: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xffff, 0x2, 3f, x2, 648,0) - -inst_683: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb504, 0x2, 3f, x2, 652,0) - -inst_684: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 656,0) - -inst_685: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333333, 0x2, 3f, x2, 660,0) - -inst_686: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x5, 0x100, 3f, x2, 664,0) - -inst_687: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaaa, 0x556, 1b, x2, 668,0) - -inst_688: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555555, 0x400, 3f, x2, 672,0) - -inst_689: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x3, 0x400, 1b, x2, 676,0) - -inst_690: -// rs1_val==3 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x10000, 0x2, 1b, x2, 680,0) - -inst_691: -// rs1_val==3 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x1, 0x400, 3f, x2, 684,0) - -inst_692: -// rs1_val==3 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb505, 0x10, 3f, x2, 688,0) - -inst_693: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666667, 0x0, 3f, x2, 692,0) - -inst_694: -// rs1_val==3 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 696,0) - -inst_695: -// rs1_val==3 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x6, 0x80, 3f, x2, 700,0) - -inst_696: -// rs1_val==3 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaab, 0x4, 1b, x2, 704,0) - -inst_697: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555556, 0x10, 3f, x2, 708,0) - -inst_698: -// rs1_val==3 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x4, 0x8, 1b, x2, 712,0) - -inst_699: -// rs1_val==3 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xfffe, 0xa, 1b, x2, 716,0) - -inst_700: -// rs1_val==3 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x0, 0x400, 1b, x2, 720,0) - -inst_701: -// rs1_val==3 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 724,0) - -inst_702: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666665, 0x4, 1b, x2, 728,0) - -inst_703: -// rs1_val==3 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333332, 0x556, 1b, x2, 732,0) - -inst_704: -// rs1_val==3 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaa9, 0x10, 3f, x2, 736,0) - -inst_705: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555554, 0x400, 3f, x2, 740,0) - -inst_706: -// rs1_val==3 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x2, 0x4, 3f, x2, 744,0) - -inst_707: -// rs1_val==3 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xffff, 0x200, 3f, x2, 748,0) - -inst_708: -// rs1_val==3 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb504, 0x40, 3f, x2, 752,0) - -inst_709: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x2, 756,0) - -inst_710: -// rs1_val==3 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333333, 0x80, 3f, x2, 760,0) - -inst_711: -// rs1_val==3 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 764,0) - -inst_712: -// rs1_val==3 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaaa, 0x6, 3f, x2, 768,0) - -inst_713: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555555, 0x8, 1b, x2, 772,0) - -inst_714: -// rs1_val==3 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x3, 0x4, 3f, x2, 776,0) - -inst_715: -// rs1_val==2863311529 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x5, 0x8, 1b, x2, 780,0) - -inst_716: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x6, 1b, x2, 784,0) - -inst_717: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555555, 0x400, 3f, x2, 788,0) - -inst_718: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x10000, 0x2, 1b, x2, 792,0) - -inst_719: -// rs1_val==1431655764 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x1, 0x556, 1b, x2, 796,0) - -inst_720: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb505, 0x0, 1b, x2, 800,0) - -inst_721: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666667, 0x100, 3f, x2, 804,0) - -inst_722: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333334, 0x6, 3f, x2, 808,0) - -inst_723: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x6, 0x2, 1b, x2, 812,0) - -inst_724: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaab, 0x556, 1b, x2, 816,0) - -inst_725: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555556, 0x80, 3f, x2, 820,0) - -inst_726: -// rs2_val == 4294705151, -// opcode: bltu, op1:x10; op2:x11; op1val:0x9; op2val:0xfffbffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x9, 0xfffbffff, 0x40, 3f, x2, 824,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 207*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S deleted file mode 100644 index faccec958..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S +++ /dev/null @@ -1,3014 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bne instruction of the RISC-V E extension for the bne covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bne) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x14, rs2==x14, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 -// opcode: bne, op1:x14; op2:x14; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x3, x14, x14, -0x2000001, -0x2000001, 0x8, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x9, rs2==x10, rs2_val == 2147483647, -// opcode: bne, op1:x9; op2:x10; op1val:0x9; op2val:0x7fffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x3, x9, x10, 0x9, 0x7fffffff, 0x6, 1b, x2, 4,0) - -inst_2: -// rs1==x12, rs2==x4, rs2_val == -1073741825, rs1_val == -257, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x12; op2:x4; op1val:-0x101; op2val:-0x40000001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x3, x12, x4, -0x101, -0x40000001, 0x10, 3f, x2, 8,0) - -inst_3: -// rs1==x6, rs2==x5, rs2_val == -536870913, rs1_val == -2097153 -// opcode: bne, op1:x6; op2:x5; op1val:-0x200001; op2val:-0x20000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x3, x6, x5, -0x200001, -0x20000001, 0x2, 1b, x2, 12,0) - -inst_4: -// rs1==x13, rs2==x0, rs2_val == -134217729, rs1_val == -16777217 -// opcode: bne, op1:x13; op2:x0; op1val:-0x1000001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x3, x13, x0, -0x1000001, 0x0, 0x6, 1b, x2, 16,0) - -inst_5: -// rs1==x7, rs2==x8, rs2_val == -67108865, rs1_val == 64, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x7; op2:x8; op1val:0x40; op2val:-0x4000001; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x3, x7, x8, 0x40, -0x4000001, 0x100, 3f, x2, 20,0) - -inst_6: -// rs1==x1, rs2==x13, rs2_val == -33554433, rs1_val == 4194304 -// opcode: bne, op1:x1; op2:x13; op1val:0x400000; op2val:-0x2000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x3, x1, x13, 0x400000, -0x2000001, 0x2, 1b, x2, 24,0) - -inst_7: -// rs1==x5, rs2==x11, rs2_val == -16777217, rs1_val == 2147483647 -// opcode: bne, op1:x5; op2:x11; op1val:0x7fffffff; op2val:-0x1000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x13, x5, x11, 0x7fffffff, -0x1000001, 0x2, 3f, x2, 28,0) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_8: -// rs1==x0, rs2==x1, rs2_val == -8388609, rs1_val == 8192 -// opcode: bne, op1:x0; op2:x1; op1val:0x0; op2val:-0x800001; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x13, x0, x1, 0x0, -0x800001, 0x4, 1b, x5, 0,0) - -inst_9: -// rs1==x4, rs2==x9, rs2_val == -4194305, rs1_val == -16385 -// opcode: bne, op1:x4; op2:x9; op1val:-0x4001; op2val:-0x400001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x13, x4, x9, -0x4001, -0x400001, 0x10, 3f, x5, 4,0) - -inst_10: -// rs1==x11, rs2==x15, rs2_val == -2097153, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -67108865 -// opcode: bne, op1:x11; op2:x15; op1val:-0x4000001; op2val:-0x200001; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x13, x11, x15, -0x4000001, -0x200001, 0x80, 3f, x5, 8,0) - -inst_11: -// rs1==x15, rs2==x3, rs2_val == -1048577, -// opcode: bne, op1:x15; op2:x3; op1val:0x6; op2val:-0x100001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x13, x15, x3, 0x6, -0x100001, 0x6, 1b, x5, 12,0) - -inst_12: -// rs1==x10, rs2==x7, rs2_val == -524289, rs1_val == -4097 -// opcode: bne, op1:x10; op2:x7; op1val:-0x1001; op2val:-0x80001; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x13, x10, x7, -0x1001, -0x80001, 0x556, 1b, x5, 16,0) - -inst_13: -// rs1==x3, rs2==x2, rs2_val == -262145, -// opcode: bne, op1:x3; op2:x2; op1val:0xb505; op2val:-0x40001; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x13, x3, x2, 0xb505, -0x40001, 0x0, 3f, x5, 20,0) - -inst_14: -// rs1==x2, rs2==x12, rs2_val == -131073, -// opcode: bne, op1:x2; op2:x12; op1val:-0x6; op2val:-0x20001; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x13, x2, x12, -0x6, -0x20001, 0x100, 3f, x5, 24,0) - -inst_15: -// rs1==x8, rs2==x6, rs2_val == -65537, -// opcode: bne, op1:x8; op2:x6; op1val:0x66666666; op2val:-0x10001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x13, x8, x6, 0x66666666, -0x10001, 0x200, 3f, x5, 28,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_16: -// rs2_val == -32769, rs1_val == -2147483648 -// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x8001; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, -0x8001, 0x400, 3f, x1, 0,0) - -inst_17: -// rs2_val == -16385, rs1_val == 32 -// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:-0x4001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, -0x4001, 0x6, 1b, x1, 4,0) - -inst_18: -// rs2_val == -8193, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x2001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x2001, 0x8, 3f, x1, 8,0) - -inst_19: -// rs2_val == -4097, rs1_val == 2048 -// opcode: bne, op1:x10; op2:x11; op1val:0x800; op2val:-0x1001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x800, -0x1001, 0x2, 3f, x1, 12,0) - -inst_20: -// rs2_val == -2049, rs1_val == -65537 -// opcode: bne, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x801; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x10001, -0x801, 0x0, 3f, x1, 16,0) - -inst_21: -// rs2_val == -1025, rs1_val == -262145 -// opcode: bne, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x401; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40001, -0x401, 0x0, 3f, x1, 20,0) - -inst_22: -// rs2_val == -513, rs1_val == -268435457 -// opcode: bne, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x201; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x10000001, -0x201, 0x2, 3f, x1, 24,0) - -inst_23: -// rs2_val == -257, -// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x101; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, -0x101, 0x8, 3f, x1, 28,0) - -inst_24: -// rs2_val == -129, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x81, 0x4, 1b, x1, 32,0) - -inst_25: -// rs2_val == -65, rs1_val == -2049 -// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:-0x41; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, -0x41, 0x8, 3f, x1, 36,0) - -inst_26: -// rs2_val == -33, -// opcode: bne, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x21; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000000, -0x21, 0x20, 3f, x1, 40,0) - -inst_27: -// rs2_val == -17, rs1_val == -1073741825 -// opcode: bne, op1:x10; op2:x11; op1val:-0x40000001; op2val:-0x11; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000001, -0x11, 0x400, 3f, x1, 44,0) - -inst_28: -// rs2_val == -9, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x9; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x9, 0x100, 3f, x1, 48,0) - -inst_29: -// rs2_val == -5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x1001, -0x5, 0x4, 1b, x1, 52,0) - -inst_30: -// rs2_val == -3, rs1_val == 134217728 -// opcode: bne, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000000, -0x3, 0x556, 1b, x1, 56,0) - -inst_31: -// rs2_val == -2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x101; op2val:-0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x101, -0x2, 0x2, 3f, x1, 60,0) - -inst_32: -// rs1_val == -536870913, -// opcode: bne, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x1001; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x20000001, -0x1001, 0x80, 3f, x1, 64,0) - -inst_33: -// rs1_val == -134217729, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 2 -// opcode: bne, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8000001, 0x2, 0x0, 1b, x1, 68,0) - -inst_34: -// rs1_val == -8388609, -// opcode: bne, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x11; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x800001, -0x11, 0x200, 3f, x1, 72,0) - -inst_35: -// rs1_val == -4194305, -// opcode: bne, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x20000001; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x400001, -0x20000001, 0x40, 3f, x1, 76,0) - -inst_36: -// rs1_val == -1048577, -// opcode: bne, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x800001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x100001, -0x800001, 0x2, 1b, x1, 80,0) - -inst_37: -// rs1_val == -524289, -// opcode: bne, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80001, -0x200001, 0x10, 3f, x1, 84,0) - -inst_38: -// rs1_val == -131073, rs2_val == 1024 -// opcode: bne, op1:x10; op2:x11; op1val:-0x20001; op2val:0x400; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x20001, 0x400, 0x10, 3f, x1, 88,0) - -inst_39: -// rs1_val == -32769, -// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x80001; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, -0x80001, 0x4, 3f, x1, 92,0) - -inst_40: -// rs1_val == -8193, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x100001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2001, -0x100001, 0x2, 1b, x1, 96,0) - -inst_41: -// rs1_val == -1025, rs2_val == -1431655766 -// opcode: bne, op1:x10; op2:x11; op1val:-0x401; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x401, -0x55555556, 0x556, 1b, x1, 100,0) - -inst_42: -// rs1_val == -513, -// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x6, 0x20, 3f, x1, 104,0) - -inst_43: -// rs1_val == -129, -// opcode: bne, op1:x10; op2:x11; op1val:-0x81; op2val:-0x400001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x81, -0x400001, 0x6, 1b, x1, 108,0) - -inst_44: -// rs1_val == -65, -// opcode: bne, op1:x10; op2:x11; op1val:-0x41; op2val:-0x1001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x41, -0x1001, 0x200, 3f, x1, 112,0) - -inst_45: -// rs1_val == -33, -// opcode: bne, op1:x10; op2:x11; op1val:-0x21; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x21, -0x55555556, 0x80, 3f, x1, 116,0) - -inst_46: -// rs1_val == -17, -// opcode: bne, op1:x10; op2:x11; op1val:-0x11; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x11, -0x101, 0x6, 1b, x1, 120,0) - -inst_47: -// rs1_val == -9, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:-0x40001; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, -0x40001, 0x400, 1b, x1, 124,0) - -inst_48: -// rs1_val == -5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x5; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x5, 0x6, 0x80, 3f, x1, 128,0) - -inst_49: -// rs1_val == -3, rs2_val == 1431655765 -// opcode: bne, op1:x10; op2:x11; op1val:-0x3; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x3, 0x55555555, 0xa, 1b, x1, 132,0) - -inst_50: -// rs1_val == -2, rs2_val == 524288 -// opcode: bne, op1:x10; op2:x11; op1val:-0x2; op2val:0x80000; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2, 0x80000, 0x4, 3f, x1, 136,0) - -inst_51: -// rs2_val == -2147483648, rs1_val == -1431655766 -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x80000000, 0x2, 1b, x1, 140,0) - -inst_52: -// rs2_val == 1073741824, -// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:0x40000000; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, 0x40000000, 0x80, 3f, x1, 144,0) - -inst_53: -// rs2_val == 536870912, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20000000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x20000000, 0x8, 1b, x1, 148,0) - -inst_54: -// rs2_val == 268435456, rs1_val == 16384 -// opcode: bne, op1:x10; op2:x11; op1val:0x4000; op2val:0x10000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000, 0x10000000, 0x2, 3f, x1, 152,0) - -inst_55: -// rs2_val == 134217728, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x8000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x8000000, 0x2, 3f, x1, 156,0) - -inst_56: -// rs2_val == 67108864, rs1_val == 8 -// opcode: bne, op1:x10; op2:x11; op1val:0x8; op2val:0x4000000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8, 0x4000000, 0xa, 1b, x1, 160,0) - -inst_57: -// rs2_val == 33554432, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2000000, 0xa, 1b, x1, 164,0) - -inst_58: -// rs2_val == 16777216, -// opcode: bne, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x1000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x4000001, 0x1000000, 0x2, 1b, x1, 168,0) - -inst_59: -// rs2_val == 8388608, -// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:0x800000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, 0x800000, 0x8, 3f, x1, 172,0) - -inst_60: -// rs2_val == 4194304, -// opcode: bne, op1:x10; op2:x11; op1val:-0x6; op2val:0x400000; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x6, 0x400000, 0x200, 3f, x1, 176,0) - -inst_61: -// rs2_val == 2097152, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x200000; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x200000, 0x20, 3f, x1, 180,0) - -inst_62: -// rs2_val == 1048576, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x100000; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x100000, 0x0, 3f, x1, 184,0) - -inst_63: -// rs2_val == 262144, -// opcode: bne, op1:x10; op2:x11; op1val:0x2000; op2val:0x40000; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000, 0x40000, 0x80, 3f, x1, 188,0) - -inst_64: -// rs2_val == 131072, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x20000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x20000, 0xa, 1b, x1, 192,0) - -inst_65: -// rs2_val == 65536, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x10000, 0xa, 1b, x1, 196,0) - -inst_66: -// rs2_val == 32768, -// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:0x8000; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, 0x8000, 0x0, 1b, x1, 200,0) - -inst_67: -// rs2_val == 16384, -// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x4000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, 0x4000, 0xa, 1b, x1, 204,0) - -inst_68: -// rs2_val == 8192, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x2000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x2000, 0xa, 1b, x1, 208,0) - -inst_69: -// rs2_val == 4096, rs1_val == 32768 -// opcode: bne, op1:x10; op2:x11; op1val:0x8000; op2val:0x1000; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000, 0x1000, 0x6, 3f, x1, 212,0) - -inst_70: -// rs2_val == 2048, -// opcode: bne, op1:x10; op2:x11; op1val:0x40; op2val:0x800; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40, 0x800, 0x6, 3f, x1, 216,0) - -inst_71: -// rs2_val == 512, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x200, 0x400, 1b, x1, 220,0) - -inst_72: -// rs2_val == 256, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x100; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x100, 0x200, 3f, x1, 224,0) - -inst_73: -// rs2_val == 128, rs1_val == 65536 -// opcode: bne, op1:x10; op2:x11; op1val:0x10000; op2val:0x80; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000, 0x80, 0x80, 3f, x1, 228,0) - -inst_74: -// rs2_val == 64, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x40; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x40, 0x8, 3f, x1, 232,0) - -inst_75: -// rs2_val == 32, -// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x20; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x20, 0x40, 3f, x1, 236,0) - -inst_76: -// rs2_val == 16, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x10; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x10, 0x400, 3f, x1, 240,0) - -inst_77: -// rs2_val == 8, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x8; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x8, 0x80, 3f, x1, 244,0) - -inst_78: -// rs2_val == 4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, 0x4, 0x2, 1b, x1, 248,0) - -inst_79: -// rs2_val == 1, rs1_val == 2 -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x1, 0x4, 3f, x1, 252,0) - -inst_80: -// rs1_val == 1073741824, -// opcode: bne, op1:x10; op2:x11; op1val:0x40000000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000000, 0x33333333, 0x2, 1b, x1, 256,0) - -inst_81: -// rs1_val == 536870912, -// opcode: bne, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x9; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000000, -0x9, 0x4, 3f, x1, 260,0) - -inst_82: -// rs1_val == 268435456, -// opcode: bne, op1:x10; op2:x11; op1val:0x10000000; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000000, 0x4, 0x10, 3f, x1, 264,0) - -inst_83: -// rs1_val == 67108864, -// opcode: bne, op1:x10; op2:x11; op1val:0x4000000; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000000, 0x800000, 0x200, 3f, x1, 268,0) - -inst_84: -// rs1_val == 33554432, -// opcode: bne, op1:x10; op2:x11; op1val:0x2000000; op2val:0x3fffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000000, 0x3fffffff, 0x2, 3f, x1, 272,0) - -inst_85: -// rs1_val == 16777216, -// opcode: bne, op1:x10; op2:x11; op1val:0x1000000; op2val:-0x100001; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000000, -0x100001, 0x0, 3f, x1, 276,0) - -inst_86: -// rs1_val == 8388608, -// opcode: bne, op1:x10; op2:x11; op1val:0x800000; op2val:0x1000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x800000, 0x1000, 0x8, 1b, x1, 280,0) - -inst_87: -// rs1_val == 2097152, -// opcode: bne, op1:x10; op2:x11; op1val:0x200000; op2val:-0x401; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x200000, -0x401, 0x200, 3f, x1, 284,0) - -inst_88: -// rs1_val == 1048576, -// opcode: bne, op1:x10; op2:x11; op1val:0x100000; op2val:-0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x100000, -0x1, 0x8, 3f, x1, 288,0) - -inst_89: -// rs1_val == 524288, -// opcode: bne, op1:x10; op2:x11; op1val:0x80000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x80000, 0x0, 0x2, 3f, x1, 292,0) - -inst_90: -// rs1_val == 262144, -// opcode: bne, op1:x10; op2:x11; op1val:0x40000; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000, 0x33333333, 0x0, 3f, x1, 296,0) - -inst_91: -// rs1_val == 131072, -// opcode: bne, op1:x10; op2:x11; op1val:0x20000; op2val:-0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000, -0x5, 0x100, 3f, x1, 300,0) - -inst_92: -// rs1_val == 4096, -// opcode: bne, op1:x10; op2:x11; op1val:0x1000; op2val:0x100000; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000, 0x100000, 0x20, 3f, x1, 304,0) - -inst_93: -// rs1_val == 1024, -// opcode: bne, op1:x10; op2:x11; op1val:0x400; op2val:0x80; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x400, 0x80, 0x400, 1b, x1, 308,0) - -inst_94: -// rs1_val == 512, -// opcode: bne, op1:x10; op2:x11; op1val:0x200; op2val:-0x9; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x200, -0x9, 0x0, 3f, x1, 312,0) - -inst_95: -// rs1_val == 256, -// opcode: bne, op1:x10; op2:x11; op1val:0x100; op2val:0x8000; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x100, 0x8000, 0x556, 1b, x1, 316,0) - -inst_96: -// rs1_val == 128, -// opcode: bne, op1:x10; op2:x11; op1val:0x80; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x80, 0x55555556, 0x20, 3f, x1, 320,0) - -inst_97: -// rs1_val == 16, -// opcode: bne, op1:x10; op2:x11; op1val:0x10; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10, -0x81, 0x4, 1b, x1, 324,0) - -inst_98: -// rs1_val == 4, rs1_val==4 and rs2_val==0 -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x0, 0x556, 1b, x1, 328,0) - -inst_99: -// rs1_val == 1, -// opcode: bne, op1:x10; op2:x11; op1val:0x1; op2val:-0x4000001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1, -0x4000001, 0x200, 3f, x1, 332,0) - -inst_100: -// rs1_val==46341 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb505, 0xa, 1b, x1, 336,0) - -inst_101: -// rs1_val==46341 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb503, 0x4, 1b, x1, 340,0) - -inst_102: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666667, 0x200, 3f, x1, 344,0) - -inst_103: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x1, 348,0) - -inst_104: -// rs1_val==46341 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x6, 0x4, 3f, x1, 352,0) - -inst_105: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555555, 0x2, 3f, x1, 356,0) - -inst_106: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555556, 0x2, 3f, x1, 360,0) - -inst_107: -// rs1_val==46341 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x4, 0x6, 3f, x1, 364,0) - -inst_108: -// rs1_val==46341 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb503, 0x556, 1b, x1, 368,0) - -inst_109: -// rs1_val==46341 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x0, 0x6, 1b, x1, 372,0) - -inst_110: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666665, 0x6, 3f, x1, 376,0) - -inst_111: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333332, 0x400, 3f, x1, 380,0) - -inst_112: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x1, 384,0) - -inst_113: -// rs1_val==46341 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x2, 0x20, 3f, x1, 388,0) - -inst_114: -// rs1_val==46341 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb504, 0x200, 3f, x1, 392,0) - -inst_115: -// rs1_val==46341 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb504, 0x6, 3f, x1, 396,0) - -inst_116: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666666, 0x100, 3f, x1, 400,0) - -inst_117: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333333, 0x10, 3f, x1, 404,0) - -inst_118: -// rs1_val==46341 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x5, 0x2, 3f, x1, 408,0) - -inst_119: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555556, 0x6, 1b, x1, 412,0) - -inst_120: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 416,0) - -inst_121: -// rs1_val==46341 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x3, 0x6, 3f, x1, 420,0) - -inst_122: -// rs1_val==-46339 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb505, 0x4, 1b, x1, 424,0) - -inst_123: -// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb503, 0x400, 3f, x1, 428,0) - -inst_124: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666667, 0x100, 3f, x1, 432,0) - -inst_125: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333334, 0x4, 3f, x1, 436,0) - -inst_126: -// rs1_val==-46339 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x6, 0x10, 3f, x1, 440,0) - -inst_127: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555555, 0x556, 1b, x1, 444,0) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x1, 448,0) - -inst_129: -// rs1_val==-46339 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x4, 0x400, 3f, x1, 452,0) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb503, 0x400, 3f, x1, 456,0) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x0, 0x4, 3f, x1, 460,0) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666665, 0x6, 1b, x1, 464,0) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333332, 0x6, 1b, x1, 468,0) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555554, 0x2, 1b, x1, 472,0) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x2, 0x400, 3f, x1, 476,0) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb504, 0x2, 1b, x1, 480,0) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb504, 0x400, 3f, x1, 484,0) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666666, 0x4, 1b, x1, 488,0) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333333, 0x2, 3f, x1, 492,0) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x5, 0x400, 3f, x1, 496,0) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555556, 0x6, 1b, x1, 500,0) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555555, 0x20, 3f, x1, 504,0) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x3, 0x556, 1b, x1, 508,0) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x1, 512,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb503, 0x6, 1b, x1, 516,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666667, 0x2, 1b, x1, 520,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x1, 524,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x6, 0x0, 3f, x1, 528,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555555, 0x10, 3f, x1, 532,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555556, 0x8, 1b, x1, 536,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x4, 0x4, 3f, x1, 540,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb503, 0x4, 1b, x1, 544,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x0, 0x556, 1b, x1, 548,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666665, 0x2, 3f, x1, 552,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333332, 0x20, 3f, x1, 556,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x1, 560,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x2, 0x6, 3f, x1, 564,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb504, 0x20, 3f, x1, 568,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb504, 0x2, 1b, x1, 572,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x1, 576,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x1, 580,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x5, 0x400, 3f, x1, 584,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555556, 0x40, 3f, x1, 588,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555555, 0x4, 3f, x1, 592,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x3, 0x2, 1b, x1, 596,0) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb505, 0x556, 1b, x1, 600,0) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb503, 0x100, 3f, x1, 604,0) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666667, 0x200, 3f, x1, 608,0) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333334, 0x100, 3f, x1, 612,0) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x6, 0x0, 1b, x1, 616,0) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555555, 0x2, 3f, x1, 620,0) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x1, 624,0) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x4, 0x556, 1b, x1, 628,0) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb503, 0x40, 3f, x1, 632,0) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x0, 0x2, 1b, x1, 636,0) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666665, 0x40, 3f, x1, 640,0) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x1, 644,0) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555554, 0x6, 1b, x1, 648,0) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x2, 0x556, 1b, x1, 652,0) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb504, 0x2, 1b, x1, 656,0) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb504, 0x8, 3f, x1, 660,0) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666666, 0x0, 3f, x1, 664,0) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333333, 0x4, 3f, x1, 668,0) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x5, 0x6, 3f, x1, 672,0) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555556, 0x200, 3f, x1, 676,0) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555555, 0x0, 3f, x1, 680,0) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x3, 0x80, 3f, x1, 684,0) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 688,0) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb503, 0x20, 3f, x1, 692,0) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666667, 0x2, 3f, x1, 696,0) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333334, 0x40, 3f, x1, 700,0) - -inst_192: -// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x6, 0x4, 1b, x1, 704,0) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555555, 0x0, 1b, x1, 708,0) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555556, 0x2, 1b, x1, 712,0) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x4, 0x20, 3f, x1, 716,0) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb503, 0x400, 1b, x1, 720,0) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x0, 0xa, 1b, x1, 724,0) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666665, 0x4, 1b, x1, 728,0) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333332, 0x0, 3f, x1, 732,0) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555554, 0x4, 1b, x1, 736,0) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x2, 0x80, 3f, x1, 740,0) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb504, 0x4, 1b, x1, 744,0) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb504, 0x6, 3f, x1, 748,0) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666666, 0x2, 1b, x1, 752,0) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333333, 0x8, 3f, x1, 756,0) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 760,0) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555556, 0x80, 3f, x1, 764,0) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555555, 0x556, 1b, x1, 768,0) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x3, 0x6, 3f, x1, 772,0) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x1, 776,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb503, 0x40, 3f, x1, 780,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666667, 0xa, 1b, x1, 784,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333334, 0x400, 1b, x1, 788,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 792,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555555, 0x556, 1b, x1, 796,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555556, 0x0, 3f, x1, 800,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x4, 0x40, 3f, x1, 804,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb503, 0x556, 1b, x1, 808,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x0, 0x4, 3f, x1, 812,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666665, 0x80, 3f, x1, 816,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333332, 0x80, 3f, x1, 820,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555554, 0x0, 3f, x1, 824,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x2, 0x10, 3f, x1, 828,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb504, 0x8, 3f, x1, 832,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb504, 0x2, 3f, x1, 836,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666666, 0x20, 3f, x1, 840,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333333, 0x6, 1b, x1, 844,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x5, 0x2, 3f, x1, 848,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555556, 0x8, 3f, x1, 852,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555555, 0x10, 3f, x1, 856,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x3, 0x20, 3f, x1, 860,0) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x1, 864,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb503, 0x2, 1b, x1, 868,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x1, 872,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333334, 0x556, 1b, x1, 876,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x6, 0x556, 1b, x1, 880,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555555, 0xa, 1b, x1, 884,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555556, 0x6, 3f, x1, 888,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x4, 0x40, 3f, x1, 892,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb503, 0x4, 1b, x1, 896,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x0, 0x400, 1b, x1, 900,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x1, 904,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333332, 0x8, 3f, x1, 908,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555554, 0x0, 1b, x1, 912,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x2, 0x8, 1b, x1, 916,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x1, 920,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb504, 0x10, 3f, x1, 924,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666666, 0x8, 1b, x1, 928,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333333, 0x20, 3f, x1, 932,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x5, 0x4, 3f, x1, 936,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555556, 0x400, 3f, x1, 940,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x1, 944,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 948,0) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb505, 0x2, 1b, x1, 952,0) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb503, 0x0, 3f, x1, 956,0) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666667, 0x2, 1b, x1, 960,0) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333334, 0x0, 3f, x1, 964,0) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x6, 0x4, 3f, x1, 968,0) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555555, 0x0, 1b, x1, 972,0) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555556, 0x0, 3f, x1, 976,0) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x4, 0x0, 3f, x1, 980,0) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb503, 0x8, 3f, x1, 984,0) - -inst_263: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666665, 0x8, 1b, x1, 988,0) - -inst_264: -// rs1_val==4 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333332, 0x40, 3f, x1, 992,0) - -inst_265: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555554, 0x556, 1b, x1, 996,0) - -inst_266: -// rs1_val==4 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x2, 0x8, 1b, x1, 1000,0) - -inst_267: -// rs1_val==4 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb504, 0x20, 3f, x1, 1004,0) - -inst_268: -// rs1_val==4 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb504, 0x80, 3f, x1, 1008,0) - -inst_269: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666666, 0x556, 1b, x1, 1012,0) - -inst_270: -// rs1_val==4 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1016,0) - -inst_271: -// rs1_val==4 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x5, 0x100, 3f, x1, 1020,0) - -inst_272: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555556, 0x20, 3f, x1, 1024,0) - -inst_273: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555555, 0x8, 3f, x1, 1028,0) - -inst_274: -// rs1_val==4 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x3, 0x10, 3f, x1, 1032,0) - -inst_275: -// rs1_val==46339 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb505, 0x80, 3f, x1, 1036,0) - -inst_276: -// rs1_val==46339 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb503, 0x8, 1b, x1, 1040,0) - -inst_277: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666667, 0x400, 3f, x1, 1044,0) - -inst_278: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333334, 0x2, 3f, x1, 1048,0) - -inst_279: -// rs1_val==46339 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x6, 0x10, 3f, x1, 1052,0) - -inst_280: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1056,0) - -inst_281: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555556, 0x80, 3f, x1, 1060,0) - -inst_282: -// rs1_val==46339 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x4, 0x400, 3f, x1, 1064,0) - -inst_283: -// rs1_val==46339 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb503, 0xa, 1b, x1, 1068,0) - -inst_284: -// rs1_val==46339 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x0, 0x40, 3f, x1, 1072,0) - -inst_285: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666665, 0x6, 3f, x1, 1076,0) - -inst_286: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333332, 0x0, 3f, x1, 1080,0) - -inst_287: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555554, 0x556, 1b, x1, 1084,0) - -inst_288: -// rs1_val==46339 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x2, 0x10, 3f, x1, 1088,0) - -inst_289: -// rs1_val==46339 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb504, 0x20, 3f, x1, 1092,0) - -inst_290: -// rs1_val==46339 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb504, 0x2, 1b, x1, 1096,0) - -inst_291: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666666, 0x556, 1b, x1, 1100,0) - -inst_292: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333333, 0x4, 1b, x1, 1104,0) - -inst_293: -// rs1_val==46339 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x5, 0x20, 3f, x1, 1108,0) - -inst_294: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555556, 0x8, 3f, x1, 1112,0) - -inst_295: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555555, 0x2, 3f, x1, 1116,0) - -inst_296: -// rs1_val==46339 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x3, 0x0, 3f, x1, 1120,0) - -inst_297: -// rs1_val==0 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb505, 0x0, 3f, x1, 1124,0) - -inst_298: -// rs1_val==0 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb503, 0x80, 3f, x1, 1128,0) - -inst_299: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666667, 0x10, 3f, x1, 1132,0) - -inst_300: -// rs1_val==0 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333334, 0x80, 3f, x1, 1136,0) - -inst_301: -// rs1_val==0 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x6, 0x4, 1b, x1, 1140,0) - -inst_302: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555555, 0x0, 1b, x1, 1144,0) - -inst_303: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555556, 0x0, 3f, x1, 1148,0) - -inst_304: -// rs1_val==0 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x4, 0x556, 1b, x1, 1152,0) - -inst_305: -// rs1_val==0 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb503, 0x400, 3f, x1, 1156,0) - -inst_306: -// rs1_val==0 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x0, 0x8, 3f, x1, 1160,0) - -inst_307: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666665, 0x2, 1b, x1, 1164,0) - -inst_308: -// rs1_val==0 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333332, 0x400, 3f, x1, 1168,0) - -inst_309: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555554, 0x4, 3f, x1, 1172,0) - -inst_310: -// rs1_val==0 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x2, 0x8, 3f, x1, 1176,0) - -inst_311: -// rs1_val==0 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb504, 0x2, 1b, x1, 1180,0) - -inst_312: -// rs1_val==0 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb504, 0x400, 1b, x1, 1184,0) - -inst_313: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666666, 0x8, 1b, x1, 1188,0) - -inst_314: -// rs1_val==0 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333333, 0x200, 3f, x1, 1192,0) - -inst_315: -// rs1_val==0 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x5, 0x2, 3f, x1, 1196,0) - -inst_316: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1200,0) - -inst_317: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555555, 0x0, 1b, x1, 1204,0) - -inst_318: -// rs1_val==0 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x3, 0xa, 1b, x1, 1208,0) - -inst_319: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb505, 0x2, 3f, x1, 1212,0) - -inst_320: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb503, 0x20, 3f, x1, 1216,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666667, 0x400, 3f, x1, 1220,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x1, 1224,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x6, 0x4, 3f, x1, 1228,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555555, 0x0, 3f, x1, 1232,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555556, 0x6, 3f, x1, 1236,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x4, 0x8, 3f, x1, 1240,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x1, 1244,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x0, 0x0, 3f, x1, 1248,0) - -inst_329: -// rs1_val==858993459 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x0, 0x0, 3f, x1, 1252,0) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666665, 0x400, 3f, x1, 1256,0) - -inst_331: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x1, 1260,0) - -inst_332: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555554, 0xa, 1b, x1, 1264,0) - -inst_333: -// rs1_val==858993459 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x2, 0x2, 3f, x1, 1268,0) - -inst_334: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x1, 1272,0) - -inst_335: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb504, 0x0, 1b, x1, 1276,0) - -inst_336: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x1, 1280,0) - -inst_337: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x1, 1284,0) - -inst_338: -// rs1_val==858993459 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x5, 0x2, 1b, x1, 1288,0) - -inst_339: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1292,0) - -inst_340: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555555, 0x4, 1b, x1, 1296,0) - -inst_341: -// rs1_val==858993459 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x3, 0x4, 1b, x1, 1300,0) - -inst_342: -// rs1_val==5 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb505, 0x20, 3f, x1, 1304,0) - -inst_343: -// rs1_val==5 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb503, 0x400, 3f, x1, 1308,0) - -inst_344: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666667, 0x6, 1b, x1, 1312,0) - -inst_345: -// rs1_val==5 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333334, 0x100, 3f, x1, 1316,0) - -inst_346: -// rs1_val==5 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x6, 0x80, 3f, x1, 1320,0) - -inst_347: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555555, 0x8, 3f, x1, 1324,0) - -inst_348: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555556, 0x10, 3f, x1, 1328,0) - -inst_349: -// rs1_val==5 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x4, 0x400, 1b, x1, 1332,0) - -inst_350: -// rs1_val==5 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb503, 0x8, 3f, x1, 1336,0) - -inst_351: -// rs1_val==5 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x0, 0x8, 1b, x1, 1340,0) - -inst_352: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666665, 0x2, 1b, x1, 1344,0) - -inst_353: -// rs1_val==5 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333332, 0x6, 3f, x1, 1348,0) - -inst_354: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555554, 0x100, 3f, x1, 1352,0) - -inst_355: -// rs1_val==5 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x2, 0x6, 3f, x1, 1356,0) - -inst_356: -// rs1_val==5 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb504, 0x2, 1b, x1, 1360,0) - -inst_357: -// rs1_val==5 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb504, 0x6, 1b, x1, 1364,0) - -inst_358: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666666, 0x556, 1b, x1, 1368,0) - -inst_359: -// rs1_val==5 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333333, 0x4, 1b, x1, 1372,0) - -inst_360: -// rs1_val==5 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x5, 0x20, 3f, x1, 1376,0) - -inst_361: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1380,0) - -inst_362: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555555, 0x4, 3f, x1, 1384,0) - -inst_363: -// rs1_val==5 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x3, 0x8, 1b, x1, 1388,0) - -inst_364: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb505, 0x80, 3f, x1, 1392,0) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb503, 0x0, 3f, x1, 1396,0) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666667, 0x40, 3f, x1, 1400,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333334, 0x4, 1b, x1, 1404,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x6, 0x80, 3f, x1, 1408,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555555, 0x20, 3f, x1, 1412,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555556, 0x400, 1b, x1, 1416,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x4, 0x6, 1b, x1, 1420,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb503, 0x400, 3f, x1, 1424,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x0, 0x4, 3f, x1, 1428,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666665, 0x2, 1b, x1, 1432,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333332, 0x2, 1b, x1, 1436,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555554, 0x200, 3f, x1, 1440,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x2, 0x400, 3f, x1, 1444,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb504, 0x556, 1b, x1, 1448,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb504, 0x0, 1b, x1, 1452,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666666, 0x6, 1b, x1, 1456,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333333, 0x2, 3f, x1, 1460,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x5, 0x4, 1b, x1, 1464,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555556, 0x6, 1b, x1, 1468,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555555, 0x4, 3f, x1, 1472,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x3, 0x40, 3f, x1, 1476,0) - -inst_386: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb505, 0x6, 1b, x1, 1480,0) - -inst_387: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb503, 0x10, 3f, x1, 1484,0) - -inst_388: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666667, 0x8, 3f, x1, 1488,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x1, 1492,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x6, 0x556, 1b, x1, 1496,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555555, 0xa, 1b, x1, 1500,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x1, 1504,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x4, 0x0, 1b, x1, 1508,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb503, 0x10, 3f, x1, 1512,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1516,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666665, 0x20, 3f, x1, 1520,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x1, 1524,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555554, 0x400, 3f, x1, 1528,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x2, 0x4, 3f, x1, 1532,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x1, 1536,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb504, 0x6, 3f, x1, 1540,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x1, 1544,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x1, 1548,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x5, 0x200, 3f, x1, 1552,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555556, 0x100, 3f, x1, 1556,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555555, 0xa, 1b, x1, 1560,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x3, 0x8, 1b, x1, 1564,0) - -inst_408: -// rs1_val==3 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb505, 0x200, 3f, x1, 1568,0) - -inst_409: -// rs1_val==3 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb503, 0x4, 1b, x1, 1572,0) - -inst_410: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666667, 0x40, 3f, x1, 1576,0) - -inst_411: -// rs1_val==3 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333334, 0x2, 3f, x1, 1580,0) - -inst_412: -// rs1_val==3 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x6, 0x0, 3f, x1, 1584,0) - -inst_413: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555555, 0x4, 1b, x1, 1588,0) - -inst_414: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555556, 0x8, 3f, x1, 1592,0) - -inst_415: -// rs1_val==3 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x4, 0x4, 1b, x1, 1596,0) - -inst_416: -// rs1_val==3 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb503, 0x0, 1b, x1, 1600,0) - -inst_417: -// rs1_val==3 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x0, 0x200, 3f, x1, 1604,0) - -inst_418: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666665, 0x6, 3f, x1, 1608,0) - -inst_419: -// rs1_val==3 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333332, 0x4, 3f, x1, 1612,0) - -inst_420: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555554, 0x4, 1b, x1, 1616,0) - -inst_421: -// rs1_val==3 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x2, 0x100, 3f, x1, 1620,0) - -inst_422: -// rs1_val==3 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb504, 0x400, 1b, x1, 1624,0) - -inst_423: -// rs1_val==3 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb504, 0x10, 3f, x1, 1628,0) - -inst_424: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666666, 0x6, 3f, x1, 1632,0) - -inst_425: -// rs1_val==3 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333333, 0x6, 3f, x1, 1636,0) - -inst_426: -// rs1_val==3 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x5, 0x4, 1b, x1, 1640,0) - -inst_427: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555556, 0x8, 1b, x1, 1644,0) - -inst_428: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555555, 0x2, 1b, x1, 1648,0) - -inst_429: -// rs1_val==3 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x3, 0x400, 3f, x1, 1652,0) - -inst_430: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666665, 0x200, 3f, x1, 1656,0) - -inst_431: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333332, 0x6, 3f, x1, 1660,0) - -inst_432: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x1, 1664,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x2, 0x6, 1b, x1, 1668,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb504, 0x8, 1b, x1, 1672,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb504, 0xa, 1b, x1, 1676,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666666, 0x100, 3f, x1, 1680,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333333, 0x2, 1b, x1, 1684,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x5, 0x20, 3f, x1, 1688,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555556, 0xa, 1b, x1, 1692,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555555, 0xa, 1b, x1, 1696,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x3, 0x200, 3f, x1, 1700,0) - -inst_442: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb505, 0xa, 1b, x1, 1704,0) - -inst_443: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb503, 0x400, 3f, x1, 1708,0) - -inst_444: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x1, 1712,0) - -inst_445: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333334, 0x100, 3f, x1, 1716,0) - -inst_446: -// rs1_val==858993458 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x6, 0x0, 1b, x1, 1720,0) - -inst_447: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555555, 0x40, 3f, x1, 1724,0) - -inst_448: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x1, 1728,0) - -inst_449: -// rs1_val==858993458 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x4, 0x2, 3f, x1, 1732,0) - -inst_450: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb503, 0x20, 3f, x1, 1736,0) - -inst_451: -// rs1_val==858993458 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x0, 0x4, 1b, x1, 1740,0) - -inst_452: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666665, 0x20, 3f, x1, 1744,0) - -inst_453: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333332, 0x20, 3f, x1, 1748,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x1, 1752,0) - -inst_455: -// rs1_val==858993458 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x2, 0x400, 1b, x1, 1756,0) - -inst_456: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x1, 1760,0) - -inst_457: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb504, 0x6, 1b, x1, 1764,0) - -inst_458: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x1, 1768,0) - -inst_459: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333333, 0x400, 3f, x1, 1772,0) - -inst_460: -// rs1_val==858993458 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x5, 0x200, 3f, x1, 1776,0) - -inst_461: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555556, 0x0, 3f, x1, 1780,0) - -inst_462: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555555, 0x4, 3f, x1, 1784,0) - -inst_463: -// rs1_val==858993458 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x3, 0x400, 1b, x1, 1788,0) - -inst_464: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb505, 0x8, 1b, x1, 1792,0) - -inst_465: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb503, 0x8, 3f, x1, 1796,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666667, 0x400, 1b, x1, 1800,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1804,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x6, 0x10, 3f, x1, 1808,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555555, 0x0, 3f, x1, 1812,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1816,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1820,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb503, 0x4, 3f, x1, 1824,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x0, 0x200, 3f, x1, 1828,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666665, 0x4, 1b, x1, 1832,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333332, 0x6, 1b, x1, 1836,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555554, 0x4, 3f, x1, 1840,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x2, 0x0, 1b, x1, 1844,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb504, 0x80, 3f, x1, 1848,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb504, 0x10, 3f, x1, 1852,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666666, 0x80, 3f, x1, 1856,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333333, 0x10, 3f, x1, 1860,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x5, 0xa, 1b, x1, 1864,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555556, 0x2, 1b, x1, 1868,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x1, 1872,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x3, 0x10, 3f, x1, 1876,0) - -inst_486: -// rs1_val==2 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb505, 0x100, 3f, x1, 1880,0) - -inst_487: -// rs1_val==2 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb503, 0x400, 1b, x1, 1884,0) - -inst_488: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666667, 0x4, 1b, x1, 1888,0) - -inst_489: -// rs1_val==2 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333334, 0x6, 1b, x1, 1892,0) - -inst_490: -// rs1_val==2 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x6, 0x2, 3f, x1, 1896,0) - -inst_491: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555555, 0x400, 1b, x1, 1900,0) - -inst_492: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555556, 0x10, 3f, x1, 1904,0) - -inst_493: -// rs1_val==2 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x4, 0x4, 3f, x1, 1908,0) - -inst_494: -// rs1_val==2 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb503, 0x10, 3f, x1, 1912,0) - -inst_495: -// rs1_val==2 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x0, 0x40, 3f, x1, 1916,0) - -inst_496: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666665, 0x2, 1b, x1, 1920,0) - -inst_497: -// rs1_val==2 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333332, 0x40, 3f, x1, 1924,0) - -inst_498: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555554, 0x0, 3f, x1, 1928,0) - -inst_499: -// rs1_val==2 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x2, 0x80, 3f, x1, 1932,0) - -inst_500: -// rs1_val==2 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb504, 0x4, 1b, x1, 1936,0) - -inst_501: -// rs1_val==2 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb504, 0x2, 1b, x1, 1940,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666666, 0x0, 1b, x1, 1944,0) - -inst_503: -// rs1_val==2 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333333, 0x200, 3f, x1, 1948,0) - -inst_504: -// rs1_val==2 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x5, 0x556, 1b, x1, 1952,0) - -inst_505: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555556, 0x200, 3f, x1, 1956,0) - -inst_506: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555555, 0xa, 1b, x1, 1960,0) - -inst_507: -// rs1_val==2 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x3, 0x6, 1b, x1, 1964,0) - -inst_508: -// rs1_val==46340 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb505, 0x10, 3f, x1, 1968,0) - -inst_509: -// rs1_val==46340 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb503, 0x10, 3f, x1, 1972,0) - -inst_510: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666667, 0x4, 3f, x1, 1976,0) - -inst_511: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333334, 0x556, 1b, x1, 1980,0) - -inst_512: -// rs1_val==46340 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x6, 0x80, 3f, x1, 1984,0) - -inst_513: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555555, 0x400, 3f, x1, 1988,0) - -inst_514: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555556, 0x4, 1b, x1, 1992,0) - -inst_515: -// rs1_val==46340 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x4, 0x40, 3f, x1, 1996,0) - -inst_516: -// rs1_val==46340 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb503, 0x20, 3f, x1, 2000,0) - -inst_517: -// rs1_val==46340 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2004,0) - -inst_518: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666665, 0x4, 1b, x1, 2008,0) - -inst_519: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333332, 0x80, 3f, x1, 2012,0) - -inst_520: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555554, 0x400, 1b, x1, 2016,0) - -inst_521: -// rs1_val==46340 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x2, 0xa, 1b, x1, 2020,0) - -inst_522: -// rs1_val==46340 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb504, 0x200, 3f, x1, 2024,0) - -inst_523: -// rs1_val==46340 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb504, 0x80, 3f, x1, 2028,0) - -inst_524: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x1, 2032,0) - -inst_525: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333333, 0x0, 1b, x1, 2036,0) - -inst_526: -// rs1_val==46340 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x5, 0x20, 3f, x1, 2040,0) - -inst_527: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555556, 0x10, 3f, x1, 2044,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_528: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555555, 0x20, 3f, x1, 0,0) - -inst_529: -// rs1_val==46340 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x3, 0x4, 3f, x1, 4,0) - -inst_530: -// rs1_val==-46340 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb505, 0x6, 3f, x1, 8,0) - -inst_531: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb503, 0x6, 1b, x1, 12,0) - -inst_532: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666667, 0x400, 1b, x1, 16,0) - -inst_533: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333334, 0x400, 3f, x1, 20,0) - -inst_534: -// rs1_val==-46340 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x6, 0x2, 1b, x1, 24,0) - -inst_535: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555555, 0x20, 3f, x1, 28,0) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555556, 0x400, 1b, x1, 32,0) - -inst_537: -// rs1_val==-46340 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x4, 0x6, 1b, x1, 36,0) - -inst_538: -// rs1_val==-46340 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 40,0) - -inst_539: -// rs1_val==-46340 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x0, 0x100, 3f, x1, 44,0) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666665, 0x8, 1b, x1, 48,0) - -inst_541: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333332, 0x6, 3f, x1, 52,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555554, 0x200, 3f, x1, 56,0) - -inst_543: -// rs1_val==-46340 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x2, 0x20, 3f, x1, 60,0) - -inst_544: -// rs1_val==-46340 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb504, 0x400, 1b, x1, 64,0) - -inst_545: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb504, 0x80, 3f, x1, 68,0) - -inst_546: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666666, 0x8, 1b, x1, 72,0) - -inst_547: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333333, 0x8, 3f, x1, 76,0) - -inst_548: -// rs1_val==-46340 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x5, 0x100, 3f, x1, 80,0) - -inst_549: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555556, 0x100, 3f, x1, 84,0) - -inst_550: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555555, 0xa, 1b, x1, 88,0) - -inst_551: -// rs1_val==-46340 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 92,0) - -inst_552: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb505, 0x100, 3f, x1, 96,0) - -inst_553: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb503, 0x400, 3f, x1, 100,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666667, 0x200, 3f, x1, 104,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 108,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x6, 0x0, 3f, x1, 112,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555555, 0x80, 3f, x1, 116,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555556, 0x6, 3f, x1, 120,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x4, 0x400, 1b, x1, 124,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb503, 0x8, 3f, x1, 128,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x0, 0x6, 1b, x1, 132,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x1, 136,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333332, 0x8, 3f, x1, 140,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555554, 0x400, 3f, x1, 144,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2, 0x0, 1b, x1, 148,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb504, 0x6, 1b, x1, 152,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb504, 0x556, 1b, x1, 156,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666666, 0x6, 1b, x1, 160,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x1, 164,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x5, 0xa, 1b, x1, 168,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 172,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555555, 0x40, 3f, x1, 176,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x3, 0x8, 1b, x1, 180,0) - -inst_574: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb505, 0x2, 1b, x1, 184,0) - -inst_575: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb503, 0x0, 1b, x1, 188,0) - -inst_576: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666667, 0x0, 1b, x1, 192,0) - -inst_577: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333334, 0x4, 1b, x1, 196,0) - -inst_578: -// rs1_val==858993459 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 200,0) - -inst_579: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555555, 0x40, 3f, x1, 204,0) - -inst_580: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x1, 208,0) - -inst_581: -// rs1_val==858993459 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x4, 0x100, 3f, x1, 212,0) - -inst_582: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb503, 0x4, 3f, x1, 216,0) - -inst_583: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x10000001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, -0x10000001, 0x8, 1b, x1, 220,0) - -inst_584: -// rs2_val == -134217729, rs1_val == -16777217 -// opcode: bne, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x8000001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x1000001, -0x8000001, 0x6, 1b, x1, 224,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S deleted file mode 100644 index f351234d6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S +++ /dev/null @@ -1,159 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the jal instruction of the RISC-V E extension for the jal covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jal) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rd==x8, imm_val < 0, -// opcode: jal; dest:x8; immval:0x4; align:0 -TEST_JAL_OP(x2, x8, 0x4, 1b, x7, 0,0) - -inst_1: -// rd==x14, imm_val == ((2**(18))), imm_val > 0 -// opcode: jal; dest:x14; immval:0x40000; align:0 -TEST_JAL_OP(x2, x14, 0x40000, 3f, x7, 4,0) - -inst_2: -// rd==x9, imm_val == (-(2**(18))), -// opcode: jal; dest:x9; immval:0x40000; align:0 -TEST_JAL_OP(x2, x9, 0x40000, 1b, x7, 8,0) - -inst_3: -// rd==x12, -// opcode: jal; dest:x12; immval:0x80000; align:0 -TEST_JAL_OP(x2, x12, 0x80000, 1b, x7, 12,0) - -inst_4: -// rd==x0, -// opcode: jal; dest:x0; immval:0x80000; align:0 -TEST_JAL_OP(x2, x0, 0x80000, 1b, x7, 16,0) - -inst_5: -// rd==x3, -// opcode: jal; dest:x3; immval:0x80000; align:0 -TEST_JAL_OP(x2, x3, 0x80000, 1b, x7, 20,0) - -inst_6: -// rd==x4, -// opcode: jal; dest:x4; immval:0x80000; align:0 -TEST_JAL_OP(x2, x4, 0x80000, 1b, x7, 24,0) - -inst_7: -// rd==x5, -// opcode: jal; dest:x5; immval:0x80000; align:0 -TEST_JAL_OP(x2, x5, 0x80000, 1b, x7, 28,0) - -inst_8: -// rd==x13, -// opcode: jal; dest:x13; immval:0x80000; align:0 -TEST_JAL_OP(x2, x13, 0x80000, 1b, x7, 32,0) - -inst_9: -// rd==x6, -// opcode: jal; dest:x6; immval:0x80000; align:0 -TEST_JAL_OP(x2, x6, 0x80000, 1b, x7, 36,0) - -inst_10: -// rd==x15, -// opcode: jal; dest:x15; immval:0x80000; align:0 -TEST_JAL_OP(x2, x15, 0x80000, 1b, x7, 40,0) - -inst_11: -// rd==x1, -// opcode: jal; dest:x1; immval:0x80000; align:0 -TEST_JAL_OP(x2, x1, 0x80000, 1b, x7, 44,0) - -inst_12: -// rd==x2, -// opcode: jal; dest:x2; immval:0x80000; align:0 -TEST_JAL_OP(x3, x2, 0x80000, 1b, x7, 48,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_13: -// rd==x7, -// opcode: jal; dest:x7; immval:0x80000; align:0 -TEST_JAL_OP(x3, x7, 0x80000, 1b, x1, 0,0) - -inst_14: -// rd==x10, -// opcode: jal; dest:x10; immval:0x80000; align:0 -TEST_JAL_OP(x3, x10, 0x80000, 1b, x1, 4,0) - -inst_15: -// rd==x11, -// opcode: jal; dest:x11; immval:0x80000; align:0 -TEST_JAL_OP(x3, x11, 0x80000, 1b, x1, 8,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 13*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 3*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S deleted file mode 100644 index 1b0e15adf..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S +++ /dev/null @@ -1,219 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the jalr instruction of the RISC-V E extension for the jalr covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jalr) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x8, rd==x12, imm_val < 0, imm_val == -129 -// opcode: jalr; op1:x8; dest:x12; immval:-0x81; align:0 -TEST_JALR_OP(x6, x12, x8, -0x81, x1, 0,0) - -inst_1: -// rs1 == rd, rs1==x5, rd==x5, imm_val == 2047, imm_val > 0 -// opcode: jalr; op1:x5; dest:x5; immval:0x7ff; align:0 -TEST_JALR_OP(x6, x5, x5, 0x7ff, x1, 4,0) - -inst_2: -// rs1==x3, rd==x15, imm_val == -1025, -// opcode: jalr; op1:x3; dest:x15; immval:-0x401; align:0 -TEST_JALR_OP(x6, x15, x3, -0x401, x1, 8,0) - -inst_3: -// rs1==x2, rd==x3, imm_val == -513, -// opcode: jalr; op1:x2; dest:x3; immval:-0x201; align:0 -TEST_JALR_OP(x6, x3, x2, -0x201, x1, 12,0) - -inst_4: -// rs1==x4, rd==x9, imm_val == -257, -// opcode: jalr; op1:x4; dest:x9; immval:-0x101; align:0 -TEST_JALR_OP(x6, x9, x4, -0x101, x1, 16,0) - -inst_5: -// rs1==x9, rd==x7, imm_val == -65, -// opcode: jalr; op1:x9; dest:x7; immval:-0x41; align:0 -TEST_JALR_OP(x6, x7, x9, -0x41, x1, 20,0) - -inst_6: -// rs1==x10, rd==x13, imm_val == -33, -// opcode: jalr; op1:x10; dest:x13; immval:-0x21; align:0 -TEST_JALR_OP(x6, x13, x10, -0x21, x1, 24,0) - -inst_7: -// rs1==x14, rd==x0, imm_val == -17, -// opcode: jalr; op1:x14; dest:x0; immval:-0x11; align:0 -TEST_JALR_OP(x5, x0, x14, -0x11, x1, 28,0) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x15, rd==x4, imm_val == -9, -// opcode: jalr; op1:x15; dest:x4; immval:-0x9; align:0 -TEST_JALR_OP(x5, x4, x15, -0x9, x3, 0,0) - -inst_9: -// rs1==x7, rd==x14, imm_val == -5, -// opcode: jalr; op1:x7; dest:x14; immval:-0x5; align:0 -TEST_JALR_OP(x5, x14, x7, -0x5, x3, 4,0) - -inst_10: -// rs1==x11, rd==x2, imm_val == -3, -// opcode: jalr; op1:x11; dest:x2; immval:-0x3; align:0 -TEST_JALR_OP(x5, x2, x11, -0x3, x3, 8,0) - -inst_11: -// rs1==x6, rd==x11, imm_val == -2, -// opcode: jalr; op1:x6; dest:x11; immval:-0x2; align:0 -TEST_JALR_OP(x5, x11, x6, -0x2, x3, 12,0) - -inst_12: -// rs1==x12, rd==x8, imm_val == -2048, -// opcode: jalr; op1:x12; dest:x8; immval:-0x800; align:0 -TEST_JALR_OP(x5, x8, x12, -0x800, x3, 16,0) - -inst_13: -// rs1==x13, rd==x1, imm_val == 1024, -// opcode: jalr; op1:x13; dest:x1; immval:0x400; align:0 -TEST_JALR_OP(x5, x1, x13, 0x400, x3, 20,0) - -inst_14: -// rs1==x1, rd==x10, imm_val == 512, -// opcode: jalr; op1:x1; dest:x10; immval:0x200; align:0 -TEST_JALR_OP(x2, x10, x1, 0x200, x3, 24,0) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rd==x6, imm_val == 256, -// opcode: jalr; op1:x13; dest:x6; immval:0x100; align:0 -TEST_JALR_OP(x2, x6, x13, 0x100, x1, 0,0) - -inst_16: -// imm_val == 128, -// opcode: jalr; op1:x10; dest:x11; immval:0x80; align:0 -TEST_JALR_OP(x2, x11, x10, 0x80, x1, 4,0) - -inst_17: -// imm_val == 64, -// opcode: jalr; op1:x10; dest:x11; immval:0x40; align:0 -TEST_JALR_OP(x2, x11, x10, 0x40, x1, 8,0) - -inst_18: -// imm_val == 32, -// opcode: jalr; op1:x10; dest:x11; immval:0x20; align:0 -TEST_JALR_OP(x2, x11, x10, 0x20, x1, 12,0) - -inst_19: -// imm_val == 16, -// opcode: jalr; op1:x10; dest:x11; immval:0x10; align:0 -TEST_JALR_OP(x2, x11, x10, 0x10, x1, 16,0) - -inst_20: -// imm_val == 8, -// opcode: jalr; op1:x10; dest:x11; immval:0x8; align:0 -TEST_JALR_OP(x2, x11, x10, 0x8, x1, 20,0) - -inst_21: -// imm_val == 4, -// opcode: jalr; op1:x10; dest:x11; immval:0x4; align:0 -TEST_JALR_OP(x2, x11, x10, 0x4, x1, 24,0) - -inst_22: -// imm_val == 1, -// opcode: jalr; op1:x10; dest:x11; immval:0x1; align:0 -TEST_JALR_OP(x2, x11, x10, 0x1, x1, 28,0) - -inst_23: -// imm_val == -1366, -// opcode: jalr; op1:x10; dest:x11; immval:-0x556; align:0 -TEST_JALR_OP(x2, x11, x10, -0x556, x1, 32,0) - -inst_24: -// imm_val == 1365, -// opcode: jalr; op1:x10; dest:x11; immval:0x555; align:0 -TEST_JALR_OP(x2, x11, x10, 0x555, x1, 36,0) - -inst_25: -// imm_val == 2, -// opcode: jalr; op1:x10; dest:x11; immval:0x2; align:0 -TEST_JALR_OP(x2, x11, x10, 0x2, x1, 40,0) - -inst_26: -// imm_val == -17, -// opcode: jalr; op1:x10; dest:x11; immval:-0x11; align:0 -TEST_JALR_OP(x2, x11, x10, -0x11, x1, 44,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 12*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S deleted file mode 100644 index 88f7d876e..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S +++ /dev/null @@ -1,169 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lb instruction of the RISC-V E extension for the lb-align covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lb-align) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x8, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lb op1:x8; dest:x9; immval:-0x4; align:0 -TEST_LOAD(x1,x4,0,x8,x9,-0x4,0,lb,0) - -inst_1: -// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lb op1:x15; dest:x15; immval:0x5; align:0 -TEST_LOAD(x1,x4,0,x15,x15,0x5,4,lb,0) - -inst_2: -// rs1==x2, rd==x8, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lb op1:x2; dest:x8; immval:0x2; align:0 -TEST_LOAD(x1,x4,0,x2,x8,0x2,8,lb,0) - -inst_3: -// rs1==x11, rd==x7, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lb op1:x11; dest:x7; immval:-0x101; align:0 -TEST_LOAD(x1,x4,0,x11,x7,-0x101,12,lb,0) - -inst_4: -// rs1==x6, rd==x5, ea_align == 1 and (imm_val % 4) == 0, -// opcode:lb op1:x6; dest:x5; immval:0x4; align:1 -TEST_LOAD(x1,x4,0,x6,x5,0x4,16,lb,1) - -inst_5: -// rs1==x12, rd==x10, ea_align == 1 and (imm_val % 4) == 1, -// opcode:lb op1:x12; dest:x10; immval:-0x3; align:1 -TEST_LOAD(x1,x4,0,x12,x10,-0x3,20,lb,1) - -inst_6: -// rs1==x10, rd==x12, ea_align == 1 and (imm_val % 4) == 2, -// opcode:lb op1:x10; dest:x12; immval:-0x2; align:1 -TEST_LOAD(x1,x4,0,x10,x12,-0x2,24,lb,1) - -inst_7: -// rs1==x9, rd==x6, ea_align == 1 and (imm_val % 4) == 3, -// opcode:lb op1:x9; dest:x6; immval:-0x401; align:1 -TEST_LOAD(x1,x4,0,x9,x6,-0x401,28,lb,1) - -inst_8: -// rs1==x13, rd==x3, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lb op1:x13; dest:x3; immval:0x40; align:2 -TEST_LOAD(x1,x4,0,x13,x3,0x40,32,lb,2) -RVTEST_SIGBASE( x6,signature_x6_0) - -inst_9: -// rs1==x4, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lb op1:x4; dest:x1; immval:0x5; align:2 -TEST_LOAD(x6,x8,0,x4,x1,0x5,0,lb,2) - -inst_10: -// rs1==x1, rd==x11, imm_val == 0, -// opcode:lb op1:x1; dest:x11; immval:0x0; align:0 -TEST_LOAD(x6,x8,0,x1,x11,0x0,4,lb,0) - -inst_11: -// rs1==x3, rd==x14, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lb op1:x3; dest:x14; immval:0x2; align:2 -TEST_LOAD(x6,x8,0,x3,x14,0x2,8,lb,2) - -inst_12: -// rs1==x7, rd==x4, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lb op1:x7; dest:x4; immval:-0x1; align:2 -TEST_LOAD(x6,x8,0,x7,x4,-0x1,12,lb,2) - -inst_13: -// rs1==x14, rd==x0, ea_align == 3 and (imm_val % 4) == 0, -// opcode:lb op1:x14; dest:x0; immval:-0x8; align:3 -TEST_LOAD(x6,x8,0,x14,x0,-0x8,16,lb,3) - -inst_14: -// rs1==x5, rd==x13, ea_align == 3 and (imm_val % 4) == 1, -// opcode:lb op1:x5; dest:x13; immval:-0x3; align:3 -TEST_LOAD(x6,x8,0,x5,x13,-0x3,20,lb,3) - -inst_15: -// rd==x2, ea_align == 3 and (imm_val % 4) == 2, -// opcode:lb op1:x5; dest:x2; immval:-0x556; align:3 -TEST_LOAD(x6,x8,0,x5,x2,-0x556,24,lb,3) - -inst_16: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode:lb op1:x10; dest:x11; immval:-0x401; align:3 -TEST_LOAD(x6,x8,0,x10,x11,-0x401,28,lb,3) - -inst_17: -// ea_align == 3 and (imm_val % 4) == 0, -// opcode:lb op1:x10; dest:x11; immval:-0x8; align:3 -TEST_LOAD(x6,x8,0,x10,x11,-0x8,32,lb,3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x6_0: - .fill 9*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S deleted file mode 100644 index 069c91382..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S +++ /dev/null @@ -1,169 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lbu instruction of the RISC-V E extension for the lbu-align covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lbu-align) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x14, rd==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 -// opcode:lbu op1:x14; dest:x0; immval:0x100; align:0 -TEST_LOAD(x1,x8,0,x14,x0,0x100,0,lbu,0) - -inst_1: -// rs1 == rd, rs1==x13, rd==x13, ea_align == 0 and (imm_val % 4) == 1, imm_val < 0 -// opcode:lbu op1:x13; dest:x13; immval:-0x7; align:0 -TEST_LOAD(x1,x8,0,x13,x13,-0x7,4,lbu,0) - -inst_2: -// rs1==x2, rd==x3, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lbu op1:x2; dest:x3; immval:0x6; align:0 -TEST_LOAD(x1,x8,0,x2,x3,0x6,8,lbu,0) - -inst_3: -// rs1==x5, rd==x11, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lbu op1:x5; dest:x11; immval:-0x9; align:0 -TEST_LOAD(x1,x8,0,x5,x11,-0x9,12,lbu,0) - -inst_4: -// rs1==x4, rd==x15, ea_align == 1 and (imm_val % 4) == 0, -// opcode:lbu op1:x4; dest:x15; immval:-0x4; align:1 -TEST_LOAD(x1,x8,0,x4,x15,-0x4,16,lbu,1) - -inst_5: -// rs1==x6, rd==x9, ea_align == 1 and (imm_val % 4) == 1, -// opcode:lbu op1:x6; dest:x9; immval:0x1; align:1 -TEST_LOAD(x1,x8,0,x6,x9,0x1,20,lbu,1) - -inst_6: -// rs1==x9, rd==x7, ea_align == 1 and (imm_val % 4) == 2, -// opcode:lbu op1:x9; dest:x7; immval:0x6; align:1 -TEST_LOAD(x1,x8,0,x9,x7,0x6,24,lbu,1) - -inst_7: -// rs1==x10, rd==x8, ea_align == 1 and (imm_val % 4) == 3, -// opcode:lbu op1:x10; dest:x8; immval:-0x201; align:1 -TEST_LOAD(x1,x13,0,x10,x8,-0x201,28,lbu,1) -RVTEST_SIGBASE( x9,signature_x9_0) - -inst_8: -// rs1==x11, rd==x1, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lbu op1:x11; dest:x1; immval:0x4; align:2 -TEST_LOAD(x9,x13,0,x11,x1,0x4,0,lbu,2) - -inst_9: -// rs1==x3, rd==x4, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lbu op1:x3; dest:x4; immval:-0x7; align:2 -TEST_LOAD(x9,x13,0,x3,x4,-0x7,4,lbu,2) - -inst_10: -// rs1==x1, rd==x6, imm_val == 0, -// opcode:lbu op1:x1; dest:x6; immval:0x0; align:0 -TEST_LOAD(x9,x13,0,x1,x6,0x0,8,lbu,0) - -inst_11: -// rs1==x8, rd==x10, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lbu op1:x8; dest:x10; immval:-0x2; align:2 -TEST_LOAD(x9,x13,0,x8,x10,-0x2,12,lbu,2) - -inst_12: -// rs1==x12, rd==x2, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lbu op1:x12; dest:x2; immval:-0x401; align:2 -TEST_LOAD(x9,x13,0,x12,x2,-0x401,16,lbu,2) - -inst_13: -// rs1==x7, rd==x5, ea_align == 3 and (imm_val % 4) == 0, -// opcode:lbu op1:x7; dest:x5; immval:0x4; align:3 -TEST_LOAD(x9,x13,0,x7,x5,0x4,20,lbu,3) - -inst_14: -// rs1==x15, rd==x14, ea_align == 3 and (imm_val % 4) == 1, -// opcode:lbu op1:x15; dest:x14; immval:0x9; align:3 -TEST_LOAD(x9,x2,0,x15,x14,0x9,24,lbu,3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rd==x12, ea_align == 3 and (imm_val % 4) == 2, -// opcode:lbu op1:x14; dest:x12; immval:-0x2; align:3 -TEST_LOAD(x1,x2,0,x14,x12,-0x2,0,lbu,3) - -inst_16: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode:lbu op1:x10; dest:x11; immval:-0x5; align:3 -TEST_LOAD(x1,x2,0,x10,x11,-0x5,4,lbu,3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x9_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 2*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S deleted file mode 100644 index 9ca986ac7..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S +++ /dev/null @@ -1,159 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lh instruction of the RISC-V E extension for the lh-align covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lh-align) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x3, rd==x2, ea_align == 0 and (imm_val % 4) == 0, imm_val == 0 -// opcode:lh op1:x3; dest:x2; immval:0x0; align:0 -TEST_LOAD(x4,x5,0,x3,x2,0x0,0,lh,0) - -inst_1: -// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lh op1:x15; dest:x15; immval:0x5; align:0 -TEST_LOAD(x4,x5,0,x15,x15,0x5,4,lh,0) - -inst_2: -// rs1==x12, rd==x14, ea_align == 0 and (imm_val % 4) == 2, imm_val < 0 -// opcode:lh op1:x12; dest:x14; immval:-0x556; align:0 -TEST_LOAD(x4,x5,0,x12,x14,-0x556,8,lh,0) - -inst_3: -// rs1==x14, rd==x8, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lh op1:x14; dest:x8; immval:0x3ff; align:0 -TEST_LOAD(x4,x5,0,x14,x8,0x3ff,12,lh,0) - -inst_4: -// rs1==x10, rd==x3, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lh op1:x10; dest:x3; immval:-0x8; align:2 -TEST_LOAD(x4,x5,0,x10,x3,-0x8,16,lh,2) - -inst_5: -// rs1==x6, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lh op1:x6; dest:x1; immval:0x555; align:2 -TEST_LOAD(x4,x5,0,x6,x1,0x555,20,lh,2) - -inst_6: -// rs1==x13, rd==x6, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lh op1:x13; dest:x6; immval:-0x6; align:2 -TEST_LOAD(x4,x5,0,x13,x6,-0x6,24,lh,2) - -inst_7: -// rs1==x1, rd==x9, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lh op1:x1; dest:x9; immval:0x7ff; align:2 -TEST_LOAD(x4,x5,0,x1,x9,0x7ff,28,lh,2) - -inst_8: -// rs1==x9, rd==x0, -// opcode:lh op1:x9; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x4,x3,0,x9,x0,-0x800,32,lh,0) - -inst_9: -// rs1==x5, rd==x12, -// opcode:lh op1:x5; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x4,x3,0,x5,x12,-0x800,36,lh,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x8, rd==x11, -// opcode:lh op1:x8; dest:x11; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x8,x11,-0x800,0,lh,0) - -inst_11: -// rs1==x11, rd==x4, -// opcode:lh op1:x11; dest:x4; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x11,x4,-0x800,4,lh,0) - -inst_12: -// rs1==x2, rd==x7, -// opcode:lh op1:x2; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x2,x7,-0x800,8,lh,0) - -inst_13: -// rs1==x4, rd==x10, -// opcode:lh op1:x4; dest:x10; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x4,x10,-0x800,12,lh,0) - -inst_14: -// rs1==x7, rd==x5, -// opcode:lh op1:x7; dest:x5; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x7,x5,-0x800,16,lh,0) - -inst_15: -// rd==x13, -// opcode:lh op1:x12; dest:x13; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x12,x13,-0x800,20,lh,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 6*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S deleted file mode 100644 index 4676f9265..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S +++ /dev/null @@ -1,164 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lhu instruction of the RISC-V E extension for the lhu-align covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lhu-align) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rs1 != rd, rs1==x15, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lhu op1:x15; dest:x9; immval:-0x4; align:0 -TEST_LOAD(x7,x1,0,x15,x9,-0x4,0,lhu,0) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lhu op1:x3; dest:x3; immval:0x5; align:0 -TEST_LOAD(x7,x1,0,x3,x3,0x5,4,lhu,0) - -inst_2: -// rs1==x13, rd==x2, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lhu op1:x13; dest:x2; immval:-0x556; align:0 -TEST_LOAD(x7,x1,0,x13,x2,-0x556,8,lhu,0) - -inst_3: -// rs1==x4, rd==x11, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lhu op1:x4; dest:x11; immval:-0x5; align:0 -TEST_LOAD(x7,x1,0,x4,x11,-0x5,12,lhu,0) - -inst_4: -// rs1==x8, rd==x5, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lhu op1:x8; dest:x5; immval:0x80; align:2 -TEST_LOAD(x7,x1,0,x8,x5,0x80,16,lhu,2) - -inst_5: -// rs1==x6, rd==x10, imm_val == 0, -// opcode:lhu op1:x6; dest:x10; immval:0x0; align:0 -TEST_LOAD(x7,x1,0,x6,x10,0x0,20,lhu,0) - -inst_6: -// rs1==x10, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lhu op1:x10; dest:x1; immval:0x9; align:2 -TEST_LOAD(x7,x2,0,x10,x1,0x9,24,lhu,2) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_7: -// rs1==x1, rd==x13, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lhu op1:x1; dest:x13; immval:-0xa; align:2 -TEST_LOAD(x3,x2,0,x1,x13,-0xa,0,lhu,2) - -inst_8: -// rs1==x14, rd==x4, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lhu op1:x14; dest:x4; immval:-0x11; align:2 -TEST_LOAD(x3,x2,0,x14,x4,-0x11,4,lhu,2) - -inst_9: -// rs1==x11, rd==x8, -// opcode:lhu op1:x11; dest:x8; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x11,x8,-0x800,8,lhu,0) - -inst_10: -// rs1==x5, rd==x12, -// opcode:lhu op1:x5; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x5,x12,-0x800,12,lhu,0) - -inst_11: -// rs1==x9, rd==x7, -// opcode:lhu op1:x9; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x9,x7,-0x800,16,lhu,0) - -inst_12: -// rs1==x12, rd==x15, -// opcode:lhu op1:x12; dest:x15; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x12,x15,-0x800,20,lhu,0) - -inst_13: -// rs1==x7, rd==x0, -// opcode:lhu op1:x7; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x7,x0,-0x800,24,lhu,0) - -inst_14: -// rs1==x2, rd==x14, -// opcode:lhu op1:x2; dest:x14; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x2,x14,-0x800,28,lhu,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_15: -// rd==x6, -// opcode:lhu op1:x14; dest:x6; immval:-0x800; align:0 -TEST_LOAD(x1,x4,0,x14,x6,-0x800,0,lhu,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 1*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S deleted file mode 100644 index ef879ec4d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S +++ /dev/null @@ -1,394 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lui instruction of the RISC-V E extension for the lui covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lui) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rd==x3, imm_val == ((2**20)-1), imm_val > 0 -// opcode: lui ; dest:x3; immval:0xfffff -TEST_CASE(x5, x3, -0x1000, x4, 0, lui x3,0xfffff) - -inst_1: -// rd==x2, imm_val == 524287, -// opcode: lui ; dest:x2; immval:0x7ffff -TEST_CASE(x5, x2, 0x7ffff000, x4, 4, lui x2,0x7ffff) - -inst_2: -// rd==x1, imm_val == 786431, -// opcode: lui ; dest:x1; immval:0xbffff -TEST_CASE(x5, x1, -0x40001000, x4, 8, lui x1,0xbffff) - -inst_3: -// rd==x8, imm_val == 917503, -// opcode: lui ; dest:x8; immval:0xdffff -TEST_CASE(x5, x8, -0x20001000, x4, 12, lui x8,0xdffff) - -inst_4: -// rd==x14, imm_val == 983039, -// opcode: lui ; dest:x14; immval:0xeffff -TEST_CASE(x5, x14, -0x10001000, x4, 16, lui x14,0xeffff) - -inst_5: -// rd==x7, imm_val == 1015807, -// opcode: lui ; dest:x7; immval:0xf7fff -TEST_CASE(x5, x7, -0x8001000, x4, 20, lui x7,0xf7fff) - -inst_6: -// rd==x13, imm_val == 1032191, -// opcode: lui ; dest:x13; immval:0xfbfff -TEST_CASE(x5, x13, -0x4001000, x4, 24, lui x13,0xfbfff) - -inst_7: -// rd==x12, imm_val == 1040383, -// opcode: lui ; dest:x12; immval:0xfdfff -TEST_CASE(x5, x12, -0x2001000, x4, 28, lui x12,0xfdfff) - -inst_8: -// rd==x15, imm_val == 1044479, -// opcode: lui ; dest:x15; immval:0xfefff -TEST_CASE(x5, x15, -0x1001000, x4, 32, lui x15,0xfefff) - -inst_9: -// rd==x10, imm_val == 1046527, -// opcode: lui ; dest:x10; immval:0xff7ff -TEST_CASE(x5, x10, -0x801000, x4, 36, lui x10,0xff7ff) - -inst_10: -// rd==x6, imm_val == 1047551, -// opcode: lui ; dest:x6; immval:0xffbff -TEST_CASE(x5, x6, -0x401000, x4, 40, lui x6,0xffbff) - -inst_11: -// rd==x9, imm_val == 1048063, -// opcode: lui ; dest:x9; immval:0xffdff -TEST_CASE(x2, x9, -0x201000, x4, 44, lui x9,0xffdff) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_12: -// rd==x4, imm_val == 1048319, -// opcode: lui ; dest:x4; immval:0xffeff -TEST_CASE(x2, x4, -0x101000, x1, 0, lui x4,0xffeff) - -inst_13: -// rd==x0, imm_val == 1048447, -// opcode: lui ; dest:x0; immval:0xfff7f -TEST_CASE(x2, x0, 0, x1, 4, lui x0,0xfff7f) - -inst_14: -// rd==x5, imm_val == 1048511, -// opcode: lui ; dest:x5; immval:0xfffbf -TEST_CASE(x2, x5, -0x41000, x1, 8, lui x5,0xfffbf) - -inst_15: -// rd==x11, imm_val == 1048543, -// opcode: lui ; dest:x11; immval:0xfffdf -TEST_CASE(x2, x11, -0x21000, x1, 12, lui x11,0xfffdf) - -inst_16: -// imm_val == 1048559, -// opcode: lui ; dest:x10; immval:0xfffef -TEST_CASE(x2, x10, -0x11000, x1, 16, lui x10,0xfffef) - -inst_17: -// imm_val == 1048567, -// opcode: lui ; dest:x10; immval:0xffff7 -TEST_CASE(x2, x10, -0x9000, x1, 20, lui x10,0xffff7) - -inst_18: -// imm_val == 1048571, -// opcode: lui ; dest:x10; immval:0xffffb -TEST_CASE(x2, x10, -0x5000, x1, 24, lui x10,0xffffb) - -inst_19: -// imm_val == 1048573, -// opcode: lui ; dest:x10; immval:0xffffd -TEST_CASE(x2, x10, -0x3000, x1, 28, lui x10,0xffffd) - -inst_20: -// imm_val == 1048574, -// opcode: lui ; dest:x10; immval:0xffffe -TEST_CASE(x2, x10, -0x2000, x1, 32, lui x10,0xffffe) - -inst_21: -// imm_val == 524288, -// opcode: lui ; dest:x10; immval:0x80000 -TEST_CASE(x2, x10, -0x80000000, x1, 36, lui x10,0x80000) - -inst_22: -// imm_val == 262144, -// opcode: lui ; dest:x10; immval:0x40000 -TEST_CASE(x2, x10, 0x40000000, x1, 40, lui x10,0x40000) - -inst_23: -// imm_val == 131072, -// opcode: lui ; dest:x10; immval:0x20000 -TEST_CASE(x2, x10, 0x20000000, x1, 44, lui x10,0x20000) - -inst_24: -// imm_val == 65536, -// opcode: lui ; dest:x10; immval:0x10000 -TEST_CASE(x2, x10, 0x10000000, x1, 48, lui x10,0x10000) - -inst_25: -// imm_val == 32768, -// opcode: lui ; dest:x10; immval:0x8000 -TEST_CASE(x2, x10, 0x8000000, x1, 52, lui x10,0x8000) - -inst_26: -// imm_val == 16384, -// opcode: lui ; dest:x10; immval:0x4000 -TEST_CASE(x2, x10, 0x4000000, x1, 56, lui x10,0x4000) - -inst_27: -// imm_val == 8192, -// opcode: lui ; dest:x10; immval:0x2000 -TEST_CASE(x2, x10, 0x2000000, x1, 60, lui x10,0x2000) - -inst_28: -// imm_val == 4096, -// opcode: lui ; dest:x10; immval:0x1000 -TEST_CASE(x2, x10, 0x1000000, x1, 64, lui x10,0x1000) - -inst_29: -// imm_val == 2048, -// opcode: lui ; dest:x10; immval:0x800 -TEST_CASE(x2, x10, 0x800000, x1, 68, lui x10,0x800) - -inst_30: -// imm_val == 1024, imm_val==1024 -// opcode: lui ; dest:x10; immval:0x400 -TEST_CASE(x2, x10, 0x400000, x1, 72, lui x10,0x400) - -inst_31: -// imm_val == 512, -// opcode: lui ; dest:x10; immval:0x200 -TEST_CASE(x2, x10, 0x200000, x1, 76, lui x10,0x200) - -inst_32: -// imm_val == 256, -// opcode: lui ; dest:x10; immval:0x100 -TEST_CASE(x2, x10, 0x100000, x1, 80, lui x10,0x100) - -inst_33: -// imm_val == 128, -// opcode: lui ; dest:x10; immval:0x80 -TEST_CASE(x2, x10, 0x80000, x1, 84, lui x10,0x80) - -inst_34: -// imm_val == 64, -// opcode: lui ; dest:x10; immval:0x40 -TEST_CASE(x2, x10, 0x40000, x1, 88, lui x10,0x40) - -inst_35: -// imm_val == 32, -// opcode: lui ; dest:x10; immval:0x20 -TEST_CASE(x2, x10, 0x20000, x1, 92, lui x10,0x20) - -inst_36: -// imm_val == 16, -// opcode: lui ; dest:x10; immval:0x10 -TEST_CASE(x2, x10, 0x10000, x1, 96, lui x10,0x10) - -inst_37: -// imm_val==349525, imm_val == 349525 -// opcode: lui ; dest:x10; immval:0x55555 -TEST_CASE(x2, x10, 0x55555000, x1, 100, lui x10,0x55555) - -inst_38: -// imm_val==3, -// opcode: lui ; dest:x10; immval:0x3 -TEST_CASE(x2, x10, 0x3000, x1, 104, lui x10,0x3) - -inst_39: -// imm_val == 699050, imm_val==699050 -// opcode: lui ; dest:x10; immval:0xaaaaa -TEST_CASE(x2, x10, -0x55556000, x1, 108, lui x10,0xaaaaa) - -inst_40: -// imm_val == 0, imm_val==0 -// opcode: lui ; dest:x10; immval:0x0 -TEST_CASE(x2, x10, 0x0, x1, 112, lui x10,0x0) - -inst_41: -// imm_val == 8, -// opcode: lui ; dest:x10; immval:0x8 -TEST_CASE(x2, x10, 0x8000, x1, 116, lui x10,0x8) - -inst_42: -// imm_val == 4, imm_val==4 -// opcode: lui ; dest:x10; immval:0x4 -TEST_CASE(x2, x10, 0x4000, x1, 120, lui x10,0x4) - -inst_43: -// imm_val == 2, imm_val==2 -// opcode: lui ; dest:x10; immval:0x2 -TEST_CASE(x2, x10, 0x2000, x1, 124, lui x10,0x2) - -inst_44: -// imm_val == 1, imm_val==1 -// opcode: lui ; dest:x10; immval:0x1 -TEST_CASE(x2, x10, 0x1000, x1, 128, lui x10,0x1) - -inst_45: -// imm_val==725, -// opcode: lui ; dest:x10; immval:0x2d5 -TEST_CASE(x2, x10, 0x2d5000, x1, 132, lui x10,0x2d5) - -inst_46: -// imm_val==419431, -// opcode: lui ; dest:x10; immval:0x66667 -TEST_CASE(x2, x10, 0x66667000, x1, 136, lui x10,0x66667) - -inst_47: -// imm_val==209716, -// opcode: lui ; dest:x10; immval:0x33334 -TEST_CASE(x2, x10, 0x33334000, x1, 140, lui x10,0x33334) - -inst_48: -// imm_val==6, -// opcode: lui ; dest:x10; immval:0x6 -TEST_CASE(x2, x10, 0x6000, x1, 144, lui x10,0x6) - -inst_49: -// imm_val==699051, -// opcode: lui ; dest:x10; immval:0xaaaab -TEST_CASE(x2, x10, -0x55555000, x1, 148, lui x10,0xaaaab) - -inst_50: -// imm_val==349526, -// opcode: lui ; dest:x10; immval:0x55556 -TEST_CASE(x2, x10, 0x55556000, x1, 152, lui x10,0x55556) - -inst_51: -// imm_val==1022, -// opcode: lui ; dest:x10; immval:0x3fe -TEST_CASE(x2, x10, 0x3fe000, x1, 156, lui x10,0x3fe) - -inst_52: -// imm_val==723, -// opcode: lui ; dest:x10; immval:0x2d3 -TEST_CASE(x2, x10, 0x2d3000, x1, 160, lui x10,0x2d3) - -inst_53: -// imm_val==419429, -// opcode: lui ; dest:x10; immval:0x66665 -TEST_CASE(x2, x10, 0x66665000, x1, 164, lui x10,0x66665) - -inst_54: -// imm_val==209714, -// opcode: lui ; dest:x10; immval:0x33332 -TEST_CASE(x2, x10, 0x33332000, x1, 168, lui x10,0x33332) - -inst_55: -// imm_val==699049, -// opcode: lui ; dest:x10; immval:0xaaaa9 -TEST_CASE(x2, x10, -0x55557000, x1, 172, lui x10,0xaaaa9) - -inst_56: -// imm_val==349524, -// opcode: lui ; dest:x10; immval:0x55554 -TEST_CASE(x2, x10, 0x55554000, x1, 176, lui x10,0x55554) - -inst_57: -// imm_val==1023, -// opcode: lui ; dest:x10; immval:0x3ff -TEST_CASE(x2, x10, 0x3ff000, x1, 180, lui x10,0x3ff) - -inst_58: -// imm_val==724, -// opcode: lui ; dest:x10; immval:0x2d4 -TEST_CASE(x2, x10, 0x2d4000, x1, 184, lui x10,0x2d4) - -inst_59: -// imm_val==419430, -// opcode: lui ; dest:x10; immval:0x66666 -TEST_CASE(x2, x10, 0x66666000, x1, 188, lui x10,0x66666) - -inst_60: -// imm_val==209715, -// opcode: lui ; dest:x10; immval:0x33333 -TEST_CASE(x2, x10, 0x33333000, x1, 192, lui x10,0x33333) - -inst_61: -// imm_val==5, -// opcode: lui ; dest:x10; immval:0x5 -TEST_CASE(x2, x10, 0x5000, x1, 196, lui x10,0x5) - -inst_62: -// imm_val == 1048447, -// opcode: lui ; dest:x10; immval:0xfff7f -TEST_CASE(x2, x10, -0x81000, x1, 200, lui x10,0xfff7f) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 12*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 51*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S deleted file mode 100644 index f3429cd11..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S +++ /dev/null @@ -1,164 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lw instruction of the RISC-V E extension for the lw-align covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lw-align) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rd, rs1==x11, rd==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lw op1:x11; dest:x1; immval:-0x400; align:0 -TEST_LOAD(x2,x6,0,x11,x1,-0x400,0,lw,0) - -inst_1: -// rs1 == rd, rs1==x14, rd==x14, ea_align == 0 and (imm_val % 4) == 1, -// opcode:lw op1:x14; dest:x14; immval:-0x3; align:0 -TEST_LOAD(x2,x6,0,x14,x14,-0x3,4,lw,0) - -inst_2: -// rs1==x4, rd==x8, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lw op1:x4; dest:x8; immval:-0x556; align:0 -TEST_LOAD(x2,x6,0,x4,x8,-0x556,8,lw,0) - -inst_3: -// rs1==x1, rd==x10, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lw op1:x1; dest:x10; immval:-0x5; align:0 -TEST_LOAD(x2,x6,0,x1,x10,-0x5,12,lw,0) - -inst_4: -// rs1==x12, rd==x15, imm_val == 0, -// opcode:lw op1:x12; dest:x15; immval:0x0; align:0 -TEST_LOAD(x2,x6,0,x12,x15,0x0,16,lw,0) - -inst_5: -// rs1==x7, rd==x13, imm_val > 0, -// opcode:lw op1:x7; dest:x13; immval:0x20; align:0 -TEST_LOAD(x2,x6,0,x7,x13,0x20,20,lw,0) - -inst_6: -// rs1==x5, rd==x3, -// opcode:lw op1:x5; dest:x3; immval:-0x800; align:0 -TEST_LOAD(x2,x6,0,x5,x3,-0x800,24,lw,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_7: -// rs1==x8, rd==x0, -// opcode:lw op1:x8; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x8,x0,-0x800,0,lw,0) - -inst_8: -// rs1==x3, rd==x12, -// opcode:lw op1:x3; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x3,x12,-0x800,4,lw,0) - -inst_9: -// rs1==x13, rd==x4, -// opcode:lw op1:x13; dest:x4; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x13,x4,-0x800,8,lw,0) - -inst_10: -// rs1==x15, rd==x7, -// opcode:lw op1:x15; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x15,x7,-0x800,12,lw,0) - -inst_11: -// rs1==x9, rd==x5, -// opcode:lw op1:x9; dest:x5; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x9,x5,-0x800,16,lw,0) - -inst_12: -// rs1==x6, rd==x9, -// opcode:lw op1:x6; dest:x9; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x6,x9,-0x800,20,lw,0) - -inst_13: -// rs1==x10, rd==x2, -// opcode:lw op1:x10; dest:x2; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x10,x2,-0x800,24,lw,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_14: -// rs1==x2, rd==x6, -// opcode:lw op1:x2; dest:x6; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x2,x6,-0x800,0,lw,0) - -inst_15: -// rd==x11, -// opcode:lw op1:x5; dest:x11; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x5,x11,-0x800,4,lw,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 2*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S deleted file mode 100644 index 2e944e959..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S +++ /dev/null @@ -1,3039 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the or instruction of the RISC-V E extension for the or covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",or) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x3, rd==x2, rs1_val != rs2_val, rs2_val == 536870912, rs1_val == -2097153, rs1_val < 0 and rs2_val > 0 -// opcode: or ; op1:x10; op2:x3; dest:x2; op1val:-0x200001; op2val:0x20000000 -TEST_RR_OP(or, x2, x10, x3, 0xffdfffff, -0x200001, 0x20000000, x5, 0, x4) - -inst_1: -// rs1 == rd != rs2, rs1==x14, rs2==x10, rd==x14, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: or ; op1:x14; op2:x10; dest:x14; op1val:0x5; op2val:0x7fffffff -TEST_RR_OP(or, x14, x14, x10, 0x7fffffff, 0x5, 0x7fffffff, x5, 4, x4) - -inst_2: -// rs2 == rd != rs1, rs1==x1, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 -// opcode: or ; op1:x1; op2:x6; dest:x6; op1val:-0xb504; op2val:-0x40000001 -TEST_RR_OP(or, x6, x1, x6, 0xffffffff, -0xb504, -0x40000001, x5, 8, x4) - -inst_3: -// rs1 == rs2 != rd, rs1==x11, rs2==x11, rd==x9, rs2_val == -536870913, -// opcode: or ; op1:x11; op2:x11; dest:x9; op1val:-0x40000000; op2val:-0x40000000 -TEST_RR_OP(or, x9, x11, x11, 0xc0000000, -0x40000000, -0x40000000, x5, 12, x4) - -inst_4: -// rs1 == rs2 == rd, rs1==x8, rs2==x8, rd==x8, rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 -// opcode: or ; op1:x8; op2:x8; dest:x8; op1val:0x4000; op2val:0x4000 -TEST_RR_OP(or, x8, x8, x8, 0x4000, 0x4000, 0x4000, x5, 16, x4) - -inst_5: -// rs1==x7, rs2==x9, rd==x12, rs2_val == -134217729, rs1_val == -8193 -// opcode: or ; op1:x7; op2:x9; dest:x12; op1val:-0x2001; op2val:-0x8000001 -TEST_RR_OP(or, x12, x7, x9, 0xffffffff, -0x2001, -0x8000001, x5, 20, x4) - -inst_6: -// rs1==x4, rs2==x1, rd==x15, rs2_val == -67108865, rs1_val == -3 -// opcode: or ; op1:x4; op2:x1; dest:x15; op1val:-0x3; op2val:-0x4000001 -TEST_RR_OP(or, x15, x4, x1, 0xffffffff, -0x3, -0x4000001, x5, 24, x3) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x6, rs2==x13, rd==x10, rs2_val == -33554433, rs1_val == 1024 -// opcode: or ; op1:x6; op2:x13; dest:x10; op1val:0x400; op2val:-0x2000001 -TEST_RR_OP(or, x10, x6, x13, 0xfdffffff, 0x400, -0x2000001, x4, 0, x3) - -inst_8: -// rs1==x5, rs2==x14, rd==x0, rs2_val == -16777217, rs1_val == 0 -// opcode: or ; op1:x5; op2:x14; dest:x0; op1val:0x0; op2val:-0x1000001 -TEST_RR_OP(or, x0, x5, x14, 0, 0x0, -0x1000001, x4, 4, x3) - -inst_9: -// rs1==x9, rs2==x0, rd==x1, rs2_val == -8388609, -// opcode: or ; op1:x9; op2:x0; dest:x1; op1val:0x6; op2val:0x0 -TEST_RR_OP(or, x1, x9, x0, 0x6, 0x6, 0x0, x4, 8, x3) - -inst_10: -// rs1==x13, rs2==x5, rd==x11, rs2_val == -4194305, -// opcode: or ; op1:x13; op2:x5; dest:x11; op1val:0x6; op2val:-0x400001 -TEST_RR_OP(or, x11, x13, x5, 0xffbfffff, 0x6, -0x400001, x4, 12, x3) - -inst_11: -// rs1==x12, rs2==x2, rd==x5, rs2_val == -2097153, rs1_val == 134217728 -// opcode: or ; op1:x12; op2:x2; dest:x5; op1val:0x8000000; op2val:-0x200001 -TEST_RR_OP(or, x5, x12, x2, 0xffdfffff, 0x8000000, -0x200001, x4, 16, x3) - -inst_12: -// rs1==x3, rs2==x12, rd==x7, rs2_val == -1048577, -// opcode: or ; op1:x3; op2:x12; dest:x7; op1val:0xb504; op2val:-0x100001 -TEST_RR_OP(or, x7, x3, x12, 0xffefffff, 0xb504, -0x100001, x4, 20, x6) -RVTEST_SIGBASE( x5,signature_x5_2) - -inst_13: -// rs1==x0, rs2==x15, rd==x13, rs2_val == -524289, rs1_val == -33 -// opcode: or ; op1:x0; op2:x15; dest:x13; op1val:0x0; op2val:-0x80001 -TEST_RR_OP(or, x13, x0, x15, 0xfff7ffff, 0x0, -0x80001, x5, 0, x6) - -inst_14: -// rs1==x15, rs2==x7, rd==x3, rs2_val == -262145, rs1_val == -1431655766 -// opcode: or ; op1:x15; op2:x7; dest:x3; op1val:-0x55555556; op2val:-0x40001 -TEST_RR_OP(or, x3, x15, x7, 0xfffbffff, -0x55555556, -0x40001, x5, 4, x6) - -inst_15: -// rs1==x2, rs2_val == -131073, -// opcode: or ; op1:x2; op2:x12; dest:x1; op1val:-0x55555555; op2val:-0x20001 -TEST_RR_OP(or, x1, x2, x12, 0xffffffff, -0x55555555, -0x20001, x5, 8, x6) - -inst_16: -// rs2==x4, rs2_val == -65537, -// opcode: or ; op1:x9; op2:x4; dest:x10; op1val:0x9; op2val:-0x10001 -TEST_RR_OP(or, x10, x9, x4, 0xfffeffff, 0x9, -0x10001, x5, 12, x6) - -inst_17: -// rd==x4, rs2_val == -32769, -// opcode: or ; op1:x3; op2:x8; dest:x4; op1val:-0xb504; op2val:-0x8001 -TEST_RR_OP(or, x4, x3, x8, 0xffff7fff, -0xb504, -0x8001, x5, 16, x6) - -inst_18: -// rs2_val == -16385, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x4001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x4001, x5, 20, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_19: -// rs2_val == -8193, rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0x2001 -TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x80000000, -0x2001, x1, 0, x2) - -inst_20: -// rs2_val == -4097, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1001 -TEST_RR_OP(or, x12, x10, x11, 0xffffefff, 0x0, -0x1001, x1, 4, x2) - -inst_21: -// rs2_val == -2049, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x801 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, 0x66666665, -0x801, x1, 8, x2) - -inst_22: -// rs2_val == -1025, rs1_val == -513 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x401 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x201, -0x401, x1, 12, x2) - -inst_23: -// rs2_val == -513, rs1_val == 64 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x201 -TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, 0x40, -0x201, x1, 16, x2) - -inst_24: -// rs2_val == -257, rs1_val == 128 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x101 -TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, 0x80, -0x101, x1, 20, x2) - -inst_25: -// rs2_val == -129, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, -0x81, x1, 24, x2) - -inst_26: -// rs2_val == -65, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x41 -TEST_RR_OP(or, x12, x10, x11, 0xffffffbf, -0x55555555, -0x41, x1, 28, x2) - -inst_27: -// rs2_val == -33, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x21 -TEST_RR_OP(or, x12, x10, x11, 0xffffffdf, 0x6, -0x21, x1, 32, x2) - -inst_28: -// rs2_val == -17, rs1_val == -134217729 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x11 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x8000001, -0x11, x1, 36, x2) - -inst_29: -// rs2_val == -9, rs1_val == -2 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x9 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x2, -0x9, x1, 40, x2) - -inst_30: -// rs2_val == -5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8; op2val:-0x5 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x8, -0x5, x1, 44, x2) - -inst_31: -// rs2_val == -3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x3, x1, 48, x2) - -inst_32: -// rs2_val == -2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x2 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x6, -0x2, x1, 52, x2) - -inst_33: -// rs1_val == -1073741825, rs2_val == 32 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x20 -TEST_RR_OP(or, x12, x10, x11, 0xbfffffff, -0x40000001, 0x20, x1, 56, x2) - -inst_34: -// rs1_val == -536870913, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x41 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20000001, -0x41, x1, 60, x2) - -inst_35: -// rs1_val == -268435457, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x100001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10000001, -0x100001, x1, 64, x2) - -inst_36: -// rs1_val == -67108865, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x201 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x4000001, -0x201, x1, 68, x2) - -inst_37: -// rs1_val == -33554433, rs2_val == 65536 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x10000 -TEST_RR_OP(or, x12, x10, x11, 0xfdffffff, -0x2000001, 0x10000, x1, 72, x2) - -inst_38: -// rs1_val == -16777217, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x801 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x1000001, -0x801, x1, 76, x2) - -inst_39: -// rs1_val == -8388609, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, -0x800001, 0x33333332, x1, 80, x2) - -inst_40: -// rs1_val == -4194305, rs2_val == 1431655765 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x400001, 0x55555555, x1, 84, x2) - -inst_41: -// rs1_val == -1048577, rs2_val == 1024 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x400 -TEST_RR_OP(or, x12, x10, x11, 0xffefffff, -0x100001, 0x400, x1, 88, x2) - -inst_42: -// rs1_val == -524289, rs2_val == 524288 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x80000 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x80001, 0x80000, x1, 92, x2) - -inst_43: -// rs1_val == -262145, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x40001, 0x55555555, x1, 96, x2) - -inst_44: -// rs1_val == -131073, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:-0x2001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20001, -0x2001, x1, 100, x2) - -inst_45: -// rs1_val == -65537, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x400001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10001, -0x400001, x1, 104, x2) - -inst_46: -// rs1_val == -32769, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff7fff, -0x8001, 0x5, x1, 108, x2) - -inst_47: -// rs1_val == -16385, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x400 -TEST_RR_OP(or, x12, x10, x11, 0xffffbfff, -0x4001, 0x400, x1, 112, x2) - -inst_48: -// rs1_val == -4097, rs2_val == 256 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x100 -TEST_RR_OP(or, x12, x10, x11, 0xffffefff, -0x1001, 0x100, x1, 116, x2) - -inst_49: -// rs1_val == -2049, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x801, -0x6, x1, 120, x2) - -inst_50: -// rs1_val == -1025, rs2_val == 8 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x8 -TEST_RR_OP(or, x12, x10, x11, 0xfffffbff, -0x401, 0x8, x1, 124, x2) - -inst_51: -// rs1_val == -257, rs2_val == 32768 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x8000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, -0x101, 0x8000, x1, 128, x2) - -inst_52: -// rs1_val == -129, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x1 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x81, -0x1, x1, 132, x2) - -inst_53: -// rs1_val == -65, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x1 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x41, -0x1, x1, 136, x2) - -inst_54: -// rs1_val == -17, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x101 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x11, -0x101, x1, 140, x2) - -inst_55: -// rs1_val == -9, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x9, -0x6, x1, 144, x2) - -inst_56: -// rs1_val == -5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x5, 0x20000000, x1, 148, x2) - -inst_57: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x80000000 -TEST_RR_OP(or, x12, x10, x11, 0x80000005, 0x5, -0x80000000, x1, 152, x2) - -inst_58: -// rs2_val == 1073741824, rs1_val == 32768 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x40000000 -TEST_RR_OP(or, x12, x10, x11, 0x40008000, 0x8000, 0x40000000, x1, 156, x2) - -inst_59: -// rs2_val == 268435456, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10000000 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10000000, x1, 160, x2) - -inst_60: -// rs2_val == 134217728, rs1_val == 8 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x8000000 -TEST_RR_OP(or, x12, x10, x11, 0x8000008, 0x8, 0x8000000, x1, 164, x2) - -inst_61: -// rs2_val == 67108864, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4000000 -TEST_RR_OP(or, x12, x10, x11, 0xaeaaaaaa, -0x55555556, 0x4000000, x1, 168, x2) - -inst_62: -// rs2_val == 33554432, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x200b504, 0xb504, 0x2000000, x1, 172, x2) - -inst_63: -// rs2_val == 16777216, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1000000 -TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x2001, 0x1000000, x1, 176, x2) - -inst_64: -// rs2_val == 8388608, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x800000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffff9, -0x7, 0x800000, x1, 180, x2) - -inst_65: -// rs2_val == 4194304, rs1_val == 16 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 -TEST_RR_OP(or, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) - -inst_66: -// rs2_val == 2097152, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x200000 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, 0x200000, x1, 188, x2) - -inst_67: -// rs2_val == 1048576, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100000 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x100000, x1, 192, x2) - -inst_68: -// rs2_val == 262144, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x40000 -TEST_RR_OP(or, x12, x10, x11, 0x4b503, 0xb503, 0x40000, x1, 196, x2) - -inst_69: -// rs2_val == 131072, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x20000 -TEST_RR_OP(or, x12, x10, x11, 0x20008, 0x8, 0x20000, x1, 200, x2) - -inst_70: -// rs2_val == 16384, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x4000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x2, 0x4000, x1, 204, x2) - -inst_71: -// rs2_val == 8192, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2000 -TEST_RR_OP(or, x12, x10, x11, 0x55557556, 0x55555556, 0x2000, x1, 208, x2) - -inst_72: -// rs2_val == 4096, rs1_val == 2 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1000 -TEST_RR_OP(or, x12, x10, x11, 0x1002, 0x2, 0x1000, x1, 212, x2) - -inst_73: -// rs2_val == 2048, rs1_val == 4 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x800 -TEST_RR_OP(or, x12, x10, x11, 0x804, 0x4, 0x800, x1, 216, x2) - -inst_74: -// rs2_val == 512, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x200 -TEST_RR_OP(or, x12, x10, x11, 0x80000200, -0x80000000, 0x200, x1, 220, x2) - -inst_75: -// rs2_val == 128, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x80 -TEST_RR_OP(or, x12, x10, x11, 0x3fffffff, 0x3fffffff, 0x80, x1, 224, x2) - -inst_76: -// rs2_val == 64, rs1_val == 32 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x40 -TEST_RR_OP(or, x12, x10, x11, 0x60, 0x20, 0x40, x1, 228, x2) - -inst_77: -// rs2_val == 16, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10, x1, 232, x2) - -inst_78: -// rs2_val == 4, rs1_val == 524288 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x80004, 0x80000, 0x4, x1, 236, x2) - -inst_79: -// rs2_val == 2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, -0x201, 0x2, x1, 240, x2) - -inst_80: -// rs2_val == 1, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 -TEST_RR_OP(or, x12, x10, x11, 0x1, 0x0, 0x1, x1, 244, x2) - -inst_81: -// rs1_val == 1073741824, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x40000000, -0x81, x1, 248, x2) - -inst_82: -// rs1_val == 536870912, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x22000000, 0x20000000, 0x2000000, x1, 252, x2) - -inst_83: -// rs1_val == 268435456, rs2_val == 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x10000000, 0x10000000, 0x0, x1, 256, x2) - -inst_84: -// rs1_val == 67108864, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x20000000 -TEST_RR_OP(or, x12, x10, x11, 0x24000000, 0x4000000, 0x20000000, x1, 260, x2) - -inst_85: -// rs1_val == 33554432, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffa, 0x2000000, -0x6, x1, 264, x2) - -inst_86: -// rs1_val == 16777216, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x400000 -TEST_RR_OP(or, x12, x10, x11, 0x1400000, 0x1000000, 0x400000, x1, 268, x2) - -inst_87: -// rs1_val == 8388608, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55d55555, 0x800000, 0x55555555, x1, 272, x2) - -inst_88: -// rs1_val == 4194304, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0xa -TEST_RR_OP(or, x12, x10, x11, 0xfffffff6, 0x400000, -0xa, x1, 276, x2) - -inst_89: -// rs1_val == 2097152, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x200000, 0x33333334, x1, 280, x2) - -inst_90: -// rs1_val == 1048576, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x80 -TEST_RR_OP(or, x12, x10, x11, 0x100080, 0x100000, 0x80, x1, 284, x2) - -inst_91: -// rs1_val == 262144, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x10000 -TEST_RR_OP(or, x12, x10, x11, 0x50000, 0x40000, 0x10000, x1, 288, x2) - -inst_92: -// rs1_val == 131072, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x1000 -TEST_RR_OP(or, x12, x10, x11, 0x21000, 0x20000, 0x1000, x1, 292, x2) - -inst_93: -// rs1_val == 65536, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x10006, 0x10000, 0x6, x1, 296, x2) - -inst_94: -// rs1_val == 8192, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(or, x12, x10, x11, 0x2008, 0x2000, 0x8, x1, 300, x2) - -inst_95: -// rs1_val == 4096, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x3 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0x1000, -0x3, x1, 304, x2) - -inst_96: -// rs1_val == 2048, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555d55, 0x800, 0x55555555, x1, 308, x2) - -inst_97: -// rs1_val == 512, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x20 -TEST_RR_OP(or, x12, x10, x11, 0x220, 0x200, 0x20, x1, 312, x2) - -inst_98: -// rs1_val == 256, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x100, -0x81, x1, 316, x2) - -inst_99: -// rs1_val == 1, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x2000001, 0x1, 0x2000000, x1, 320, x2) - -inst_100: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 324, x2) - -inst_101: -// rs1_val==46341 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb503, x1, 328, x2) - -inst_102: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666667, x1, 332, x2) - -inst_103: -// rs1_val==46341 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0xb505, 0x33333334, x1, 336, x2) - -inst_104: -// rs1_val==46341 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x6, x1, 340, x2) - -inst_105: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555555, x1, 344, x2) - -inst_106: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb505, 0x55555556, x1, 348, x2) - -inst_107: -// rs1_val==46341 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x4, x1, 352, x2) - -inst_108: -// rs1_val==46341 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0xb503, x1, 356, x2) - -inst_109: -// rs1_val==46341 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 360, x2) - -inst_110: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb505, 0x66666665, x1, 364, x2) - -inst_111: -// rs1_val==46341 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333332, x1, 368, x2) - -inst_112: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555554, x1, 372, x2) - -inst_113: -// rs1_val==46341 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 376, x2) - -inst_114: -// rs1_val==46341 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb504, x1, 380, x2) - -inst_115: -// rs1_val==46341 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb504, x1, 384, x2) - -inst_116: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666666, x1, 388, x2) - -inst_117: -// rs1_val==46341 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333333, x1, 392, x2) - -inst_118: -// rs1_val==46341 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x5, x1, 396, x2) - -inst_119: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555556, x1, 400, x2) - -inst_120: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555555, x1, 404, x2) - -inst_121: -// rs1_val==46341 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x3, x1, 408, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb505, x1, 412, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 416, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666667, x1, 420, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, -0xb503, 0x33333334, x1, 424, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x6, x1, 428, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555555, x1, 432, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, -0xb503, 0x55555556, x1, 436, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x4, x1, 440, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb503, 0xb503, x1, 444, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 448, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb503, 0x66666665, x1, 452, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333332, x1, 456, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555554, x1, 460, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 464, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb504, x1, 468, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb504, x1, 472, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666666, x1, 476, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333333, x1, 480, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x5, x1, 484, x2) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555556, x1, 488, x2) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555555, x1, 492, x2) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x3, x1, 496, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb505, x1, 500, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb503, x1, 504, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 508, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333334, x1, 512, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x6, x1, 516, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555555, x1, 520, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555556, x1, 524, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x4, x1, 528, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb503, x1, 532, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 536, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666665, x1, 540, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333332, x1, 544, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555554, x1, 548, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x2, x1, 552, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb504, x1, 556, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb504, x1, 560, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666666, x1, 564, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333333, x1, 568, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x5, x1, 572, x2) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555556, x1, 576, x2) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555555, x1, 580, x2) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x3, x1, 584, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0x33333334, 0xb505, x1, 588, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, 0x33333334, -0xb503, x1, 592, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333334, 0x66666667, x1, 596, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 600, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x6, x1, 604, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, 0x33333334, -0x55555555, x1, 608, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x55555556, x1, 612, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x4, x1, 616, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333334, 0xb503, x1, 620, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 624, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x66666665, x1, 628, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x33333332, x1, 632, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x33333334, 0x55555554, x1, 636, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 640, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0x33333334, 0xb504, x1, 644, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, 0x33333334, -0xb504, x1, 648, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x66666666, x1, 652, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x33333333, x1, 656, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x33333334, 0x5, x1, 660, x2) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, 0x33333334, -0x55555556, x1, 664, x2) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x55555555, x1, 668, x2) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 672, x2) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb505, x1, 676, x2) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x6, -0xb503, x1, 680, x2) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666667, x1, 684, x2) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333334, x1, 688, x2) - -inst_192: -// rs1_val==6 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x6, x1, 692, x2) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x6, -0x55555555, x1, 696, x2) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555556, x1, 700, x2) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x4, x1, 704, x2) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb503, x1, 708, x2) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x0, x1, 712, x2) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666665, x1, 716, x2) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333332, x1, 720, x2) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555554, x1, 724, x2) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x2, x1, 728, x2) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x6, 0xb504, x1, 732, x2) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x6, -0xb504, x1, 736, x2) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x6, 0x66666666, x1, 740, x2) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x6, 0x33333333, x1, 744, x2) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x5, x1, 748, x2) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x6, -0x55555556, x1, 752, x2) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x6, 0x55555555, x1, 756, x2) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x3, x1, 760, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb505, x1, 764, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb503, x1, 768, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666667, x1, 772, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, -0x55555555, 0x33333334, x1, 776, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x6, x1, 780, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 784, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555556, x1, 788, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 792, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555555, 0xb503, x1, 796, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 800, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666665, x1, 804, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333332, x1, 808, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 812, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x2, x1, 816, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb504, x1, 820, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb504, x1, 824, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666666, x1, 828, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333333, x1, 832, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x5, x1, 836, x2) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555556, x1, 840, x2) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555555, x1, 844, x2) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x3, x1, 848, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb505, x1, 852, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, 0x55555556, -0xb503, x1, 856, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666667, x1, 860, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333334, x1, 864, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x6, x1, 868, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555556, -0x55555555, x1, 872, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 876, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x4, x1, 880, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb503, x1, 884, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 888, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666665, x1, 892, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333332, x1, 896, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555554, x1, 900, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x2, x1, 904, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0x55555556, 0xb504, x1, 908, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, 0x55555556, -0xb504, x1, 912, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x66666666, x1, 916, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x33333333, x1, 920, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x5, x1, 924, x2) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555556, -0x55555556, x1, 928, x2) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x55555555, x1, 932, x2) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x3, x1, 936, x2) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x4, 0xb505, x1, 940, x2) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x4, -0xb503, x1, 944, x2) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x4, 0x66666667, x1, 948, x2) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x4, 0x33333334, x1, 952, x2) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x6, x1, 956, x2) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 960, x2) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x4, 0x55555556, x1, 964, x2) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x4, x1, 968, x2) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 972, x2) - -inst_263: -// rs1_val==4 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x0, x1, 976, x2) - -inst_264: -// rs1_val==4 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x4, 0x66666665, x1, 980, x2) - -inst_265: -// rs1_val==4 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 984, x2) - -inst_266: -// rs1_val==4 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x4, 0x55555554, x1, 988, x2) - -inst_267: -// rs1_val==4 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x2, x1, 992, x2) - -inst_268: -// rs1_val==4 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x4, 0xb504, x1, 996, x2) - -inst_269: -// rs1_val==4 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x4, -0xb504, x1, 1000, x2) - -inst_270: -// rs1_val==4 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x4, 0x66666666, x1, 1004, x2) - -inst_271: -// rs1_val==4 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1008, x2) - -inst_272: -// rs1_val==4 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x4, 0x5, x1, 1012, x2) - -inst_273: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1016, x2) - -inst_274: -// rs1_val==4 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x4, 0x55555555, x1, 1020, x2) - -inst_275: -// rs1_val==4 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1024, x2) - -inst_276: -// rs1_val==46339 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb505, x1, 1028, x2) - -inst_277: -// rs1_val==46339 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb503, x1, 1032, x2) - -inst_278: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666667, x1, 1036, x2) - -inst_279: -// rs1_val==46339 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb503, 0x33333334, x1, 1040, x2) - -inst_280: -// rs1_val==46339 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x6, x1, 1044, x2) - -inst_281: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555555, x1, 1048, x2) - -inst_282: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555556, x1, 1052, x2) - -inst_283: -// rs1_val==46339 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1056, x2) - -inst_284: -// rs1_val==46339 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1060, x2) - -inst_285: -// rs1_val==46339 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1064, x2) - -inst_286: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666665, x1, 1068, x2) - -inst_287: -// rs1_val==46339 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333332, x1, 1072, x2) - -inst_288: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555554, x1, 1076, x2) - -inst_289: -// rs1_val==46339 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x2, x1, 1080, x2) - -inst_290: -// rs1_val==46339 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb504, x1, 1084, x2) - -inst_291: -// rs1_val==46339 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1088, x2) - -inst_292: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666666, x1, 1092, x2) - -inst_293: -// rs1_val==46339 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333333, x1, 1096, x2) - -inst_294: -// rs1_val==46339 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x5, x1, 1100, x2) - -inst_295: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555556, x1, 1104, x2) - -inst_296: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555555, x1, 1108, x2) - -inst_297: -// rs1_val==46339 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x3, x1, 1112, x2) - -inst_298: -// rs1_val==0 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1116, x2) - -inst_299: -// rs1_val==0 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1120, x2) - -inst_300: -// rs1_val==0 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1124, x2) - -inst_301: -// rs1_val==0 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1128, x2) - -inst_302: -// rs1_val==0 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1132, x2) - -inst_303: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1136, x2) - -inst_304: -// rs1_val==0 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1140, x2) - -inst_305: -// rs1_val==0 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1144, x2) - -inst_306: -// rs1_val==0 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1148, x2) - -inst_307: -// rs1_val==0 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1152, x2) - -inst_308: -// rs1_val==0 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1156, x2) - -inst_309: -// rs1_val==0 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1160, x2) - -inst_310: -// rs1_val==0 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1164, x2) - -inst_311: -// rs1_val==0 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1168, x2) - -inst_312: -// rs1_val==0 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1172, x2) - -inst_313: -// rs1_val==0 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1176, x2) - -inst_314: -// rs1_val==0 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1180, x2) - -inst_315: -// rs1_val==0 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1184, x2) - -inst_316: -// rs1_val==0 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1188, x2) - -inst_317: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1192, x2) - -inst_318: -// rs1_val==0 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1196, x2) - -inst_319: -// rs1_val==0 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1200, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb505, x1, 1204, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb503, x1, 1208, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666667, x1, 1212, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x33333334, x1, 1216, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x6, x1, 1220, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555555, x1, 1224, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x55555556, x1, 1228, x2) - -inst_327: -// rs1_val==1717986917 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x4, x1, 1232, x2) - -inst_328: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666665, 0xb503, x1, 1236, x2) - -inst_329: -// rs1_val==1717986917 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1240, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1244, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666665, x1, 1248, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333332, x1, 1252, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555554, x1, 1256, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x2, x1, 1260, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb504, x1, 1264, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb504, x1, 1268, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666666, x1, 1272, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1276, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x5, x1, 1280, x2) - -inst_340: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555556, x1, 1284, x2) - -inst_341: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555555, x1, 1288, x2) - -inst_342: -// rs1_val==858993459 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x3, x1, 1292, x2) - -inst_343: -// rs1_val==5 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb505, x1, 1296, x2) - -inst_344: -// rs1_val==5 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb503, x1, 1300, x2) - -inst_345: -// rs1_val==5 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666667, x1, 1304, x2) - -inst_346: -// rs1_val==5 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x5, 0x33333334, x1, 1308, x2) - -inst_347: -// rs1_val==5 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x6, x1, 1312, x2) - -inst_348: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555555, x1, 1316, x2) - -inst_349: -// rs1_val==5 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x5, 0x55555556, x1, 1320, x2) - -inst_350: -// rs1_val==5 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x4, x1, 1324, x2) - -inst_351: -// rs1_val==5 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x5, 0xb503, x1, 1328, x2) - -inst_352: -// rs1_val==5 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1332, x2) - -inst_353: -// rs1_val==5 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x5, 0x66666665, x1, 1336, x2) - -inst_354: -// rs1_val==5 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1340, x2) - -inst_355: -// rs1_val==5 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555554, x1, 1344, x2) - -inst_356: -// rs1_val==5 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1348, x2) - -inst_357: -// rs1_val==5 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb504, x1, 1352, x2) - -inst_358: -// rs1_val==5 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb504, x1, 1356, x2) - -inst_359: -// rs1_val==5 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666666, x1, 1360, x2) - -inst_360: -// rs1_val==5 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333333, x1, 1364, x2) - -inst_361: -// rs1_val==5 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1368, x2) - -inst_362: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1372, x2) - -inst_363: -// rs1_val==5 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555555, x1, 1376, x2) - -inst_364: -// rs1_val==5 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x3, x1, 1380, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555556, 0xb505, x1, 1384, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555556, -0xb503, x1, 1388, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666667, x1, 1392, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, -0x55555556, 0x33333334, x1, 1396, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x6, x1, 1400, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, -0x55555555, x1, 1404, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555556, x1, 1408, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1412, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555556, 0xb503, x1, 1416, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1420, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666665, x1, 1424, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, -0x55555556, 0x33333332, x1, 1428, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1432, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x2, x1, 1436, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, -0x55555556, 0xb504, x1, 1440, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0x55555556, -0xb504, x1, 1444, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, -0x55555556, 0x66666666, x1, 1448, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555556, 0x33333333, x1, 1452, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1456, x2) - -inst_384: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1460, x2) - -inst_385: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1464, x2) - -inst_386: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, 0x3, x1, 1468, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb505, x1, 1472, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb503, x1, 1476, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666667, x1, 1480, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x33333334, x1, 1484, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x6, x1, 1488, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555555, x1, 1492, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x55555556, x1, 1496, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x4, x1, 1500, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555555, 0xb503, x1, 1504, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1508, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x66666665, x1, 1512, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333332, x1, 1516, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555554, x1, 1520, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1524, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb504, x1, 1528, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb504, x1, 1532, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666666, x1, 1536, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333333, x1, 1540, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x5, x1, 1544, x2) - -inst_406: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1548, x2) - -inst_407: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1552, x2) - -inst_408: -// rs1_val==1431655765 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x3, x1, 1556, x2) - -inst_409: -// rs1_val==3 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb505, x1, 1560, x2) - -inst_410: -// rs1_val==3 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb503, x1, 1564, x2) - -inst_411: -// rs1_val==3 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666667, x1, 1568, x2) - -inst_412: -// rs1_val==3 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1572, x2) - -inst_413: -// rs1_val==3 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x6, x1, 1576, x2) - -inst_414: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555555, x1, 1580, x2) - -inst_415: -// rs1_val==3 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555556, x1, 1584, x2) - -inst_416: -// rs1_val==3 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1588, x2) - -inst_417: -// rs1_val==3 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x3, 0xb503, x1, 1592, x2) - -inst_418: -// rs1_val==3 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1596, x2) - -inst_419: -// rs1_val==3 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666665, x1, 1600, x2) - -inst_420: -// rs1_val==3 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333332, x1, 1604, x2) - -inst_421: -// rs1_val==3 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1608, x2) - -inst_422: -// rs1_val==3 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x2, x1, 1612, x2) - -inst_423: -// rs1_val==3 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1616, x2) - -inst_424: -// rs1_val==3 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1620, x2) - -inst_425: -// rs1_val==3 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666666, x1, 1624, x2) - -inst_426: -// rs1_val==3 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333333, x1, 1628, x2) - -inst_427: -// rs1_val==3 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x5, x1, 1632, x2) - -inst_428: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555556, x1, 1636, x2) - -inst_429: -// rs1_val==3 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555555, x1, 1640, x2) - -inst_430: -// rs1_val==3 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1644, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1648, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333332, x1, 1652, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555554, x1, 1656, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1660, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb504, x1, 1664, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb504, x1, 1668, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666666, x1, 1672, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333333, x1, 1676, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x5, x1, 1680, x2) - -inst_440: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555556, x1, 1684, x2) - -inst_441: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555555, x1, 1688, x2) - -inst_442: -// rs1_val==1717986917 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x3, x1, 1692, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333332, 0xb505, x1, 1696, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333332, -0xb503, x1, 1700, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666667, x1, 1704, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x33333334, x1, 1708, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x6, x1, 1712, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333332, -0x55555555, x1, 1716, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555556, x1, 1720, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1724, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333332, 0xb503, x1, 1728, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1732, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666665, x1, 1736, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1740, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555554, x1, 1744, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x2, x1, 1748, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0x33333332, 0xb504, x1, 1752, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, 0x33333332, -0xb504, x1, 1756, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x66666666, x1, 1760, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x33333333, x1, 1764, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1768, x2) - -inst_462: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, 0x33333332, -0x55555556, x1, 1772, x2) - -inst_463: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x55555555, x1, 1776, x2) - -inst_464: -// rs1_val==858993458 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x3, x1, 1780, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555554, 0xb505, x1, 1784, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555554, -0xb503, x1, 1788, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x66666667, x1, 1792, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x55555554, 0x33333334, x1, 1796, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x6, x1, 1800, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1804, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x55555556, x1, 1808, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x4, x1, 1812, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555554, 0xb503, x1, 1816, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1820, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555554, 0x66666665, x1, 1824, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x33333332, x1, 1828, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1832, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1836, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0x55555554, 0xb504, x1, 1840, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, 0x55555554, -0xb504, x1, 1844, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x66666666, x1, 1848, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x33333333, x1, 1852, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x5, x1, 1856, x2) - -inst_484: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1860, x2) - -inst_485: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x55555555, x1, 1864, x2) - -inst_486: -// rs1_val==1431655764 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1868, x2) - -inst_487: -// rs1_val==2 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1872, x2) - -inst_488: -// rs1_val==2 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1876, x2) - -inst_489: -// rs1_val==2 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666667, x1, 1880, x2) - -inst_490: -// rs1_val==2 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1884, x2) - -inst_491: -// rs1_val==2 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x6, x1, 1888, x2) - -inst_492: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x2, -0x55555555, x1, 1892, x2) - -inst_493: -// rs1_val==2 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555556, x1, 1896, x2) - -inst_494: -// rs1_val==2 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1900, x2) - -inst_495: -// rs1_val==2 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x2, 0xb503, x1, 1904, x2) - -inst_496: -// rs1_val==2 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1908, x2) - -inst_497: -// rs1_val==2 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1912, x2) - -inst_498: -// rs1_val==2 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x2, 0x33333332, x1, 1916, x2) - -inst_499: -// rs1_val==2 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1920, x2) - -inst_500: -// rs1_val==2 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1924, x2) - -inst_501: -// rs1_val==2 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1928, x2) - -inst_502: -// rs1_val==2 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1932, x2) - -inst_503: -// rs1_val==2 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x2, 0x66666666, x1, 1936, x2) - -inst_504: -// rs1_val==2 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x2, 0x33333333, x1, 1940, x2) - -inst_505: -// rs1_val==2 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1944, x2) - -inst_506: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x2, -0x55555556, x1, 1948, x2) - -inst_507: -// rs1_val==2 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1952, x2) - -inst_508: -// rs1_val==2 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x2, 0x3, x1, 1956, x2) - -inst_509: -// rs1_val==46340 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0xb505, x1, 1960, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb504, -0xb503, x1, 1964, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb504, 0x66666667, x1, 1968, x2) - -inst_512: -// rs1_val==46340 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0xb504, 0x33333334, x1, 1972, x2) - -inst_513: -// rs1_val==46340 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x6, x1, 1976, x2) - -inst_514: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb504, -0x55555555, x1, 1980, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0xb504, 0x55555556, x1, 1984, x2) - -inst_516: -// rs1_val==46340 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x4, x1, 1988, x2) - -inst_517: -// rs1_val==46340 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0xb503, x1, 1992, x2) - -inst_518: -// rs1_val==46340 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) - -inst_519: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb504, 0x66666665, x1, 2000, x2) - -inst_520: -// rs1_val==46340 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0xb504, 0x33333332, x1, 2004, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0xb504, 0x55555554, x1, 2008, x2) - -inst_522: -// rs1_val==46340 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2012, x2) - -inst_523: -// rs1_val==46340 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2016, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, 0xb504, -0xb504, x1, 2020, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0xb504, 0x66666666, x1, 2024, x2) - -inst_526: -// rs1_val==46340 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb504, 0x33333333, x1, 2028, x2) - -inst_527: -// rs1_val==46340 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0x5, x1, 2032, x2) - -inst_528: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, 0xb504, -0x55555556, x1, 2036, x2) - -inst_529: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb504, 0x55555555, x1, 2040, x2) - -inst_530: -// rs1_val==46340 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_531: -// rs1_val==-46340 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb504, 0xb505, x1, 0, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, -0xb503, x1, 4, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb504, 0x66666667, x1, 8, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, -0xb504, 0x33333334, x1, 12, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x6, x1, 16, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb504, -0x55555555, x1, 20, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, -0xb504, 0x55555556, x1, 24, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x4, x1, 28, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 32, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb504, 0x66666665, x1, 40, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, -0xb504, 0x33333332, x1, 44, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, -0xb504, 0x55555554, x1, 48, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 52, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, -0xb504, 0xb504, x1, 56, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 60, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, -0xb504, 0x66666666, x1, 64, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb504, 0x33333333, x1, 68, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, 0x5, x1, 72, x2) - -inst_550: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0xb504, -0x55555556, x1, 76, x2) - -inst_551: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb504, 0x55555555, x1, 80, x2) - -inst_552: -// rs1_val==-46340 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 84, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb505, x1, 88, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666666, -0xb503, x1, 92, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666667, x1, 96, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333334, x1, 100, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x6, x1, 104, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666666, -0x55555555, x1, 108, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555556, x1, 112, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x4, x1, 116, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb503, x1, 120, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666665, x1, 128, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333332, x1, 132, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555554, x1, 136, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x2, x1, 140, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0x66666666, 0xb504, x1, 144, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, 0x66666666, -0xb504, x1, 148, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 152, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x33333333, x1, 156, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x5, x1, 160, x2) - -inst_572: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, 0x66666666, -0x55555556, x1, 164, x2) - -inst_573: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x55555555, x1, 168, x2) - -inst_574: -// rs1_val==1717986918 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x3, x1, 172, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb505, x1, 176, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb503, x1, 180, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666667, x1, 184, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x33333334, x1, 188, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x6, x1, 192, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555555, x1, 196, x2) - -inst_581: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555556, x1, 200, x2) - -inst_582: -// rs1_val==858993459 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 204, x2) - -inst_583: -// rs1_val==858993459 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333333, 0xb503, x1, 208, x2) - -inst_584: -// rs2_val == -536870913, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:-0x20000001 -TEST_RR_OP(or, x12, x10, x11, 0xdfffffff, -0x40000000, -0x20000001, x1, 212, x2) - -inst_585: -// rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x10000001 -TEST_RR_OP(or, x12, x10, x11, 0xefffffff, 0x4000, -0x10000001, x1, 216, x2) - -inst_586: -// rs2_val == -16777217, rs1_val == 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1000001 -TEST_RR_OP(or, x12, x10, x11, 0xfeffffff, 0x0, -0x1000001, x1, 220, x2) - -inst_587: -// rs2_val == -8388609, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x800001 -TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, 0x6, -0x800001, x1, 224, x2) - -inst_588: -// rs2_val == -524289, rs1_val == -33 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x21, -0x80001, x1, 228, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x5_2: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 58*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S deleted file mode 100644 index 9a2ef6651..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S +++ /dev/null @@ -1,2869 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the ori instruction of the RISC-V E extension for the ori covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",ori) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x12, rd==x9, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 2097152 -// opcode: ori ; op1:x12; dest:x9; op1val:0x200000; immval:-0x800 -TEST_IMM_OP( ori, x9, x12, 0xfffff800, 0x200000, -0x800, x1, 0, x2) - -inst_1: -// rs1 == rd, rs1==x0, rd==x0, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: ori ; op1:x0; dest:x0; op1val:0x0; immval:0x3ff -TEST_IMM_OP( ori, x0, x0, 0, 0x0, 0x3ff, x1, 4, x2) - -inst_2: -// rs1==x6, rd==x7, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 1024 -// opcode: ori ; op1:x6; dest:x7; op1val:-0x40000001; immval:0x400 -TEST_IMM_OP( ori, x7, x6, 0xbfffffff, -0x40000001, 0x400, x1, 8, x2) - -inst_3: -// rs1==x9, rd==x3, rs1_val == -536870913, -// opcode: ori ; op1:x9; dest:x3; op1val:-0x20000001; immval:0x2d -TEST_IMM_OP( ori, x3, x9, 0xdfffffff, -0x20000001, 0x2d, x1, 12, x2) - -inst_4: -// rs1==x5, rd==x14, rs1_val == -268435457, -// opcode: ori ; op1:x5; dest:x14; op1val:-0x10000001; immval:0x666 -TEST_IMM_OP( ori, x14, x5, 0xefffffff, -0x10000001, 0x666, x1, 16, x2) - -inst_5: -// rs1==x13, rd==x11, rs1_val == -134217729, imm_val == 2 -// opcode: ori ; op1:x13; dest:x11; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( ori, x11, x13, 0xf7ffffff, -0x8000001, 0x2, x1, 20, x2) - -inst_6: -// rs1==x3, rd==x5, rs1_val == -67108865, -// opcode: ori ; op1:x3; dest:x5; op1val:-0x4000001; immval:0x2 -TEST_IMM_OP( ori, x5, x3, 0xfbffffff, -0x4000001, 0x2, x1, 24, x2) - -inst_7: -// rs1==x11, rd==x12, rs1_val == -33554433, -// opcode: ori ; op1:x11; dest:x12; op1val:-0x2000001; immval:0x3 -TEST_IMM_OP( ori, x12, x11, 0xfdffffff, -0x2000001, 0x3, x1, 28, x2) - -inst_8: -// rs1==x10, rd==x15, rs1_val == -16777217, imm_val == 1 -// opcode: ori ; op1:x10; dest:x15; op1val:-0x1000001; immval:0x1 -TEST_IMM_OP( ori, x15, x10, 0xfeffffff, -0x1000001, 0x1, x1, 32, x2) - -inst_9: -// rs1==x4, rd==x13, rs1_val == -8388609, rs1_val < 0 and imm_val < 0 -// opcode: ori ; op1:x4; dest:x13; op1val:-0x800001; immval:-0x555 -TEST_IMM_OP( ori, x13, x4, 0xffffffff, -0x800001, -0x555, x1, 36, x5) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_10: -// rs1==x15, rd==x10, rs1_val == -4194305, imm_val == -3 -// opcode: ori ; op1:x15; dest:x10; op1val:-0x400001; immval:-0x3 -TEST_IMM_OP( ori, x10, x15, 0xffffffff, -0x400001, -0x3, x3, 0, x5) - -inst_11: -// rs1==x7, rd==x4, rs1_val == -2097153, imm_val == 1365 -// opcode: ori ; op1:x7; dest:x4; op1val:-0x200001; immval:0x555 -TEST_IMM_OP( ori, x4, x7, 0xffdfffff, -0x200001, 0x555, x3, 4, x5) - -inst_12: -// rs1==x2, rd==x6, rs1_val == -1048577, imm_val == -5 -// opcode: ori ; op1:x2; dest:x6; op1val:-0x100001; immval:-0x5 -TEST_IMM_OP( ori, x6, x2, 0xffffffff, -0x100001, -0x5, x3, 8, x5) - -inst_13: -// rs1==x8, rd==x1, rs1_val == -524289, -// opcode: ori ; op1:x8; dest:x1; op1val:-0x80001; immval:-0x3 -TEST_IMM_OP( ori, x1, x8, 0xffffffff, -0x80001, -0x3, x3, 12, x5) - -inst_14: -// rs1==x1, rd==x2, rs1_val == -262145, -// opcode: ori ; op1:x1; dest:x2; op1val:-0x40001; immval:0x333 -TEST_IMM_OP( ori, x2, x1, 0xfffbffff, -0x40001, 0x333, x3, 16, x5) - -inst_15: -// rs1==x14, rd==x8, rs1_val == -131073, -// opcode: ori ; op1:x14; dest:x8; op1val:-0x20001; immval:0x332 -TEST_IMM_OP( ori, x8, x14, 0xfffdffff, -0x20001, 0x332, x3, 20, x5) - -inst_16: -// rs1_val == -65537, imm_val == 4 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xfffeffff, -0x10001, 0x4, x3, 24, x5) - -inst_17: -// rs1_val == -32769, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff7fff, -0x8001, 0x555, x3, 28, x1) - -inst_18: -// rs1_val == -16385, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x4001; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffffbfff, -0x4001, 0x666, x3, 32, x1) - -inst_19: -// rs1_val == -8193, imm_val == -9 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x9 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2001, -0x9, x3, 36, x1) - -inst_20: -// rs1_val == -4097, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x1001, -0x2d, x3, 40, x1) - -inst_21: -// rs1_val == -2049, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x801; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xfffff7ff, -0x801, 0x666, x3, 44, x1) - -inst_22: -// rs1_val == -1025, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x401; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x401, -0x2c, x3, 48, x1) - -inst_23: -// rs1_val == -513, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x201; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffdff, -0x201, 0x555, x3, 52, x1) - -inst_24: -// rs1_val == -257, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x3 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x101, -0x3, x3, 56, x1) - -inst_25: -// rs1_val == -129, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x9 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x81, -0x9, x3, 60, x1) - -inst_26: -// rs1_val == -65, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x41; immval:-0x4 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x41, -0x4, x3, 64, x1) - -inst_27: -// rs1_val == -33, imm_val == -17 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x21; immval:-0x11 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x21, -0x11, x3, 68, x1) - -inst_28: -// rs1_val == -17, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x5 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x11, -0x5, x3, 72, x1) - -inst_29: -// rs1_val == -9, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, -0x9, 0x4, x3, 76, x1) - -inst_30: -// rs1_val == -5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x5; immval:-0x7 -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x5, -0x7, x3, 80, x1) - -inst_31: -// rs1_val == -3, imm_val == -65 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x3; immval:-0x41 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x3, -0x41, x3, 84, x1) - -inst_32: -// rs1_val == -2, imm_val == -129 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x81 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2, -0x81, x3, 88, x1) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val == 8192 -// opcode: ori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff -TEST_IMM_OP( ori, x11, x10, 0x27ff, 0x2000, 0x7ff, x3, 92, x1) - -inst_34: -// imm_val == -1025, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x6, -0x401, x3, 96, x1) - -inst_35: -// imm_val == -513, rs1_val == 131072 -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x201 -TEST_IMM_OP( ori, x11, x10, 0xfffffdff, 0x20000, -0x201, x3, 100, x1) - -inst_36: -// imm_val == -257, rs1_val == 1431655765 -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x101 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x101, x3, 104, x1) - -inst_37: -// imm_val == -33, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x21 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x21, x3, 108, x1) - -inst_38: -// imm_val == -2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x200001; immval:-0x2 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x200001, -0x2, x3, 112, x1) - -inst_39: -// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) -// opcode: ori ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x80000000, -0x80000000, 0x0, x3, 116, x1) - -inst_40: -// rs1_val == 1073741824, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x2 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x40000000, -0x2, x3, 120, x1) - -inst_41: -// rs1_val == 536870912, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x20000555, 0x20000000, 0x555, x3, 124, x1) - -inst_42: -// rs1_val == 268435456, imm_val == -1366 -// opcode: ori ; op1:x10; dest:x11; op1val:0x10000000; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x10000000, -0x556, x3, 128, x1) - -inst_43: -// rs1_val == 134217728, -// opcode: ori ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x1 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x8000000, -0x1, x3, 132, x1) - -inst_44: -// rs1_val == 67108864, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x4000332, 0x4000000, 0x332, x3, 136, x1) - -inst_45: -// rs1_val == 33554432, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x2000556, 0x2000000, 0x556, x3, 140, x1) - -inst_46: -// rs1_val == 16777216, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x1000000, -0x401, x3, 144, x1) - -inst_47: -// rs1_val == 8388608, -// opcode: ori ; op1:x10; dest:x11; op1val:0x800000; immval:-0x101 -TEST_IMM_OP( ori, x11, x10, 0xfffffeff, 0x800000, -0x101, x3, 148, x1) - -inst_48: -// rs1_val == 4194304, -// opcode: ori ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x400000, 0x400000, 0x0, x3, 152, x1) - -inst_49: -// rs1_val == 1048576, -// opcode: ori ; op1:x10; dest:x11; op1val:0x100000; immval:-0x21 -TEST_IMM_OP( ori, x11, x10, 0xffffffdf, 0x100000, -0x21, x3, 156, x1) - -inst_50: -// rs1_val == 524288, -// opcode: ori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x80000, -0x401, x3, 160, x1) - -inst_51: -// rs1_val == 262144, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40000; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x40556, 0x40000, 0x556, x3, 164, x1) - -inst_52: -// rs1_val == 65536, -// opcode: ori ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 -TEST_IMM_OP( ori, x11, x10, 0x10001, 0x10000, 0x1, x3, 168, x1) - -inst_53: -// rs1_val == 32768, imm_val == 512 -// opcode: ori ; op1:x10; dest:x11; op1val:0x8000; immval:0x200 -TEST_IMM_OP( ori, x11, x10, 0x8200, 0x8000, 0x200, x3, 172, x1) - -inst_54: -// rs1_val == 16384, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4000, -0x2c, x3, 176, x1) - -inst_55: -// rs1_val == 4096, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1000; immval:-0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x1000, -0x8, x3, 180, x1) - -inst_56: -// rs1_val == 2048, -// opcode: ori ; op1:x10; dest:x11; op1val:0x800; immval:-0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x800, -0x8, x3, 184, x1) - -inst_57: -// rs1_val == 1024, -// opcode: ori ; op1:x10; dest:x11; op1val:0x400; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x400, -0x2d, x3, 188, x1) - -inst_58: -// rs1_val == 512, -// opcode: ori ; op1:x10; dest:x11; op1val:0x200; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x200, -0x2c, x3, 192, x1) - -inst_59: -// rs1_val == 256, -// opcode: ori ; op1:x10; dest:x11; op1val:0x100; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x102, 0x100, 0x2, x3, 196, x1) - -inst_60: -// rs1_val == 128, rs1_val == imm_val, imm_val == 128 -// opcode: ori ; op1:x10; dest:x11; op1val:0x80; immval:0x80 -TEST_IMM_OP( ori, x11, x10, 0x80, 0x80, 0x80, x3, 200, x1) - -inst_61: -// rs1_val == 64, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x46, 0x40, 0x6, x3, 204, x1) - -inst_62: -// rs1_val == 32, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x20, -0x2c, x3, 208, x1) - -inst_63: -// rs1_val == 16, -// opcode: ori ; op1:x10; dest:x11; op1val:0x10; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x10, 0x555, x3, 212, x1) - -inst_64: -// rs1_val == 8, -// opcode: ori ; op1:x10; dest:x11; op1val:0x8; immval:-0xa -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x8, -0xa, x3, 216, x1) - -inst_65: -// rs1_val == 4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3ff -TEST_IMM_OP( ori, x11, x10, 0x3ff, 0x4, 0x3ff, x3, 220, x1) - -inst_66: -// rs1_val == 2, rs1_val==2 and imm_val==2 -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x2, x3, 224, x1) - -inst_67: -// rs1_val == 1, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x1, 0x1, 0x0, x3, 228, x1) - -inst_68: -// imm_val == 256, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x100 -TEST_IMM_OP( ori, x11, x10, 0x20000100, 0x20000000, 0x100, x3, 232, x1) - -inst_69: -// imm_val == 64, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x40 -TEST_IMM_OP( ori, x11, x10, 0x44, 0x4, 0x40, x3, 236, x1) - -inst_70: -// imm_val == 32, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x20 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x20, x3, 240, x1) - -inst_71: -// imm_val == 16, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x10 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x10, x3, 244, x1) - -inst_72: -// imm_val == 8, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, -0x8, 0x8, x3, 248, x1) - -inst_73: -// rs1_val==46341 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb505, 0x2e, x3, 252, x1) - -inst_74: -// rs1_val==46341 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0xb505, -0x2c, x3, 256, x1) - -inst_75: -// rs1_val==46341 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x667, x3, 260, x1) - -inst_76: -// rs1_val==46341 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb735, 0xb505, 0x334, x3, 264, x1) - -inst_77: -// rs1_val==46341 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x6, x3, 268, x1) - -inst_78: -// rs1_val==46341 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x555, x3, 272, x1) - -inst_79: -// rs1_val==46341 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb505, 0x556, x3, 276, x1) - -inst_80: -// rs1_val==46341 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x4, x3, 280, x1) - -inst_81: -// rs1_val==46341 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2c, x3, 284, x1) - -inst_82: -// rs1_val==46341 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x0, x3, 288, x1) - -inst_83: -// rs1_val==46341 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb505, 0x665, x3, 292, x1) - -inst_84: -// rs1_val==46341 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x332, x3, 296, x1) - -inst_85: -// rs1_val==46341 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x554, x3, 300, x1) - -inst_86: -// rs1_val==46341 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x2, x3, 304, x1) - -inst_87: -// rs1_val==46341 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2d, x3, 308, x1) - -inst_88: -// rs1_val==46341 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb505, -0x2d, x3, 312, x1) - -inst_89: -// rs1_val==46341 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x666, x3, 316, x1) - -inst_90: -// rs1_val==46341 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x333, x3, 320, x1) - -inst_91: -// rs1_val==46341 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x5, x3, 324, x1) - -inst_92: -// rs1_val==46341 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x556, x3, 328, x1) - -inst_93: -// rs1_val==46341 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x555, x3, 332, x1) - -inst_94: -// rs1_val==46341 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x3, x3, 336, x1) - -inst_95: -// rs1_val==-46339 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2e, x3, 340, x1) - -inst_96: -// rs1_val==-46339 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffd, -0xb503, -0x2c, x3, 344, x1) - -inst_97: -// rs1_val==-46339 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x667, x3, 348, x1) - -inst_98: -// rs1_val==-46339 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfd, -0xb503, 0x334, x3, 352, x1) - -inst_99: -// rs1_val==-46339 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x6, x3, 356, x1) - -inst_100: -// rs1_val==-46339 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x555, x3, 360, x1) - -inst_101: -// rs1_val==-46339 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xffff4fff, -0xb503, 0x556, x3, 364, x1) - -inst_102: -// rs1_val==-46339 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x4, x3, 368, x1) - -inst_103: -// rs1_val==-46339 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2c, x3, 372, x1) - -inst_104: -// rs1_val==-46339 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 376, x1) - -inst_105: -// rs1_val==-46339 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb503, 0x665, x3, 380, x1) - -inst_106: -// rs1_val==-46339 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x332, x3, 384, x1) - -inst_107: -// rs1_val==-46339 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x554, x3, 388, x1) - -inst_108: -// rs1_val==-46339 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 392, x1) - -inst_109: -// rs1_val==-46339 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2d, x3, 396, x1) - -inst_110: -// rs1_val==-46339 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb503, -0x2d, x3, 400, x1) - -inst_111: -// rs1_val==-46339 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x666, x3, 404, x1) - -inst_112: -// rs1_val==-46339 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x333, x3, 408, x1) - -inst_113: -// rs1_val==-46339 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x5, x3, 412, x1) - -inst_114: -// rs1_val==-46339 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x556, x3, 416, x1) - -inst_115: -// rs1_val==-46339 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x555, x3, 420, x1) - -inst_116: -// rs1_val==-46339 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x3, x3, 424, x1) - -inst_117: -// rs1_val==1717986919 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2e, x3, 428, x1) - -inst_118: -// rs1_val==1717986919 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2c, x3, 432, x1) - -inst_119: -// rs1_val==1717986919 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x667, x3, 436, x1) - -inst_120: -// rs1_val==1717986919 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x334, x3, 440, x1) - -inst_121: -// rs1_val==1717986919 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x6, x3, 444, x1) - -inst_122: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x555, x3, 448, x1) - -inst_123: -// rs1_val==1717986919 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x556, x3, 452, x1) - -inst_124: -// rs1_val==1717986919 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x4, x3, 456, x1) - -inst_125: -// rs1_val==1717986919 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2c, x3, 460, x1) - -inst_126: -// rs1_val==1717986919 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 464, x1) - -inst_127: -// rs1_val==1717986919 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x665, x3, 468, x1) - -inst_128: -// rs1_val==1717986919 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x332, x3, 472, x1) - -inst_129: -// rs1_val==1717986919 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x554, x3, 476, x1) - -inst_130: -// rs1_val==1717986919 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x2, x3, 480, x1) - -inst_131: -// rs1_val==1717986919 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2d, x3, 484, x1) - -inst_132: -// rs1_val==1717986919 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2d, x3, 488, x1) - -inst_133: -// rs1_val==1717986919 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x666, x3, 492, x1) - -inst_134: -// rs1_val==1717986919 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x333, x3, 496, x1) - -inst_135: -// rs1_val==1717986919 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x5, x3, 500, x1) - -inst_136: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x556, x3, 504, x1) - -inst_137: -// rs1_val==1717986919 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x555, x3, 508, x1) - -inst_138: -// rs1_val==1717986919 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x3, x3, 512, x1) - -inst_139: -// rs1_val==858993460 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333334, 0x2e, x3, 516, x1) - -inst_140: -// rs1_val==858993460 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x33333334, -0x2c, x3, 520, x1) - -inst_141: -// rs1_val==858993460 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333334, 0x667, x3, 524, x1) - -inst_142: -// rs1_val==858993460 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x334, x3, 528, x1) - -inst_143: -// rs1_val==858993460 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x6, x3, 532, x1) - -inst_144: -// rs1_val==858993460 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbf, 0x33333334, -0x555, x3, 536, x1) - -inst_145: -// rs1_val==858993460 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x556, x3, 540, x1) - -inst_146: -// rs1_val==858993460 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x4, x3, 544, x1) - -inst_147: -// rs1_val==858993460 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333c, 0x33333334, 0x2c, x3, 548, x1) - -inst_148: -// rs1_val==858993460 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 552, x1) - -inst_149: -// rs1_val==858993460 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x665, x3, 556, x1) - -inst_150: -// rs1_val==858993460 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x332, x3, 560, x1) - -inst_151: -// rs1_val==858993460 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333774, 0x33333334, 0x554, x3, 564, x1) - -inst_152: -// rs1_val==858993460 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 568, x1) - -inst_153: -// rs1_val==858993460 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333d, 0x33333334, 0x2d, x3, 572, x1) - -inst_154: -// rs1_val==858993460 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333334, -0x2d, x3, 576, x1) - -inst_155: -// rs1_val==858993460 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x666, x3, 580, x1) - -inst_156: -// rs1_val==858993460 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x333, x3, 584, x1) - -inst_157: -// rs1_val==858993460 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333335, 0x33333334, 0x5, x3, 588, x1) - -inst_158: -// rs1_val==858993460 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbe, 0x33333334, -0x556, x3, 592, x1) - -inst_159: -// rs1_val==858993460 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x555, x3, 596, x1) - -inst_160: -// rs1_val==858993460 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 600, x1) - -inst_161: -// rs1_val==6 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2e, x3, 604, x1) - -inst_162: -// rs1_val==6 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x6, -0x2c, x3, 608, x1) - -inst_163: -// rs1_val==6 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x667, x3, 612, x1) - -inst_164: -// rs1_val==6 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x334, x3, 616, x1) - -inst_165: -// rs1_val==6 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x6, x3, 620, x1) - -inst_166: -// rs1_val==6 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x6, -0x555, x3, 624, x1) - -inst_167: -// rs1_val==6 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x556, x3, 628, x1) - -inst_168: -// rs1_val==6 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x4, x3, 632, x1) - -inst_169: -// rs1_val==6 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2c, x3, 636, x1) - -inst_170: -// rs1_val==6 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x0, x3, 640, x1) - -inst_171: -// rs1_val==6 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x665, x3, 644, x1) - -inst_172: -// rs1_val==6 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x332, x3, 648, x1) - -inst_173: -// rs1_val==6 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x554, x3, 652, x1) - -inst_174: -// rs1_val==6 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x2, x3, 656, x1) - -inst_175: -// rs1_val==6 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x6, 0x2d, x3, 660, x1) - -inst_176: -// rs1_val==6 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x6, -0x2d, x3, 664, x1) - -inst_177: -// rs1_val==6 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x6, 0x666, x3, 668, x1) - -inst_178: -// rs1_val==6 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x6, 0x333, x3, 672, x1) - -inst_179: -// rs1_val==6 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x5, x3, 676, x1) - -inst_180: -// rs1_val==6 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x6, -0x556, x3, 680, x1) - -inst_181: -// rs1_val==6 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x6, 0x555, x3, 684, x1) - -inst_182: -// rs1_val==6 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x3, x3, 688, x1) - -inst_183: -// rs1_val==-1431655765 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2e, x3, 692, x1) - -inst_184: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x2c, x3, 696, x1) - -inst_185: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x667, x3, 700, x1) - -inst_186: -// rs1_val==-1431655765 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbf, -0x55555555, 0x334, x3, 704, x1) - -inst_187: -// rs1_val==-1431655765 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x6, x3, 708, x1) - -inst_188: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x555, x3, 712, x1) - -inst_189: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x556, x3, 716, x1) - -inst_190: -// rs1_val==-1431655765 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 720, x1) - -inst_191: -// rs1_val==-1431655765 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2c, x3, 724, x1) - -inst_192: -// rs1_val==-1431655765 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 728, x1) - -inst_193: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x665, x3, 732, x1) - -inst_194: -// rs1_val==-1431655765 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x332, x3, 736, x1) - -inst_195: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 740, x1) - -inst_196: -// rs1_val==-1431655765 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x2, x3, 744, x1) - -inst_197: -// rs1_val==-1431655765 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2d, x3, 748, x1) - -inst_198: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555555, -0x2d, x3, 752, x1) - -inst_199: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x666, x3, 756, x1) - -inst_200: -// rs1_val==-1431655765 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x333, x3, 760, x1) - -inst_201: -// rs1_val==-1431655765 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x5, x3, 764, x1) - -inst_202: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x556, x3, 768, x1) - -inst_203: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x555, x3, 772, x1) - -inst_204: -// rs1_val==-1431655765 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x3, x3, 776, x1) - -inst_205: -// rs1_val==1431655766 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2e, x3, 780, x1) - -inst_206: -// rs1_val==1431655766 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x55555556, -0x2c, x3, 784, x1) - -inst_207: -// rs1_val==1431655766 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x667, x3, 788, x1) - -inst_208: -// rs1_val==1431655766 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x334, x3, 792, x1) - -inst_209: -// rs1_val==1431655766 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x6, x3, 796, x1) - -inst_210: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555556, -0x555, x3, 800, x1) - -inst_211: -// rs1_val==1431655766 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x556, x3, 804, x1) - -inst_212: -// rs1_val==1431655766 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x4, x3, 808, x1) - -inst_213: -// rs1_val==1431655766 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2c, x3, 812, x1) - -inst_214: -// rs1_val==1431655766 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 816, x1) - -inst_215: -// rs1_val==1431655766 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x665, x3, 820, x1) - -inst_216: -// rs1_val==1431655766 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x332, x3, 824, x1) - -inst_217: -// rs1_val==1431655766 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x554, x3, 828, x1) - -inst_218: -// rs1_val==1431655766 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x2, x3, 832, x1) - -inst_219: -// rs1_val==1431655766 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555556, 0x2d, x3, 836, x1) - -inst_220: -// rs1_val==1431655766 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555556, -0x2d, x3, 840, x1) - -inst_221: -// rs1_val==1431655766 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x666, x3, 844, x1) - -inst_222: -// rs1_val==1431655766 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x333, x3, 848, x1) - -inst_223: -// rs1_val==1431655766 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x5, x3, 852, x1) - -inst_224: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555556, -0x556, x3, 856, x1) - -inst_225: -// rs1_val==1431655766 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x555, x3, 860, x1) - -inst_226: -// rs1_val==1431655766 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x3, x3, 864, x1) - -inst_227: -// rs1_val==4 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x4, 0x2e, x3, 868, x1) - -inst_228: -// rs1_val==4 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4, -0x2c, x3, 872, x1) - -inst_229: -// rs1_val==4 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x4, 0x667, x3, 876, x1) - -inst_230: -// rs1_val==4 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x334, 0x4, 0x334, x3, 880, x1) - -inst_231: -// rs1_val==4 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x6, x3, 884, x1) - -inst_232: -// rs1_val==4 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 888, x1) - -inst_233: -// rs1_val==4 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x4, 0x556, x3, 892, x1) - -inst_234: -// rs1_val==4 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x4, x3, 896, x1) - -inst_235: -// rs1_val==4 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2c, 0x4, 0x2c, x3, 900, x1) - -inst_236: -// rs1_val==4 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x0, x3, 904, x1) - -inst_237: -// rs1_val==4 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x4, 0x665, x3, 908, x1) - -inst_238: -// rs1_val==4 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x4, 0x332, x3, 912, x1) - -inst_239: -// rs1_val==4 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x554, 0x4, 0x554, x3, 916, x1) - -inst_240: -// rs1_val==4 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x2, x3, 920, x1) - -inst_241: -// rs1_val==4 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x4, 0x2d, x3, 924, x1) - -inst_242: -// rs1_val==4 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 928, x1) - -inst_243: -// rs1_val==4 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x4, 0x666, x3, 932, x1) - -inst_244: -// rs1_val==4 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x4, 0x333, x3, 936, x1) - -inst_245: -// rs1_val==4 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x4, 0x5, x3, 940, x1) - -inst_246: -// rs1_val==4 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 944, x1) - -inst_247: -// rs1_val==4 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x4, 0x555, x3, 948, x1) - -inst_248: -// rs1_val==4 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x4, 0x3, x3, 952, x1) - -inst_249: -// rs1_val==46339 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2e, x3, 956, x1) - -inst_250: -// rs1_val==46339 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb503, -0x2c, x3, 960, x1) - -inst_251: -// rs1_val==46339 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x667, x3, 964, x1) - -inst_252: -// rs1_val==46339 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb503, 0x334, x3, 968, x1) - -inst_253: -// rs1_val==46339 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x6, x3, 972, x1) - -inst_254: -// rs1_val==46339 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x555, x3, 976, x1) - -inst_255: -// rs1_val==46339 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x556, x3, 980, x1) - -inst_256: -// rs1_val==46339 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x4, x3, 984, x1) - -inst_257: -// rs1_val==46339 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 988, x1) - -inst_258: -// rs1_val==46339 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x0, x3, 992, x1) - -inst_259: -// rs1_val==46339 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x665, x3, 996, x1) - -inst_260: -// rs1_val==46339 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x332, x3, 1000, x1) - -inst_261: -// rs1_val==46339 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x554, x3, 1004, x1) - -inst_262: -// rs1_val==46339 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x2, x3, 1008, x1) - -inst_263: -// rs1_val==46339 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2d, x3, 1012, x1) - -inst_264: -// rs1_val==46339 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0xb503, -0x2d, x3, 1016, x1) - -inst_265: -// rs1_val==46339 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x666, x3, 1020, x1) - -inst_266: -// rs1_val==46339 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x333, x3, 1024, x1) - -inst_267: -// rs1_val==46339 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x5, x3, 1028, x1) - -inst_268: -// rs1_val==46339 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x556, x3, 1032, x1) - -inst_269: -// rs1_val==46339 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x555, x3, 1036, x1) - -inst_270: -// rs1_val==46339 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x3, x3, 1040, x1) - -inst_271: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1044, x1) - -inst_272: -// rs1_val==0 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1048, x1) - -inst_273: -// rs1_val==0 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x0, 0x667, x3, 1052, x1) - -inst_274: -// rs1_val==0 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x334, 0x0, 0x334, x3, 1056, x1) - -inst_275: -// rs1_val==0 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x0, 0x6, x3, 1060, x1) - -inst_276: -// rs1_val==0 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1064, x1) - -inst_277: -// rs1_val==0 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x0, 0x556, x3, 1068, x1) - -inst_278: -// rs1_val==0 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x0, 0x4, x3, 1072, x1) - -inst_279: -// rs1_val==0 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1076, x1) - -inst_280: -// rs1_val==0 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x0, 0x0, 0x0, x3, 1080, x1) - -inst_281: -// rs1_val==0 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x0, 0x665, x3, 1084, x1) - -inst_282: -// rs1_val==0 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x332, 0x0, 0x332, x3, 1088, x1) - -inst_283: -// rs1_val==0 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x554, 0x0, 0x554, x3, 1092, x1) - -inst_284: -// rs1_val==0 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x0, 0x2, x3, 1096, x1) - -inst_285: -// rs1_val==0 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1100, x1) - -inst_286: -// rs1_val==0 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1104, x1) - -inst_287: -// rs1_val==0 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x0, 0x666, x3, 1108, x1) - -inst_288: -// rs1_val==0 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x0, 0x333, x3, 1112, x1) - -inst_289: -// rs1_val==0 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x0, 0x5, x3, 1116, x1) - -inst_290: -// rs1_val==0 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1120, x1) - -inst_291: -// rs1_val==0 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x0, 0x555, x3, 1124, x1) - -inst_292: -// rs1_val==0 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x0, 0x3, x3, 1128, x1) - -inst_293: -// rs1_val==1717986917 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666665, 0x2e, x3, 1132, x1) - -inst_294: -// rs1_val==1717986917 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff5, 0x66666665, -0x2c, x3, 1136, x1) - -inst_295: -// rs1_val==1717986917 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x667, x3, 1140, x1) - -inst_296: -// rs1_val==1717986917 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x334, x3, 1144, x1) - -inst_297: -// rs1_val==1717986917 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x6, x3, 1148, x1) - -inst_298: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x555, x3, 1152, x1) - -inst_299: -// rs1_val==1717986917 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x556, x3, 1156, x1) - -inst_300: -// rs1_val==1717986917 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x4, x3, 1160, x1) - -inst_301: -// rs1_val==1717986917 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2c, x3, 1164, x1) - -inst_302: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555556, -0x555, x3, 1168, x1) - -inst_303: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x556, x3, 1172, x1) - -inst_304: -// rs1_val==-1431655766 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1176, x1) - -inst_305: -// rs1_val==-1431655766 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2c, x3, 1180, x1) - -inst_306: -// rs1_val==-1431655766 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1184, x1) - -inst_307: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x665, x3, 1188, x1) - -inst_308: -// rs1_val==-1431655766 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabba, -0x55555556, 0x332, x3, 1192, x1) - -inst_309: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1196, x1) - -inst_310: -// rs1_val==-1431655766 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x2, x3, 1200, x1) - -inst_311: -// rs1_val==-1431655766 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x2d, x3, 1204, x1) - -inst_312: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555556, -0x2d, x3, 1208, x1) - -inst_313: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeee, -0x55555556, 0x666, x3, 1212, x1) - -inst_314: -// rs1_val==-1431655766 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555556, 0x333, x3, 1216, x1) - -inst_315: -// rs1_val==-1431655766 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1220, x1) - -inst_316: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, -0x55555556, -0x556, x3, 1224, x1) - -inst_317: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1228, x1) - -inst_318: -// rs1_val==-1431655766 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555556, 0x3, x3, 1232, x1) - -inst_319: -// rs1_val==1431655765 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555555, 0x2e, x3, 1236, x1) - -inst_320: -// rs1_val==1431655765 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x55555555, -0x2c, x3, 1240, x1) - -inst_321: -// rs1_val==1431655765 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x667, x3, 1244, x1) - -inst_322: -// rs1_val==1431655765 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x334, x3, 1248, x1) - -inst_323: -// rs1_val==1431655765 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x6, x3, 1252, x1) - -inst_324: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x555, x3, 1256, x1) - -inst_325: -// rs1_val==1431655765 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x556, x3, 1260, x1) - -inst_326: -// rs1_val==1431655765 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x4, x3, 1264, x1) - -inst_327: -// rs1_val==1431655765 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2c, x3, 1268, x1) - -inst_328: -// rs1_val==1431655765 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1272, x1) - -inst_329: -// rs1_val==1431655765 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x665, x3, 1276, x1) - -inst_330: -// rs1_val==1431655765 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x332, x3, 1280, x1) - -inst_331: -// rs1_val==1431655765 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x554, x3, 1284, x1) - -inst_332: -// rs1_val==1431655765 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1288, x1) - -inst_333: -// rs1_val==1431655765 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2d, x3, 1292, x1) - -inst_334: -// rs1_val==1431655765 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555555, -0x2d, x3, 1296, x1) - -inst_335: -// rs1_val==1431655765 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x666, x3, 1300, x1) - -inst_336: -// rs1_val==1431655765 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x333, x3, 1304, x1) - -inst_337: -// rs1_val==1431655765 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x5, x3, 1308, x1) - -inst_338: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x556, x3, 1312, x1) - -inst_339: -// rs1_val==1431655765 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x555, x3, 1316, x1) - -inst_340: -// rs1_val==1431655765 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x3, x3, 1320, x1) - -inst_341: -// rs1_val==3 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2e, x3, 1324, x1) - -inst_342: -// rs1_val==3 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1328, x1) - -inst_343: -// rs1_val==3 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x667, x3, 1332, x1) - -inst_344: -// rs1_val==3 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x3, 0x334, x3, 1336, x1) - -inst_345: -// rs1_val==3 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x6, x3, 1340, x1) - -inst_346: -// rs1_val==3 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x555, x3, 1344, x1) - -inst_347: -// rs1_val==3 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x556, x3, 1348, x1) - -inst_348: -// rs1_val==3 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x4, x3, 1352, x1) - -inst_349: -// rs1_val==3 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1356, x1) - -inst_350: -// rs1_val==3 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x0, x3, 1360, x1) - -inst_351: -// rs1_val==3 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x665, x3, 1364, x1) - -inst_352: -// rs1_val==3 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x332, x3, 1368, x1) - -inst_353: -// rs1_val==3 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x554, x3, 1372, x1) - -inst_354: -// rs1_val==3 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x2, x3, 1376, x1) - -inst_355: -// rs1_val==3 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2d, x3, 1380, x1) - -inst_356: -// rs1_val==3 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x3, -0x2d, x3, 1384, x1) - -inst_357: -// rs1_val==3 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x666, x3, 1388, x1) - -inst_358: -// rs1_val==3 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x333, x3, 1392, x1) - -inst_359: -// rs1_val==3 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x5, x3, 1396, x1) - -inst_360: -// rs1_val==3 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x556, x3, 1400, x1) - -inst_361: -// rs1_val==3 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x555, x3, 1404, x1) - -inst_362: -// rs1_val==3 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x3, x3, 1408, x1) - -inst_363: -// rs1_val==1717986917 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1412, x1) - -inst_364: -// rs1_val==1717986917 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x665, x3, 1416, x1) - -inst_365: -// rs1_val==1717986917 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x332, x3, 1420, x1) - -inst_366: -// rs1_val==1717986917 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x554, x3, 1424, x1) - -inst_367: -// rs1_val==1717986917 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1428, x1) - -inst_368: -// rs1_val==1717986917 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2d, x3, 1432, x1) - -inst_369: -// rs1_val==1717986917 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666665, -0x2d, x3, 1436, x1) - -inst_370: -// rs1_val==1717986917 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x666, x3, 1440, x1) - -inst_371: -// rs1_val==1717986917 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x333, x3, 1444, x1) - -inst_372: -// rs1_val==1717986917 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x5, x3, 1448, x1) - -inst_373: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x556, x3, 1452, x1) - -inst_374: -// rs1_val==1717986917 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x555, x3, 1456, x1) - -inst_375: -// rs1_val==1717986917 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x3, x3, 1460, x1) - -inst_376: -// rs1_val==858993458 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2e, x3, 1464, x1) - -inst_377: -// rs1_val==858993458 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x33333332, -0x2c, x3, 1468, x1) - -inst_378: -// rs1_val==858993458 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x667, x3, 1472, x1) - -inst_379: -// rs1_val==858993458 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x334, x3, 1476, x1) - -inst_380: -// rs1_val==858993458 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x6, x3, 1480, x1) - -inst_381: -// rs1_val==858993458 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333332, -0x555, x3, 1484, x1) - -inst_382: -// rs1_val==858993458 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x556, x3, 1488, x1) - -inst_383: -// rs1_val==858993458 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1492, x1) - -inst_384: -// rs1_val==858993458 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2c, x3, 1496, x1) - -inst_385: -// rs1_val==858993458 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1500, x1) - -inst_386: -// rs1_val==858993458 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x665, x3, 1504, x1) - -inst_387: -// rs1_val==858993458 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x332, x3, 1508, x1) - -inst_388: -// rs1_val==858993458 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x554, x3, 1512, x1) - -inst_389: -// rs1_val==858993458 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x2, x3, 1516, x1) - -inst_390: -// rs1_val==858993458 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333332, 0x2d, x3, 1520, x1) - -inst_391: -// rs1_val==858993458 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333332, -0x2d, x3, 1524, x1) - -inst_392: -// rs1_val==858993458 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x666, x3, 1528, x1) - -inst_393: -// rs1_val==858993458 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x333, x3, 1532, x1) - -inst_394: -// rs1_val==858993458 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1536, x1) - -inst_395: -// rs1_val==858993458 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbba, 0x33333332, -0x556, x3, 1540, x1) - -inst_396: -// rs1_val==858993458 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x555, x3, 1544, x1) - -inst_397: -// rs1_val==858993458 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x3, x3, 1548, x1) - -inst_398: -// rs1_val==1431655764 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555554, 0x2e, x3, 1552, x1) - -inst_399: -// rs1_val==1431655764 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x55555554, -0x2c, x3, 1556, x1) - -inst_400: -// rs1_val==1431655764 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x667, x3, 1560, x1) - -inst_401: -// rs1_val==1431655764 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555774, 0x55555554, 0x334, x3, 1564, x1) - -inst_402: -// rs1_val==1431655764 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x6, x3, 1568, x1) - -inst_403: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555554, -0x555, x3, 1572, x1) - -inst_404: -// rs1_val==1431655764 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x556, x3, 1576, x1) - -inst_405: -// rs1_val==1431655764 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x4, x3, 1580, x1) - -inst_406: -// rs1_val==1431655764 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557c, 0x55555554, 0x2c, x3, 1584, x1) - -inst_407: -// rs1_val==1431655764 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1588, x1) - -inst_408: -// rs1_val==1431655764 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555554, 0x665, x3, 1592, x1) - -inst_409: -// rs1_val==1431655764 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x332, x3, 1596, x1) - -inst_410: -// rs1_val==1431655764 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x554, x3, 1600, x1) - -inst_411: -// rs1_val==1431655764 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1604, x1) - -inst_412: -// rs1_val==1431655764 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555554, 0x2d, x3, 1608, x1) - -inst_413: -// rs1_val==1431655764 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555554, -0x2d, x3, 1612, x1) - -inst_414: -// rs1_val==1431655764 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x666, x3, 1616, x1) - -inst_415: -// rs1_val==1431655764 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x333, x3, 1620, x1) - -inst_416: -// rs1_val==1431655764 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x5, x3, 1624, x1) - -inst_417: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555554, -0x556, x3, 1628, x1) - -inst_418: -// rs1_val==1431655764 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x555, x3, 1632, x1) - -inst_419: -// rs1_val==1431655764 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1636, x1) - -inst_420: -// rs1_val==2 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2e, x3, 1640, x1) - -inst_421: -// rs1_val==2 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1644, x1) - -inst_422: -// rs1_val==2 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x667, x3, 1648, x1) - -inst_423: -// rs1_val==2 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x2, 0x334, x3, 1652, x1) - -inst_424: -// rs1_val==2 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x6, x3, 1656, x1) - -inst_425: -// rs1_val==2 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x2, -0x555, x3, 1660, x1) - -inst_426: -// rs1_val==2 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x556, x3, 1664, x1) - -inst_427: -// rs1_val==2 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x4, x3, 1668, x1) - -inst_428: -// rs1_val==2 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1672, x1) - -inst_429: -// rs1_val==2 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x0, x3, 1676, x1) - -inst_430: -// rs1_val==2 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x665, x3, 1680, x1) - -inst_431: -// rs1_val==2 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x332, 0x2, 0x332, x3, 1684, x1) - -inst_432: -// rs1_val==2 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x554, x3, 1688, x1) - -inst_433: -// rs1_val==2 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1692, x1) - -inst_434: -// rs1_val==2 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x2, -0x2d, x3, 1696, x1) - -inst_435: -// rs1_val==2 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x2, 0x666, x3, 1700, x1) - -inst_436: -// rs1_val==2 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x2, 0x333, x3, 1704, x1) - -inst_437: -// rs1_val==2 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x2, 0x5, x3, 1708, x1) - -inst_438: -// rs1_val==2 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x2, -0x556, x3, 1712, x1) - -inst_439: -// rs1_val==2 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x2, 0x555, x3, 1716, x1) - -inst_440: -// rs1_val==2 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x2, 0x3, x3, 1720, x1) - -inst_441: -// rs1_val==46340 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52e, 0xb504, 0x2e, x3, 1724, x1) - -inst_442: -// rs1_val==46340 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0xb504, -0x2c, x3, 1728, x1) - -inst_443: -// rs1_val==46340 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb504, 0x667, x3, 1732, x1) - -inst_444: -// rs1_val==46340 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb734, 0xb504, 0x334, x3, 1736, x1) - -inst_445: -// rs1_val==46340 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x6, x3, 1740, x1) - -inst_446: -// rs1_val==46340 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb504, -0x555, x3, 1744, x1) - -inst_447: -// rs1_val==46340 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb556, 0xb504, 0x556, x3, 1748, x1) - -inst_448: -// rs1_val==46340 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x4, x3, 1752, x1) - -inst_449: -// rs1_val==46340 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52c, 0xb504, 0x2c, x3, 1756, x1) - -inst_450: -// rs1_val==46340 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1760, x1) - -inst_451: -// rs1_val==46340 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb504, 0x665, x3, 1764, x1) - -inst_452: -// rs1_val==46340 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb736, 0xb504, 0x332, x3, 1768, x1) - -inst_453: -// rs1_val==46340 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb554, 0xb504, 0x554, x3, 1772, x1) - -inst_454: -// rs1_val==46340 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1776, x1) - -inst_455: -// rs1_val==46340 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb504, 0x2d, x3, 1780, x1) - -inst_456: -// rs1_val==46340 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb504, -0x2d, x3, 1784, x1) - -inst_457: -// rs1_val==46340 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb766, 0xb504, 0x666, x3, 1788, x1) - -inst_458: -// rs1_val==46340 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb504, 0x333, x3, 1792, x1) - -inst_459: -// rs1_val==46340 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb504, 0x5, x3, 1796, x1) - -inst_460: -// rs1_val==46340 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffae, 0xb504, -0x556, x3, 1800, x1) - -inst_461: -// rs1_val==46340 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb504, 0x555, x3, 1804, x1) - -inst_462: -// rs1_val==46340 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1808, x1) - -inst_463: -// rs1_val==-46340 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2e, x3, 1812, x1) - -inst_464: -// rs1_val==-46340 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffc, -0xb504, -0x2c, x3, 1816, x1) - -inst_465: -// rs1_val==-46340 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb504, 0x667, x3, 1820, x1) - -inst_466: -// rs1_val==-46340 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfc, -0xb504, 0x334, x3, 1824, x1) - -inst_467: -// rs1_val==-46340 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x6, x3, 1828, x1) - -inst_468: -// rs1_val==-46340 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb504, -0x555, x3, 1832, x1) - -inst_469: -// rs1_val==-46340 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffe, -0xb504, 0x556, x3, 1836, x1) - -inst_470: -// rs1_val==-46340 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x4, x3, 1840, x1) - -inst_471: -// rs1_val==-46340 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x2c, x3, 1844, x1) - -inst_472: -// rs1_val==-46340 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1848, x1) - -inst_473: -// rs1_val==-46340 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb504, 0x665, x3, 1852, x1) - -inst_474: -// rs1_val==-46340 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfe, -0xb504, 0x332, x3, 1856, x1) - -inst_475: -// rs1_val==-46340 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffc, -0xb504, 0x554, x3, 1860, x1) - -inst_476: -// rs1_val==-46340 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1864, x1) - -inst_477: -// rs1_val==-46340 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x2d, x3, 1868, x1) - -inst_478: -// rs1_val==-46340 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb504, -0x2d, x3, 1872, x1) - -inst_479: -// rs1_val==-46340 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffff4efe, -0xb504, 0x666, x3, 1876, x1) - -inst_480: -// rs1_val==-46340 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb504, 0x333, x3, 1880, x1) - -inst_481: -// rs1_val==-46340 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x5, x3, 1884, x1) - -inst_482: -// rs1_val==-46340 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffafe, -0xb504, -0x556, x3, 1888, x1) - -inst_483: -// rs1_val==-46340 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb504, 0x555, x3, 1892, x1) - -inst_484: -// rs1_val==-46340 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1896, x1) - -inst_485: -// rs1_val==1717986918 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2e, x3, 1900, x1) - -inst_486: -// rs1_val==1717986918 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x66666666, -0x2c, x3, 1904, x1) - -inst_487: -// rs1_val==1717986918 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x667, x3, 1908, x1) - -inst_488: -// rs1_val==1717986918 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x334, x3, 1912, x1) - -inst_489: -// rs1_val==1717986918 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x6, x3, 1916, x1) - -inst_490: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666666, -0x555, x3, 1920, x1) - -inst_491: -// rs1_val==1717986918 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x556, x3, 1924, x1) - -inst_492: -// rs1_val==1717986918 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x4, x3, 1928, x1) - -inst_493: -// rs1_val==1717986918 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2c, x3, 1932, x1) - -inst_494: -// rs1_val==1717986918 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1936, x1) - -inst_495: -// rs1_val==1717986918 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x665, x3, 1940, x1) - -inst_496: -// rs1_val==1717986918 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x332, x3, 1944, x1) - -inst_497: -// rs1_val==1717986918 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x554, x3, 1948, x1) - -inst_498: -// rs1_val==1717986918 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x2, x3, 1952, x1) - -inst_499: -// rs1_val==1717986918 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666666, 0x2d, x3, 1956, x1) - -inst_500: -// rs1_val==1717986918 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666666, -0x2d, x3, 1960, x1) - -inst_501: -// rs1_val==1717986918 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x666, x3, 1964, x1) - -inst_502: -// rs1_val==1717986918 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x333, x3, 1968, x1) - -inst_503: -// rs1_val==1717986918 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x5, x3, 1972, x1) - -inst_504: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeee, 0x66666666, -0x556, x3, 1976, x1) - -inst_505: -// rs1_val==1717986918 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x555, x3, 1980, x1) - -inst_506: -// rs1_val==1717986918 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x3, x3, 1984, x1) - -inst_507: -// rs1_val==858993459 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2e, x3, 1988, x1) - -inst_508: -// rs1_val==858993459 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333333, -0x2c, x3, 1992, x1) - -inst_509: -// rs1_val==858993459 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x667, x3, 1996, x1) - -inst_510: -// rs1_val==858993459 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x334, x3, 2000, x1) - -inst_511: -// rs1_val==858993459 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x6, x3, 2004, x1) - -inst_512: -// rs1_val==858993459 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x555, x3, 2008, x1) - -inst_513: -// rs1_val==858993459 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x556, x3, 2012, x1) - -inst_514: -// rs1_val==858993459 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2016, x1) - -inst_515: -// rs1_val==858993459 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2c, x3, 2020, x1) - -inst_516: -// rs1_val==858993459 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2024, x1) - -inst_517: -// rs1_val==858993459 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x665, x3, 2028, x1) - -inst_518: -// rs1_val==858993459 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x332, x3, 2032, x1) - -inst_519: -// rs1_val==858993459 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x554, x3, 2036, x1) - -inst_520: -// rs1_val==858993459 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x2, x3, 2040, x1) - -inst_521: -// rs1_val==858993459 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2d, x3, 2044, x1) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_522: -// rs1_val==858993459 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333333, -0x2d, x3, 0, x1) - -inst_523: -// rs1_val==858993459 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x666, x3, 4, x1) - -inst_524: -// rs1_val==858993459 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x333, x3, 8, x1) - -inst_525: -// rs1_val==858993459 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x5, x3, 12, x1) - -inst_526: -// rs1_val==858993459 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x556, x3, 16, x1) - -inst_527: -// rs1_val==858993459 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x555, x3, 20, x1) - -inst_528: -// rs1_val==858993459 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x3, x3, 24, x1) - -inst_529: -// rs1_val==5 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x5, 0x2e, x3, 28, x1) - -inst_530: -// rs1_val==5 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x5, -0x2c, x3, 32, x1) - -inst_531: -// rs1_val==5 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x667, x3, 36, x1) - -inst_532: -// rs1_val==5 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x335, 0x5, 0x334, x3, 40, x1) - -inst_533: -// rs1_val==5 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x6, x3, 44, x1) - -inst_534: -// rs1_val==5 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x555, x3, 48, x1) - -inst_535: -// rs1_val==5 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x5, 0x556, x3, 52, x1) - -inst_536: -// rs1_val==5 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x4, x3, 56, x1) - -inst_537: -// rs1_val==5 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2c, x3, 60, x1) - -inst_538: -// rs1_val==5 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x0, x3, 64, x1) - -inst_539: -// rs1_val==5 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x5, 0x665, x3, 68, x1) - -inst_540: -// rs1_val==5 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x332, x3, 72, x1) - -inst_541: -// rs1_val==5 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x554, x3, 76, x1) - -inst_542: -// rs1_val==5 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x2, x3, 80, x1) - -inst_543: -// rs1_val==5 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2d, x3, 84, x1) - -inst_544: -// rs1_val==5 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x5, -0x2d, x3, 88, x1) - -inst_545: -// rs1_val==5 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x666, x3, 92, x1) - -inst_546: -// rs1_val==5 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x333, x3, 96, x1) - -inst_547: -// rs1_val==5 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x5, x3, 100, x1) - -inst_548: -// rs1_val==5 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 104, x1) - -inst_549: -// rs1_val==5 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x555, x3, 108, x1) - -inst_550: -// rs1_val==5 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x3, x3, 112, x1) - -inst_551: -// rs1_val==-1431655766 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2e, x3, 116, x1) - -inst_552: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, -0x55555556, -0x2c, x3, 120, x1) - -inst_553: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x667, x3, 124, x1) - -inst_554: -// rs1_val==-1431655766 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbe, -0x55555556, 0x334, x3, 128, x1) - -inst_555: -// rs1_val==-1431655766 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x6, x3, 132, x1) - -inst_556: -// rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: ori ; op1:x10; dest:x11; op1val:0x7fffffff; immval:0x3ff -TEST_IMM_OP( ori, x11, x10, 0x7fffffff, 0x7fffffff, 0x3ff, x3, 136, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 35*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S deleted file mode 100644 index 9fc9f1ca9..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S +++ /dev/null @@ -1,469 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sb instruction of the RISC-V E extension for the sb-align covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sb-align) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2, rs1==x4, rs2==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 -// opcode: sb; op1:x4; op2:x0; op2val:0x0; immval:0x8; align:0 -TEST_STORE(x3,x5,0,x4,x0,0x0,0x8,0,sb,0) - -inst_1: -// rs1==x8, rs2==x11, rs2_val == 2147483647, imm_val < 0, ea_align == 0 and (imm_val % 4) == 3, rs2_val == (2**(xlen-1)-1) -// opcode: sb; op1:x8; op2:x11; op2val:0x7fffffff; immval:-0x41; align:0 -TEST_STORE(x3,x5,0,x8,x11,0x7fffffff,-0x41,4,sb,0) - -inst_2: -// rs1==x1, rs2==x15, rs2_val == -1073741825, -// opcode: sb; op1:x1; op2:x15; op2val:-0x40000001; immval:-0x11; align:0 -TEST_STORE(x3,x5,0,x1,x15,-0x40000001,-0x11,8,sb,0) - -inst_3: -// rs1==x14, rs2==x2, rs2_val == -536870913, -// opcode: sb; op1:x14; op2:x2; op2val:-0x20000001; immval:-0x101; align:0 -TEST_STORE(x3,x5,0,x14,x2,-0x20000001,-0x101,12,sb,0) - -inst_4: -// rs1==x15, rs2==x8, rs2_val == -268435457, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sb; op1:x15; op2:x8; op2val:-0x10000001; immval:-0x3; align:0 -TEST_STORE(x3,x5,0,x15,x8,-0x10000001,-0x3,16,sb,0) - -inst_5: -// rs1==x6, rs2==x7, rs2_val == -134217729, -// opcode: sb; op1:x6; op2:x7; op2val:-0x8000001; immval:-0x8; align:0 -TEST_STORE(x3,x5,0,x6,x7,-0x8000001,-0x8,20,sb,0) - -inst_6: -// rs1==x2, rs2==x10, rs2_val == -67108865, -// opcode: sb; op1:x2; op2:x10; op2val:-0x4000001; immval:0x7ff; align:0 -TEST_STORE(x3,x5,0,x2,x10,-0x4000001,0x7ff,24,sb,0) - -inst_7: -// rs1==x13, rs2==x6, rs2_val == -33554433, -// opcode: sb; op1:x13; op2:x6; op2val:-0x2000001; immval:0x555; align:0 -TEST_STORE(x3,x5,0,x13,x6,-0x2000001,0x555,28,sb,0) - -inst_8: -// rs1==x7, rs2==x4, rs2_val == -16777217, -// opcode: sb; op1:x7; op2:x4; op2val:-0x1000001; immval:0x100; align:0 -TEST_STORE(x3,x2,0,x7,x4,-0x1000001,0x100,32,sb,0) - -inst_9: -// rs1==x9, rs2==x1, rs2_val == -8388609, -// opcode: sb; op1:x9; op2:x1; op2val:-0x800001; immval:-0x3; align:0 -TEST_STORE(x3,x2,0,x9,x1,-0x800001,-0x3,36,sb,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x10, rs2==x12, rs2_val == -4194305, -// opcode: sb; op1:x10; op2:x12; op2val:-0x400001; immval:0x1; align:0 -TEST_STORE(x1,x2,0,x10,x12,-0x400001,0x1,0,sb,0) - -inst_11: -// rs1==x3, rs2==x13, rs2_val == -2097153, -// opcode: sb; op1:x3; op2:x13; op2val:-0x200001; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x3,x13,-0x200001,0x80,4,sb,0) - -inst_12: -// rs1==x11, rs2==x5, rs2_val == -1048577, -// opcode: sb; op1:x11; op2:x5; op2val:-0x100001; immval:-0x401; align:0 -TEST_STORE(x1,x2,0,x11,x5,-0x100001,-0x401,8,sb,0) - -inst_13: -// rs1==x5, rs2==x9, rs2_val == -524289, -// opcode: sb; op1:x5; op2:x9; op2val:-0x80001; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x5,x9,-0x80001,-0x800,12,sb,0) - -inst_14: -// rs1==x12, rs2==x3, rs2_val == -262145, -// opcode: sb; op1:x12; op2:x3; op2val:-0x40001; immval:-0x9; align:0 -TEST_STORE(x1,x2,0,x12,x3,-0x40001,-0x9,16,sb,0) - -inst_15: -// rs2==x14, rs2_val == -131073, -// opcode: sb; op1:x4; op2:x14; op2val:-0x20001; immval:0x8; align:0 -TEST_STORE(x1,x2,0,x4,x14,-0x20001,0x8,20,sb,0) - -inst_16: -// rs2_val == -65537, -// opcode: sb; op1:x10; op2:x11; op2val:-0x10001; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x10001,-0x800,24,sb,0) - -inst_17: -// rs2_val == -32769, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8001; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x8001,0x9,28,sb,0) - -inst_18: -// rs2_val == -16385, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sb; op1:x10; op2:x11; op2val:-0x4001; immval:-0x556; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x4001,-0x556,32,sb,0) - -inst_19: -// rs2_val == -8193, -// opcode: sb; op1:x10; op2:x11; op2val:-0x2001; immval:0x7ff; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x2001,0x7ff,36,sb,0) - -inst_20: -// rs2_val == -4097, -// opcode: sb; op1:x10; op2:x11; op2val:-0x1001; immval:0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x1001,0x7,40,sb,0) - -inst_21: -// rs2_val == -2049, -// opcode: sb; op1:x10; op2:x11; op2val:-0x801; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x801,-0x800,44,sb,0) - -inst_22: -// rs2_val == -1025, -// opcode: sb; op1:x10; op2:x11; op2val:-0x401; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x401,0x9,48,sb,0) - -inst_23: -// rs2_val == -513, -// opcode: sb; op1:x10; op2:x11; op2val:-0x201; immval:-0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x201,-0x3,52,sb,0) - -inst_24: -// rs2_val == -257, -// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x101,-0x41,56,sb,0) - -inst_25: -// rs2_val == -129, -// opcode: sb; op1:x10; op2:x11; op2val:-0x81; immval:0x2; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x81,0x2,60,sb,0) - -inst_26: -// rs2_val == -65, -// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x41,-0xa,64,sb,0) - -inst_27: -// rs2_val == -33, -// opcode: sb; op1:x10; op2:x11; op2val:-0x21; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x21,-0x41,68,sb,0) - -inst_28: -// rs2_val == -17, -// opcode: sb; op1:x10; op2:x11; op2val:-0x11; immval:0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x11,0x3,72,sb,0) - -inst_29: -// rs2_val == -9, -// opcode: sb; op1:x10; op2:x11; op2val:-0x9; immval:0x10; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x9,0x10,76,sb,0) - -inst_30: -// rs2_val == -5, -// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:-0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x5,-0x7,80,sb,0) - -inst_31: -// rs2_val == -3, -// opcode: sb; op1:x10; op2:x11; op2val:-0x3; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x3,0x555,84,sb,0) - -inst_32: -// rs2_val == -2, -// opcode: sb; op1:x10; op2:x11; op2val:-0x2; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x2,-0xa,88,sb,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sb; op1:x10; op2:x11; op2val:-0x80000000; immval:-0x8; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x80000000,-0x8,92,sb,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sb; op1:x10; op2:x11; op2val:0x40000000; immval:-0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40000000,-0x5,96,sb,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sb; op1:x10; op2:x11; op2val:0x20000000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20000000,-0x41,100,sb,0) - -inst_36: -// rs2_val == 268435456, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0xa,104,sb,0) - -inst_37: -// rs2_val == 134217728, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000000; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x8000000,0x555,108,sb,0) - -inst_38: -// rs2_val == 67108864, -// opcode: sb; op1:x10; op2:x11; op2val:0x4000000; immval:-0x81; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4000000,-0x81,112,sb,0) - -inst_39: -// rs2_val == 33554432, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,116,sb,0) - -inst_40: -// rs2_val == 16777216, -// opcode: sb; op1:x10; op2:x11; op2val:0x1000000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1000000,-0x41,120,sb,0) - -inst_41: -// rs2_val == 8388608, -// opcode: sb; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x800000,-0x5,124,sb,0) - -inst_42: -// rs2_val == 4194304, -// opcode: sb; op1:x10; op2:x11; op2val:0x400000; immval:-0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x400000,-0x9,128,sb,0) - -inst_43: -// rs2_val == 2097152, -// opcode: sb; op1:x10; op2:x11; op2val:0x200000; immval:0x7ff; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x200000,0x7ff,132,sb,0) - -inst_44: -// rs2_val == 1048576, -// opcode: sb; op1:x10; op2:x11; op2val:0x100000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x100000,-0x41,136,sb,0) - -inst_45: -// rs2_val == 524288, -// opcode: sb; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x80000,-0x3,140,sb,0) - -inst_46: -// rs2_val == 262144, -// opcode: sb; op1:x10; op2:x11; op2val:0x40000; immval:0x6; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40000,0x6,144,sb,0) - -inst_47: -// rs2_val == 131072, -// opcode: sb; op1:x10; op2:x11; op2val:0x20000; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20000,0x80,148,sb,0) - -inst_48: -// rs2_val == 65536, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x10000,0x555,152,sb,0) - -inst_49: -// rs2_val == 32768, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x8000,0x5,156,sb,0) - -inst_50: -// rs2_val == 1, -// opcode: sb; op1:x10; op2:x11; op2val:0x1; immval:0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1,0x400,160,sb,0) - -inst_51: -// rs2_val == -1431655766, -// opcode: sb; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x6; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x55555556,-0x6,164,sb,0) - -inst_52: -// rs2_val == 1431655765, -// opcode: sb; op1:x10; op2:x11; op2val:0x55555555; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x55555555,0x555,168,sb,0) - -inst_53: -// ea_align == 1 and (imm_val % 4) == 0, -// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:0x20; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x5,0x20,172,sb,1) - -inst_54: -// ea_align == 1 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:0x5; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x101,0x5,176,sb,1) - -inst_55: -// ea_align == 1 and (imm_val % 4) == 2, rs2_val == 8 -// opcode: sb; op1:x10; op2:x11; op2val:0x8; immval:-0x2; align:1 -TEST_STORE(x1,x2,0,x10,x11,0x8,-0x2,180,sb,1) - -inst_56: -// ea_align == 1 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:-0x201; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x8,-0x201,184,sb,1) - -inst_57: -// ea_align == 2 and (imm_val % 4) == 0, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:2 -TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,188,sb,2) - -inst_58: -// ea_align == 2 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:0x9; align:2 -TEST_STORE(x1,x2,0,x10,x11,-0x41,0x9,192,sb,2) - -inst_59: -// ea_align == 2 and (imm_val % 4) == 2, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:0x2; align:2 -TEST_STORE(x1,x2,0,x10,x11,-0x8,0x2,196,sb,2) - -inst_60: -// ea_align == 2 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:0x9; immval:-0x5; align:2 -TEST_STORE(x1,x2,0,x10,x11,0x9,-0x5,200,sb,2) - -inst_61: -// ea_align == 3 and (imm_val % 4) == 0, rs2_val == 16 -// opcode: sb; op1:x10; op2:x11; op2val:0x10; immval:0x400; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x10,0x400,204,sb,3) - -inst_62: -// ea_align == 3 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x200001; immval:0x555; align:3 -TEST_STORE(x1,x2,0,x10,x11,-0x200001,0x555,208,sb,3) - -inst_63: -// ea_align == 3 and (imm_val % 4) == 2, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0x556; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0x556,212,sb,3) - -inst_64: -// rs2_val == 64, -// opcode: sb; op1:x10; op2:x11; op2val:0x40; immval:0x20; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40,0x20,216,sb,0) - -inst_65: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x3ff; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x8000,0x3ff,220,sb,3) - -inst_66: -// rs2_val == 16384, imm_val == 0 -// opcode: sb; op1:x10; op2:x11; op2val:0x4000; immval:0x0; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4000,0x0,224,sb,0) - -inst_67: -// rs2_val == 8192, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000; immval:0x40; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2000,0x40,228,sb,0) - -inst_68: -// rs2_val == 4096, -// opcode: sb; op1:x10; op2:x11; op2val:0x1000; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1000,0x9,232,sb,0) - -inst_69: -// rs2_val == 2048, -// opcode: sb; op1:x10; op2:x11; op2val:0x800; immval:-0x556; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x800,-0x556,236,sb,0) - -inst_70: -// rs2_val == 512, -// opcode: sb; op1:x10; op2:x11; op2val:0x200; immval:0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x200,0x5,240,sb,0) - -inst_71: -// rs2_val == 1024, -// opcode: sb; op1:x10; op2:x11; op2val:0x400; immval:-0x11; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x400,-0x11,244,sb,0) - -inst_72: -// rs2_val == 0, -// opcode: sb; op1:x10; op2:x11; op2val:0x0; immval:-0x11; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x0,-0x11,248,sb,0) - -inst_73: -// rs2_val == 256, -// opcode: sb; op1:x10; op2:x11; op2val:0x100; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x100,0x80,252,sb,0) - -inst_74: -// rs2_val == 128, -// opcode: sb; op1:x10; op2:x11; op2val:0x80; immval:0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x80,0x7,256,sb,0) - -inst_75: -// rs2_val == 32, -// opcode: sb; op1:x10; op2:x11; op2val:0x20; immval:0x200; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20,0x200,260,sb,0) - -inst_76: -// rs2_val == 4, -// opcode: sb; op1:x10; op2:x11; op2val:0x4; immval:0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4,0x3,264,sb,0) - -inst_77: -// rs2_val == 2, -// opcode: sb; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2,0x400,268,sb,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 68*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S deleted file mode 100644 index 17354ee56..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S +++ /dev/null @@ -1,439 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sh instruction of the RISC-V E extension for the sh-align covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sh-align) - -RVTEST_SIGBASE( x8,signature_x8_1) - -inst_0: -// rs1 != rs2, rs1==x6, rs2==x4, ea_align == 0 and (imm_val % 4) == 0, rs2_val == 1, imm_val > 0 -// opcode: sh; op1:x6; op2:x4; op2val:0x1; immval:0x4; align:0 -TEST_STORE(x8,x12,0,x6,x4,0x1,0x4,0,sh,0) - -inst_1: -// rs1==x10, rs2==x5, rs2_val == 2147483647, imm_val == 0, rs2_val == (2**(xlen-1)-1) -// opcode: sh; op1:x10; op2:x5; op2val:0x7fffffff; immval:0x0; align:0 -TEST_STORE(x8,x12,0,x10,x5,0x7fffffff,0x0,4,sh,0) - -inst_2: -// rs1==x9, rs2==x10, rs2_val == -1073741825, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sh; op1:x9; op2:x10; op2val:-0x40000001; immval:0x2; align:0 -TEST_STORE(x8,x12,0,x9,x10,-0x40000001,0x2,8,sh,0) - -inst_3: -// rs1==x2, rs2==x11, rs2_val == -536870913, imm_val < 0 -// opcode: sh; op1:x2; op2:x11; op2val:-0x20000001; immval:-0x8; align:0 -TEST_STORE(x8,x12,0,x2,x11,-0x20000001,-0x8,12,sh,0) - -inst_4: -// rs1==x13, rs2==x1, rs2_val == -268435457, -// opcode: sh; op1:x13; op2:x1; op2val:-0x10000001; immval:0x8; align:0 -TEST_STORE(x8,x12,0,x13,x1,-0x10000001,0x8,16,sh,0) - -inst_5: -// rs1==x7, rs2==x3, rs2_val == -134217729, -// opcode: sh; op1:x7; op2:x3; op2val:-0x8000001; immval:0x80; align:0 -TEST_STORE(x8,x12,0,x7,x3,-0x8000001,0x80,20,sh,0) - -inst_6: -// rs1==x3, rs2==x6, rs2_val == -67108865, -// opcode: sh; op1:x3; op2:x6; op2val:-0x4000001; immval:0x6; align:0 -TEST_STORE(x8,x9,0,x3,x6,-0x4000001,0x6,24,sh,0) - -inst_7: -// rs1==x12, rs2==x2, rs2_val == -33554433, ea_align == 0 and (imm_val % 4) == 3 -// opcode: sh; op1:x12; op2:x2; op2val:-0x2000001; immval:0x7; align:0 -TEST_STORE(x8,x9,0,x12,x2,-0x2000001,0x7,28,sh,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x5, rs2==x13, rs2_val == -16777217, -// opcode: sh; op1:x5; op2:x13; op2val:-0x1000001; immval:-0x800; align:0 -TEST_STORE(x2,x9,0,x5,x13,-0x1000001,-0x800,0,sh,0) - -inst_9: -// rs1==x1, rs2==x15, rs2_val == -8388609, -// opcode: sh; op1:x1; op2:x15; op2val:-0x800001; immval:0x3; align:0 -TEST_STORE(x2,x9,0,x1,x15,-0x800001,0x3,4,sh,0) - -inst_10: -// rs1==x15, rs2==x7, rs2_val == -4194305, -// opcode: sh; op1:x15; op2:x7; op2val:-0x400001; immval:0x40; align:0 -TEST_STORE(x2,x9,0,x15,x7,-0x400001,0x40,8,sh,0) - -inst_11: -// rs1==x14, rs2==x12, rs2_val == -2097153, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sh; op1:x14; op2:x12; op2val:-0x200001; immval:0x5; align:0 -TEST_STORE(x2,x9,0,x14,x12,-0x200001,0x5,12,sh,0) - -inst_12: -// rs1==x4, rs2==x14, rs2_val == -1048577, -// opcode: sh; op1:x4; op2:x14; op2val:-0x100001; immval:-0x41; align:0 -TEST_STORE(x2,x9,0,x4,x14,-0x100001,-0x41,16,sh,0) - -inst_13: -// rs1==x11, rs2==x0, rs2_val == -524289, -// opcode: sh; op1:x11; op2:x0; op2val:0x0; immval:-0x5; align:0 -TEST_STORE(x2,x3,0,x11,x0,0x0,-0x5,20,sh,0) - -inst_14: -// rs1==x8, rs2==x9, rs2_val == -262145, -// opcode: sh; op1:x8; op2:x9; op2val:-0x40001; immval:-0x400; align:0 -TEST_STORE(x2,x3,0,x8,x9,-0x40001,-0x400,24,sh,0) - -inst_15: -// rs2==x8, rs2_val == -131073, -// opcode: sh; op1:x11; op2:x8; op2val:-0x20001; immval:0x20; align:0 -TEST_STORE(x2,x3,0,x11,x8,-0x20001,0x20,28,sh,0) - -inst_16: -// rs2_val == -65537, -// opcode: sh; op1:x10; op2:x11; op2val:-0x10001; immval:-0x9; align:0 -TEST_STORE(x2,x3,0,x10,x11,-0x10001,-0x9,32,sh,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs2_val == -32769, -// opcode: sh; op1:x10; op2:x11; op2val:-0x8001; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x9,0,sh,0) - -inst_18: -// rs2_val == -16385, -// opcode: sh; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,4,sh,0) - -inst_19: -// rs2_val == -8193, -// opcode: sh; op1:x10; op2:x11; op2val:-0x2001; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2001,-0x2,8,sh,0) - -inst_20: -// rs2_val == -4097, -// opcode: sh; op1:x10; op2:x11; op2val:-0x1001; immval:0x10; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x10,12,sh,0) - -inst_21: -// rs2_val == -2049, -// opcode: sh; op1:x10; op2:x11; op2val:-0x801; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x801,-0x556,16,sh,0) - -inst_22: -// rs2_val == -1025, -// opcode: sh; op1:x10; op2:x11; op2val:-0x401; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x8,20,sh,0) - -inst_23: -// rs2_val == -513, -// opcode: sh; op1:x10; op2:x11; op2val:-0x201; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x201,-0x800,24,sh,0) - -inst_24: -// rs2_val == -257, -// opcode: sh; op1:x10; op2:x11; op2val:-0x101; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x2,28,sh,0) - -inst_25: -// rs2_val == -129, -// opcode: sh; op1:x10; op2:x11; op2val:-0x81; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x81,0x40,32,sh,0) - -inst_26: -// rs2_val == -65, -// opcode: sh; op1:x10; op2:x11; op2val:-0x41; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x41,0x100,36,sh,0) - -inst_27: -// rs2_val == -33, -// opcode: sh; op1:x10; op2:x11; op2val:-0x21; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x21,-0x81,40,sh,0) - -inst_28: -// rs2_val == -17, -// opcode: sh; op1:x10; op2:x11; op2val:-0x11; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x11,-0x401,44,sh,0) - -inst_29: -// rs2_val == -9, -// opcode: sh; op1:x10; op2:x11; op2val:-0x9; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x9,-0x800,48,sh,0) - -inst_30: -// rs2_val == -5, -// opcode: sh; op1:x10; op2:x11; op2val:-0x5; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x5,-0x800,52,sh,0) - -inst_31: -// rs2_val == -3, -// opcode: sh; op1:x10; op2:x11; op2val:-0x3; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x3,0x200,56,sh,0) - -inst_32: -// rs2_val == -2, -// opcode: sh; op1:x10; op2:x11; op2val:-0x2; immval:-0x21; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x21,60,sh,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sh; op1:x10; op2:x11; op2val:-0x80000000; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x40,64,sh,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sh; op1:x10; op2:x11; op2val:0x40000000; immval:-0x101; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x101,68,sh,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sh; op1:x10; op2:x11; op2val:0x20000000; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000000,0x2,72,sh,0) - -inst_36: -// rs2_val == 268435456, -// opcode: sh; op1:x10; op2:x11; op2val:0x10000000; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x401,76,sh,0) - -inst_37: -// rs2_val == 134217728, -// opcode: sh; op1:x10; op2:x11; op2val:0x8000000; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000000,-0xa,80,sh,0) - -inst_38: -// rs2_val == 67108864, -// opcode: sh; op1:x10; op2:x11; op2val:0x4000000; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000000,0x200,84,sh,0) - -inst_39: -// rs2_val == 33554432, -// opcode: sh; op1:x10; op2:x11; op2val:0x2000000; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000000,-0x81,88,sh,0) - -inst_40: -// rs2_val == -1431655766, -// opcode: sh; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,92,sh,0) - -inst_41: -// rs2_val == 1431655765, -// opcode: sh; op1:x10; op2:x11; op2val:0x55555555; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x55555555,-0x9,96,sh,0) - -inst_42: -// ea_align == 2 and (imm_val % 4) == 0, -// opcode: sh; op1:x10; op2:x11; op2val:-0x1000001; immval:-0x400; align:2 -TEST_STORE(x1,x3,0,x10,x11,-0x1000001,-0x400,100,sh,2) - -inst_43: -// ea_align == 2 and (imm_val % 4) == 1, rs2_val == 2048 -// opcode: sh; op1:x10; op2:x11; op2val:0x800; immval:-0x7; align:2 -TEST_STORE(x1,x3,0,x10,x11,0x800,-0x7,104,sh,2) - -inst_44: -// ea_align == 2 and (imm_val % 4) == 2, -// opcode: sh; op1:x10; op2:x11; op2val:0x3; immval:-0x556; align:2 -TEST_STORE(x1,x3,0,x10,x11,0x3,-0x556,108,sh,2) - -inst_45: -// ea_align == 2 and (imm_val % 4) == 3, -// opcode: sh; op1:x10; op2:x11; op2val:-0x6; immval:-0x1; align:2 -TEST_STORE(x1,x3,0,x10,x11,-0x6,-0x1,112,sh,2) - -inst_46: -// rs2_val == 0, -// opcode: sh; op1:x10; op2:x11; op2val:0x0; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x0,-0x81,116,sh,0) - -inst_47: -// rs2_val == 16777216, -// opcode: sh; op1:x10; op2:x11; op2val:0x1000000; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000000,-0x9,120,sh,0) - -inst_48: -// rs2_val == 8388608, -// opcode: sh; op1:x10; op2:x11; op2val:0x800000; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x2,124,sh,0) - -inst_49: -// rs2_val == 4194304, -// opcode: sh; op1:x10; op2:x11; op2val:0x400000; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400000,-0x11,128,sh,0) - -inst_50: -// rs2_val == 2097152, -// opcode: sh; op1:x10; op2:x11; op2val:0x200000; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200000,0x9,132,sh,0) - -inst_51: -// rs2_val == 1048576, -// opcode: sh; op1:x10; op2:x11; op2val:0x100000; immval:0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100000,0x4,136,sh,0) - -inst_52: -// rs2_val == 524288, -// opcode: sh; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80000,-0x3,140,sh,0) - -inst_53: -// rs2_val == 262144, -// opcode: sh; op1:x10; op2:x11; op2val:0x40000; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000,0x100,144,sh,0) - -inst_54: -// rs2_val == 131072, -// opcode: sh; op1:x10; op2:x11; op2val:0x20000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x201,148,sh,0) - -inst_55: -// rs2_val == 65536, -// opcode: sh; op1:x10; op2:x11; op2val:0x10000; immval:-0x6; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x6,152,sh,0) - -inst_56: -// rs2_val == 32768, -// opcode: sh; op1:x10; op2:x11; op2val:0x8000; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000,0x100,156,sh,0) - -inst_57: -// rs2_val == 16384, -// opcode: sh; op1:x10; op2:x11; op2val:0x4000; immval:-0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x400,160,sh,0) - -inst_58: -// rs2_val == 8192, -// opcode: sh; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,164,sh,0) - -inst_59: -// rs2_val == 4096, -// opcode: sh; op1:x10; op2:x11; op2val:0x1000; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000,-0x3,168,sh,0) - -inst_60: -// rs2_val == 1024, -// opcode: sh; op1:x10; op2:x11; op2val:0x400; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400,-0x81,172,sh,0) - -inst_61: -// rs2_val == 512, -// opcode: sh; op1:x10; op2:x11; op2val:0x200; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200,0x40,176,sh,0) - -inst_62: -// rs2_val == 256, -// opcode: sh; op1:x10; op2:x11; op2val:0x100; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100,-0x800,180,sh,0) - -inst_63: -// rs2_val == 128, -// opcode: sh; op1:x10; op2:x11; op2val:0x80; immval:-0x6; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80,-0x6,184,sh,0) - -inst_64: -// rs2_val == 64, -// opcode: sh; op1:x10; op2:x11; op2val:0x40; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40,-0x11,188,sh,0) - -inst_65: -// rs2_val == 32, -// opcode: sh; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,192,sh,0) - -inst_66: -// rs2_val == 16, -// opcode: sh; op1:x10; op2:x11; op2val:0x10; immval:-0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10,-0x7,196,sh,0) - -inst_67: -// rs2_val == 8, -// opcode: sh; op1:x10; op2:x11; op2val:0x8; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8,-0x3,200,sh,0) - -inst_68: -// rs2_val == 4, -// opcode: sh; op1:x10; op2:x11; op2val:0x4; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4,-0x4,204,sh,0) - -inst_69: -// rs2_val == 2, -// opcode: sh; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2,0x400,208,sh,0) - -inst_70: -// rs2_val == -524289, -// opcode: sh; op1:x10; op2:x11; op2val:-0x80001; immval:-0x5; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80001,-0x5,212,sh,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x8_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x8_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 54*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S deleted file mode 100644 index 3aedb337b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S +++ /dev/null @@ -1,524 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sll instruction of the RISC-V E extension for the sll covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sll) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x6, rs2==x13, rd==x1, rs1_val < 0 and rs2_val == 0, -// opcode: sll ; op1:x6; op2:x13; dest:x1; op1val:-0x40000000; op2val:0x0 -TEST_RR_OP(sll, x1, x6, x13, 0xc0000000, -0x40000000, 0x0, x2, 0, x7) - -inst_1: -// rs1 == rd != rs2, rs1==x4, rs2==x1, rd==x4, rs2_val == 15, rs1_val == -17, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x4; op2:x1; dest:x4; op1val:-0x11; op2val:0xf -TEST_RR_OP(sll, x4, x4, x1, 0xfff78000, -0x11, 0xf, x2, 4, x7) - -inst_2: -// rs2 == rd != rs1, rs1==x9, rs2==x11, rd==x11, rs2_val == 23, rs1_val == -257 -// opcode: sll ; op1:x9; op2:x11; dest:x11; op1val:-0x101; op2val:0x17 -TEST_RR_OP(sll, x11, x9, x11, 0x7f800000, -0x101, 0x17, x2, 8, x7) - -inst_3: -// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x9, rs2_val == 27, rs1_val==-46340 -// opcode: sll ; op1:x12; op2:x12; dest:x9; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(sll, x9, x12, x12, 0xc0000000, -0xb504, -0xb504, x2, 12, x7) - -inst_4: -// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 -TEST_RR_OP(sll, x0, x0, x0, 0, 0x0, 0x0, x2, 16, x7) - -inst_5: -// rs1==x5, rs2==x4, rd==x15, rs2_val == 30, rs1_val == -65 -// opcode: sll ; op1:x5; op2:x4; dest:x15; op1val:-0x41; op2val:0x1e -TEST_RR_OP(sll, x15, x5, x4, 0xc0000000, -0x41, 0x1e, x2, 20, x7) - -inst_6: -// rs1==x1, rs2==x10, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x1; op2:x10; dest:x3; op1val:0x7fffffff; op2val:0x9 -TEST_RR_OP(sll, x3, x1, x10, 0xfffffe00, 0x7fffffff, 0x9, x2, 24, x7) - -inst_7: -// rs1==x8, rs2==x15, rd==x10, rs1_val == -1073741825, -// opcode: sll ; op1:x8; op2:x15; dest:x10; op1val:-0x40000001; op2val:0x11 -TEST_RR_OP(sll, x10, x8, x15, 0xfffe0000, -0x40000001, 0x11, x2, 28, x4) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_8: -// rs1==x13, rs2==x6, rd==x12, rs1_val == -536870913, rs2_val == 1 -// opcode: sll ; op1:x13; op2:x6; dest:x12; op1val:-0x20000001; op2val:0x1 -TEST_RR_OP(sll, x12, x13, x6, 0xbffffffe, -0x20000001, 0x1, x1, 0, x4) - -inst_9: -// rs1==x3, rs2==x9, rd==x5, rs1_val == -268435457, -// opcode: sll ; op1:x3; op2:x9; dest:x5; op1val:-0x10000001; op2val:0xe -TEST_RR_OP(sll, x5, x3, x9, 0xffffc000, -0x10000001, 0xe, x1, 4, x4) - -inst_10: -// rs1==x7, rs2==x2, rd==x13, rs1_val == -134217729, -// opcode: sll ; op1:x7; op2:x2; dest:x13; op1val:-0x8000001; op2val:0x1e -TEST_RR_OP(sll, x13, x7, x2, 0xc0000000, -0x8000001, 0x1e, x1, 8, x4) - -inst_11: -// rs1==x11, rs2==x7, rd==x8, rs1_val == -67108865, -// opcode: sll ; op1:x11; op2:x7; dest:x8; op1val:-0x4000001; op2val:0x17 -TEST_RR_OP(sll, x8, x11, x7, 0xff800000, -0x4000001, 0x17, x1, 12, x4) - -inst_12: -// rs1==x10, rs2==x14, rd==x7, rs1_val == -33554433, rs2_val == 8 -// opcode: sll ; op1:x10; op2:x14; dest:x7; op1val:-0x2000001; op2val:0x8 -TEST_RR_OP(sll, x7, x10, x14, 0xffffff00, -0x2000001, 0x8, x1, 16, x4) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_13: -// rs1==x14, rs2==x8, rd==x6, rs1_val == -16777217, -// opcode: sll ; op1:x14; op2:x8; dest:x6; op1val:-0x1000001; op2val:0xd -TEST_RR_OP(sll, x6, x14, x8, 0xffffe000, -0x1000001, 0xd, x1, 0, x4) - -inst_14: -// rs1==x15, rs2==x3, rd==x2, rs1_val == -8388609, rs2_val == 21 -// opcode: sll ; op1:x15; op2:x3; dest:x2; op1val:-0x800001; op2val:0x15 -TEST_RR_OP(sll, x2, x15, x3, 0xffe00000, -0x800001, 0x15, x1, 4, x4) - -inst_15: -// rs1==x2, rs2==x5, rd==x14, rs1_val == -4194305, -// opcode: sll ; op1:x2; op2:x5; dest:x14; op1val:-0x400001; op2val:0xb -TEST_RR_OP(sll, x14, x2, x5, 0xfffff800, -0x400001, 0xb, x1, 8, x4) - -inst_16: -// rs1_val == -2097153, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xb -TEST_RR_OP(sll, x12, x10, x11, 0xfffff800, -0x200001, 0xb, x1, 12, x4) - -inst_17: -// rs1_val == -1048577, rs2_val == 2 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xffbffffc, -0x100001, 0x2, x1, 16, x2) - -inst_18: -// rs1_val == -524289, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x9 -TEST_RR_OP(sll, x12, x10, x11, 0xeffffe00, -0x80001, 0x9, x1, 20, x2) - -inst_19: -// rs1_val == -262145, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0xf -TEST_RR_OP(sll, x12, x10, x11, 0xffff8000, -0x40001, 0xf, x1, 24, x2) - -inst_20: -// rs1_val == -131073, rs2_val == 10 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0xf7fffc00, -0x20001, 0xa, x1, 28, x2) - -inst_21: -// rs1_val == -65537, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xfffbfffc, -0x10001, 0x2, x1, 32, x2) - -inst_22: -// rs1_val == -32769, rs2_val == 4 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0xfff7fff0, -0x8001, 0x4, x1, 36, x2) - -inst_23: -// rs1_val == -16385, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0xffffbfff, -0x4001, 0x0, x1, 40, x2) - -inst_24: -// rs1_val == -8193, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xd -TEST_RR_OP(sll, x12, x10, x11, 0xfbffe000, -0x2001, 0xd, x1, 44, x2) - -inst_25: -// rs1_val == -4097, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1e -TEST_RR_OP(sll, x12, x10, x11, 0xc0000000, -0x1001, 0x1e, x1, 48, x2) - -inst_26: -// rs1_val == -2049, rs2_val == 16 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xf7ff0000, -0x801, 0x10, x1, 52, x2) - -inst_27: -// rs1_val == -1025, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0xffff7fe0, -0x401, 0x5, x1, 56, x2) - -inst_28: -// rs1_val == -513, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0xffffbfe0, -0x201, 0x5, x1, 60, x2) - -inst_29: -// rs1_val == -129, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xff7f0000, -0x81, 0x10, x1, 64, x2) - -inst_30: -// rs1_val == -33, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xffdf0000, -0x21, 0x10, x1, 68, x2) - -inst_31: -// rs1_val == -9, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xfff70000, -0x9, 0x10, x1, 72, x2) - -inst_32: -// rs1_val == -5, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xf -TEST_RR_OP(sll, x12, x10, x11, 0xfffd8000, -0x5, 0xf, x1, 76, x2) - -inst_33: -// rs1_val == -3, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0xffffffd0, -0x3, 0x4, x1, 80, x2) - -inst_34: -// rs1_val == -2, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xfffffff8, -0x2, 0x2, x1, 84, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, -0x80000000, 0x1f, x1, 88, x2) - -inst_36: -// rs1_val == 1073741824, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000000, 0x17, x1, 92, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xb -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000000, 0xb, x1, 96, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 -TEST_RR_OP(sll, x12, x10, x11, 0x20000000, 0x10000000, 0x1, x1, 100, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8000000, 0x13, x1, 104, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4000000, 0x5, x1, 108, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x3 -TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2000000, 0x3, x1, 112, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x1000000, 0xa, x1, 116, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x800000, 0x4, x1, 120, x2) - -inst_44: -// rs1_val == 4194304, rs1_val > 0 and rs2_val == 0 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x400000, 0x0, x1, 124, x2) - -inst_45: -// rs1_val == 2097152, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200000, 0x12, x1, 128, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x100000, 0x1f, x1, 132, x2) - -inst_47: -// rs1_val == 524288, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7 -TEST_RR_OP(sll, x12, x10, x11, 0x4000000, 0x80000, 0x7, x1, 136, x2) - -inst_48: -// rs1_val == 262144, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000, 0x1b, x1, 140, x2) - -inst_49: -// rs1_val == 131072, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000, 0x10, x1, 144, x2) - -inst_50: -// rs1_val == 65536, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x10000, 0x11, x1, 148, x2) - -inst_51: -// rs1_val == 32768, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xc -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x8000, 0xc, x1, 152, x2) - -inst_52: -// rs1_val == 16384, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x4000, 0x12, x1, 156, x2) - -inst_53: -// rs1_val == 8192, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x2000, 0x8, x1, 160, x2) - -inst_54: -// rs1_val == 4096, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x1000, 0xa, x1, 164, x2) - -inst_55: -// rs1_val == 2048, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x800, 0xa, x1, 168, x2) - -inst_56: -// rs1_val == 1024, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x400, 0x11, x1, 172, x2) - -inst_57: -// rs1_val == 512, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200, 0x17, x1, 176, x2) - -inst_58: -// rs1_val == 256, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x7 -TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x100, 0x7, x1, 180, x2) - -inst_59: -// rs1_val == 128, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x80, 0x1f, x1, 184, x2) - -inst_60: -// rs1_val == 64, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x10000, 0x40, 0xa, x1, 188, x2) - -inst_61: -// rs1_val == 32, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x20, 0xa, x1, 192, x2) - -inst_62: -// rs1_val == 16, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x10, 0x17, x1, 196, x2) - -inst_63: -// rs1_val == 8, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x1d -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8, 0x1d, x1, 200, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2, 0x1b, x1, 204, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x1, 0x1f, x1, 208, x2) - -inst_66: -// rs1_val==46341, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0xa8280000, 0xb505, 0x13, x1, 212, x2) - -inst_67: -// rs1_val==-46339, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0xff4afd00, -0xb503, 0x8, x1, 216, x2) - -inst_68: -// rs1_val==1717986919, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0x9999999c, 0x66666667, 0x2, x1, 220, x2) - -inst_69: -// rs1_val==858993460, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0x66666680, 0x33333334, 0x5, x1, 224, x2) - -inst_70: -// rs1_val==6, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0xc0000, 0x6, 0x11, x1, 228, x2) - -inst_71: -// rs1_val==-1431655765, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x55560000, -0x55555555, 0x11, x1, 232, x2) - -inst_72: -// rs1_val==1431655766, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0xaab00000, 0x55555556, 0x13, x1, 236, x2) - -inst_73: -// rs1_val==46339, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 240, x2) - -inst_74: -// rs1_val==3, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x60000, 0x3, 0x11, x1, 244, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xaaaa0000, -0x55555556, 0x10, x1, 248, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x55540000, 0x55555555, 0x12, x1, 252, x2) - -inst_77: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xc -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x0, 0xc, x1, 256, x2) - -inst_78: -// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0x8, 0x2, 0x2, x1, 260, x2) - -inst_79: -// rs1_val==1717986917, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0x66666500, 0x66666665, 0x8, x1, 264, x2) - -inst_80: -// rs1_val==858993458, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xe -TEST_RR_OP(sll, x12, x10, x11, 0xcccc8000, 0x33333332, 0xe, x1, 268, x2) - -inst_81: -// rs1_val==1431655764, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 272, x2) - -inst_82: -// rs1_val==46340, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0xd4100000, 0xb504, 0x12, x1, 276, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x15 -TEST_RR_OP(sll, x12, x10, x11, 0xccc00000, 0x66666666, 0x15, x1, 280, x2) - -inst_84: -// rs1_val==858993459, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sll, x12, x10, x11, 0xccccccc0, 0x33333333, 0x6, x1, 284, x2) - -inst_85: -// rs1_val==5, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x1400, 0x5, 0xa, x1, 288, x2) - -inst_86: -// rs2_val == 27, rs1_val==-46340 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0xe0000000, -0xb504, 0x1b, x1, 292, x2) - -inst_87: -// rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1d -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4, 0x1d, x1, 296, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 75*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S deleted file mode 100644 index e098b8b32..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S +++ /dev/null @@ -1,529 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slli instruction of the RISC-V E extension for the slli covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slli) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 != rd, rs1==x2, rd==x10, rs1_val < 0 and imm_val == (xlen-1), rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x2; dest:x10; op1val:-0x1; immval:0x1f -TEST_IMM_OP( slli, x10, x2, 0x80000000, -0x1, 0x1f, x5, 0, x12) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, imm_val == 27, rs1_val > 0 and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0x1b -TEST_IMM_OP( slli, x3, x3, 0xf8000000, 0x7fffffff, 0x1b, x5, 4, x12) - -inst_2: -// rs1==x9, rd==x14, rs1_val == -1073741825, -// opcode: slli ; op1:x9; dest:x14; op1val:-0x40000001; immval:0x7 -TEST_IMM_OP( slli, x14, x9, 0xffffff80, -0x40000001, 0x7, x5, 8, x12) - -inst_3: -// rs1==x7, rd==x8, rs1_val == -536870913, imm_val == 1 -// opcode: slli ; op1:x7; dest:x8; op1val:-0x20000001; immval:0x1 -TEST_IMM_OP( slli, x8, x7, 0xbffffffe, -0x20000001, 0x1, x5, 12, x12) - -inst_4: -// rs1==x4, rd==x6, rs1_val == -268435457, -// opcode: slli ; op1:x4; dest:x6; op1val:-0x10000001; immval:0x12 -TEST_IMM_OP( slli, x6, x4, 0xfffc0000, -0x10000001, 0x12, x5, 16, x12) - -inst_5: -// rs1==x11, rd==x7, rs1_val == -134217729, rs1_val < 0 and imm_val == 0 -// opcode: slli ; op1:x11; dest:x7; op1val:-0x8000001; immval:0x0 -TEST_IMM_OP( slli, x7, x11, 0xf7ffffff, -0x8000001, 0x0, x5, 20, x12) - -inst_6: -// rs1==x0, rd==x1, rs1_val == -67108865, -// opcode: slli ; op1:x0; dest:x1; op1val:0x0; immval:0x7 -TEST_IMM_OP( slli, x1, x0, 0x0, 0x0, 0x7, x5, 24, x12) - -inst_7: -// rs1==x1, rd==x11, rs1_val == -33554433, imm_val == 15 -// opcode: slli ; op1:x1; dest:x11; op1val:-0x2000001; immval:0xf -TEST_IMM_OP( slli, x11, x1, 0xffff8000, -0x2000001, 0xf, x5, 28, x3) - -inst_8: -// rs1==x10, rd==x12, rs1_val == -16777217, -// opcode: slli ; op1:x10; dest:x12; op1val:-0x1000001; immval:0x13 -TEST_IMM_OP( slli, x12, x10, 0xfff80000, -0x1000001, 0x13, x5, 32, x3) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_9: -// rs1==x13, rd==x9, rs1_val == -8388609, imm_val == 16 -// opcode: slli ; op1:x13; dest:x9; op1val:-0x800001; immval:0x10 -TEST_IMM_OP( slli, x9, x13, 0xffff0000, -0x800001, 0x10, x1, 0, x3) - -inst_10: -// rs1==x5, rd==x13, rs1_val == -4194305, -// opcode: slli ; op1:x5; dest:x13; op1val:-0x400001; immval:0x1f -TEST_IMM_OP( slli, x13, x5, 0x80000000, -0x400001, 0x1f, x1, 4, x3) - -inst_11: -// rs1==x8, rd==x15, rs1_val == -2097153, -// opcode: slli ; op1:x8; dest:x15; op1val:-0x200001; immval:0x1b -TEST_IMM_OP( slli, x15, x8, 0xf8000000, -0x200001, 0x1b, x1, 8, x3) - -inst_12: -// rs1==x15, rd==x4, rs1_val == -1048577, -// opcode: slli ; op1:x15; dest:x4; op1val:-0x100001; immval:0xc -TEST_IMM_OP( slli, x4, x15, 0xfffff000, -0x100001, 0xc, x1, 12, x3) - -inst_13: -// rs1==x14, rd==x5, rs1_val == -524289, -// opcode: slli ; op1:x14; dest:x5; op1val:-0x80001; immval:0x9 -TEST_IMM_OP( slli, x5, x14, 0xeffffe00, -0x80001, 0x9, x1, 16, x3) - -inst_14: -// rs1==x6, rd==x2, rs1_val == -262145, -// opcode: slli ; op1:x6; dest:x2; op1val:-0x40001; immval:0x0 -TEST_IMM_OP( slli, x2, x6, 0xfffbffff, -0x40001, 0x0, x1, 20, x3) - -inst_15: -// rs1==x12, rd==x0, rs1_val == -131073, -// opcode: slli ; op1:x12; dest:x0; op1val:-0x20001; immval:0x10 -TEST_IMM_OP( slli, x0, x12, 0, -0x20001, 0x10, x1, 24, x2) - -inst_16: -// rs1_val == -65537, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0xf7fff800, -0x10001, 0xb, x1, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_17: -// rs1_val == -32769, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xfffe0000, -0x8001, 0x11, x1, 0, x2) - -inst_18: -// rs1_val == -16385, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0xf8000000, -0x4001, 0x1b, x1, 4, x2) - -inst_19: -// rs1_val == -8193, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xbffe0000, -0x2001, 0x11, x1, 8, x2) - -inst_20: -// rs1_val == -4097, imm_val == 29 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0xe0000000, -0x1001, 0x1d, x1, 12, x2) - -inst_21: -// rs1_val == -2049, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x801; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xfdffc000, -0x801, 0xe, x1, 16, x2) - -inst_22: -// rs1_val == -1025, imm_val == 23 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x401; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0xff800000, -0x401, 0x17, x1, 20, x2) - -inst_23: -// rs1_val == -513, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x201; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xff7fc000, -0x201, 0xe, x1, 24, x2) - -inst_24: -// rs1_val == -257, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x101; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xfdfe0000, -0x101, 0x11, x1, 28, x2) - -inst_25: -// rs1_val == -129, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xffdfc000, -0x81, 0xe, x1, 32, x2) - -inst_26: -// rs1_val == -65, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x41; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xffefc000, -0x41, 0xe, x1, 36, x2) - -inst_27: -// rs1_val == -33, imm_val == 8 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 -TEST_IMM_OP( slli, x11, x10, 0xffffdf00, -0x21, 0x8, x1, 40, x2) - -inst_28: -// rs1_val == -17, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0xfffffbc0, -0x11, 0x6, x1, 44, x2) - -inst_29: -// rs1_val == -9, imm_val == 4 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 -TEST_IMM_OP( slli, x11, x10, 0xffffff70, -0x9, 0x4, x1, 48, x2) - -inst_30: -// rs1_val == -5, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x5; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xfffb0000, -0x5, 0x10, x1, 52, x2) - -inst_31: -// rs1_val == -3, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 -TEST_IMM_OP( slli, x11, x10, 0xfffffa00, -0x3, 0x9, x1, 56, x2) - -inst_32: -// rs1_val == -2, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x2; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xffffff00, -0x2, 0x7, x1, 60, x2) - -inst_33: -// imm_val == 30, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 -// opcode: slli ; op1:x10; dest:x11; op1val:0x0; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x0, 0x1e, x1, 64, x2) - -inst_34: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0x0, -0x80000000, 0x17, x1, 68, x2) - -inst_35: -// rs1_val == 1073741824, imm_val == 21 -// opcode: slli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000000, 0x15, x1, 72, x2) - -inst_36: -// rs1_val == 536870912, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000000, 0x10, x1, 76, x2) - -inst_37: -// rs1_val == 268435456, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x10000000, 0x7, x1, 80, x2) - -inst_38: -// rs1_val == 134217728, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8000000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x8000000, 0x1e, x1, 84, x2) - -inst_39: -// rs1_val == 67108864, -// opcode: slli ; op1:x10; dest:x11; op1val:0x4000000; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x0, 0x4000000, 0xf, x1, 88, x2) - -inst_40: -// rs1_val == 33554432, -// opcode: slli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x2000000, 0x1e, x1, 92, x2) - -inst_41: -// rs1_val == 16777216, rs1_val > 0 and imm_val == (xlen-1) -// opcode: slli ; op1:x10; dest:x11; op1val:0x1000000; immval:0x1f -TEST_IMM_OP( slli, x11, x10, 0x0, 0x1000000, 0x1f, x1, 96, x2) - -inst_42: -// rs1_val == 8388608, -// opcode: slli ; op1:x10; dest:x11; op1val:0x800000; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x800000, 0x15, x1, 100, x2) - -inst_43: -// rs1_val == 4194304, rs1_val > 0 and imm_val == 0 -// opcode: slli ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400000, 0x0, x1, 104, x2) - -inst_44: -// rs1_val == 2097152, -// opcode: slli ; op1:x10; dest:x11; op1val:0x200000; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x200000, 0x6, x1, 108, x2) - -inst_45: -// rs1_val == 1048576, -// opcode: slli ; op1:x10; dest:x11; op1val:0x100000; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x100000, 0x11, x1, 112, x2) - -inst_46: -// rs1_val == 524288, -// opcode: slli ; op1:x10; dest:x11; op1val:0x80000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x80000, 0x1e, x1, 116, x2) - -inst_47: -// rs1_val == 262144, -// opcode: slli ; op1:x10; dest:x11; op1val:0x40000; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000, 0x13, x1, 120, x2) - -inst_48: -// rs1_val == 131072, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20000; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000, 0xf, x1, 124, x2) - -inst_49: -// rs1_val == 65536, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10000; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0x40000000, 0x10000, 0xe, x1, 128, x2) - -inst_50: -// rs1_val == 32768, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8000; immval:0xc -TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x8000, 0xc, x1, 132, x2) - -inst_51: -// rs1_val == 16384, -// opcode: slli ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x4000, 0x4000, 0x0, x1, 136, x2) - -inst_52: -// rs1_val == 8192, -// opcode: slli ; op1:x10; dest:x11; op1val:0x2000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x2000, 0x2000, 0x0, x1, 140, x2) - -inst_53: -// rs1_val == 4096, -// opcode: slli ; op1:x10; dest:x11; op1val:0x1000; immval:0x1 -TEST_IMM_OP( slli, x11, x10, 0x2000, 0x1000, 0x1, x1, 144, x2) - -inst_54: -// rs1_val == 2048, -// opcode: slli ; op1:x10; dest:x11; op1val:0x800; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x800, 0x15, x1, 148, x2) - -inst_55: -// rs1_val == 1024, -// opcode: slli ; op1:x10; dest:x11; op1val:0x400; immval:0xc -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400, 0xc, x1, 152, x2) - -inst_56: -// rs1_val == 512, -// opcode: slli ; op1:x10; dest:x11; op1val:0x200; immval:0x3 -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x200, 0x3, x1, 156, x2) - -inst_57: -// rs1_val == 256, -// opcode: slli ; op1:x10; dest:x11; op1val:0x100; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x20000000, 0x100, 0x15, x1, 160, x2) - -inst_58: -// rs1_val == 128, -// opcode: slli ; op1:x10; dest:x11; op1val:0x80; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0x0, 0x80, 0x1d, x1, 164, x2) - -inst_59: -// rs1_val == 64, -// opcode: slli ; op1:x10; dest:x11; op1val:0x40; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x40, 0x6, x1, 168, x2) - -inst_60: -// rs1_val == 32, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x10000, 0x20, 0xb, x1, 172, x2) - -inst_61: -// rs1_val == 16, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x10, 0x10, 0x0, x1, 176, x2) - -inst_62: -// rs1_val == 8, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x8, 0x13, x1, 180, x2) - -inst_63: -// rs1_val == 4, rs1_val==4, rs1_val == imm_val and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( slli, x11, x10, 0x40, 0x4, 0x4, x1, 184, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: slli ; op1:x10; dest:x11; op1val:0x2; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x2, 0xb, x1, 188, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:0x1; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0x4000, 0x1, 0xe, x1, 192, x2) - -inst_66: -// imm_val == 2, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0x2 -TEST_IMM_OP( slli, x11, x10, 0xfffffdfc, -0x81, 0x2, x1, 196, x2) - -inst_67: -// rs1_val==46341, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb505; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x5a828000, 0xb505, 0xf, x1, 200, x2) - -inst_68: -// rs1_val==-46339, -// opcode: slli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0x7e800000, -0xb503, 0x17, x1, 204, x2) - -inst_69: -// rs1_val==1717986919, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0x38000000, 0x66666667, 0x1b, x1, 208, x2) - -inst_70: -// rs1_val==858993460, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333334; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x99a00000, 0x33333334, 0x13, x1, 212, x2) - -inst_71: -// rs1_val==6, -// opcode: slli ; op1:x10; dest:x11; op1val:0x6; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x3000, 0x6, 0xb, x1, 216, x2) - -inst_72: -// rs1_val==-1431655765, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x12 -TEST_IMM_OP( slli, x11, x10, 0xaaac0000, -0x55555555, 0x12, x1, 220, x2) - -inst_73: -// rs1_val==1431655766, -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555556, 0x1e, x1, 224, x2) - -inst_74: -// rs1_val==3, -// opcode: slli ; op1:x10; dest:x11; op1val:0x3; immval:0x12 -TEST_IMM_OP( slli, x11, x10, 0xc0000, 0x3, 0x12, x1, 228, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xaaaa0000, -0x55555556, 0x10, x1, 232, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555555; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xaaaaaa80, 0x55555555, 0x7, x1, 236, x2) - -inst_77: -// imm_val == 10, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa -TEST_IMM_OP( slli, x11, x10, 0xffbffc00, -0x1001, 0xa, x1, 240, x2) - -inst_78: -// rs1_val==46339, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x2d40c0, 0xb503, 0x6, x1, 244, x2) - -inst_79: -// rs1_val==1717986917, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666665; immval:0xd -TEST_IMM_OP( slli, x11, x10, 0xcccca000, 0x66666665, 0xd, x1, 248, x2) - -inst_80: -// rs1_val==858993458, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 -TEST_IMM_OP( slli, x11, x10, 0x66666664, 0x33333332, 0x1, x1, 252, x2) - -inst_81: -// rs1_val==1431655764, -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555554, 0x1d, x1, 256, x2) - -inst_82: -// rs1_val==46340, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb504; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0x6a080000, 0xb504, 0x11, x1, 260, x2) - -inst_83: -// rs1_val==-46340, -// opcode: slli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x57e00000, -0xb504, 0x13, x1, 264, x2) - -inst_84: -// rs1_val==1717986918, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0xc0000000, 0x66666666, 0x1d, x1, 268, x2) - -inst_85: -// rs1_val==858993459, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333333; immval:0xa -TEST_IMM_OP( slli, x11, x10, 0xcccccc00, 0x33333333, 0xa, x1, 272, x2) - -inst_86: -// rs1_val==5, -// opcode: slli ; op1:x10; dest:x11; op1val:0x5; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0x28000000, 0x5, 0x1b, x1, 276, x2) - -inst_87: -// rs1_val == -67108865, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x4000001; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xffffff80, -0x4000001, 0x7, x1, 280, x2) - -inst_88: -// rs1_val == -131073, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x20001; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xffff0000, -0x20001, 0x10, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S deleted file mode 100644 index be7255504..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S +++ /dev/null @@ -1,2994 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slt instruction of the RISC-V E extension for the slt covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slt) - -RVTEST_SIGBASE( x12,signature_x12_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x10, rd==x7, rs1_val != rs2_val, rs2_val == -65537, rs1_val == -129, rs1_val < 0 and rs2_val < 0 -// opcode: slt ; op1:x5; op2:x10; dest:x7; op1val:-0x81; op2val:-0x10001 -TEST_RR_OP(slt, x7, x5, x10, 0x0, -0x81, -0x10001, x12, 0, x13) - -inst_1: -// rs1 == rd != rs2, rs1==x0, rs2==x6, rd==x0, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: slt ; op1:x0; op2:x6; dest:x0; op1val:0x0; op2val:0x7fffffff -TEST_RR_OP(slt, x0, x0, x6, 0, 0x0, 0x7fffffff, x12, 4, x13) - -inst_2: -// rs2 == rd != rs1, rs1==x9, rs2==x8, rd==x8, rs2_val == -1073741825, rs1_val > 0 and rs2_val < 0, rs1_val == 512 -// opcode: slt ; op1:x9; op2:x8; dest:x8; op1val:0x200; op2val:-0x40000001 -TEST_RR_OP(slt, x8, x9, x8, 0x0, 0x200, -0x40000001, x12, 8, x13) - -inst_3: -// rs1 == rs2 != rd, rs1==x4, rs2==x4, rd==x11, rs2_val == -536870913, rs1_val == -4097 -// opcode: slt ; op1:x4; op2:x4; dest:x11; op1val:-0x1001; op2val:-0x1001 -TEST_RR_OP(slt, x11, x4, x4, 0x0, -0x1001, -0x1001, x12, 12, x13) - -inst_4: -// rs1 == rs2 == rd, rs1==x3, rs2==x3, rd==x3, rs2_val == -268435457, -// opcode: slt ; op1:x3; op2:x3; dest:x3; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x3, x3, x3, 0x0, -0x55555555, -0x55555555, x12, 16, x13) - -inst_5: -// rs1==x1, rs2==x0, rd==x4, rs2_val == -134217729, rs1_val == -65537 -// opcode: slt ; op1:x1; op2:x0; dest:x4; op1val:-0x10001; op2val:0x0 -TEST_RR_OP(slt, x4, x1, x0, 0x1, -0x10001, 0x0, x12, 20, x13) - -inst_6: -// rs1==x6, rs2==x2, rd==x5, rs2_val == -67108865, rs1_val == -33554433 -// opcode: slt ; op1:x6; op2:x2; dest:x5; op1val:-0x2000001; op2val:-0x4000001 -TEST_RR_OP(slt, x5, x6, x2, 0x0, -0x2000001, -0x4000001, x12, 24, x13) - -inst_7: -// rs1==x7, rs2==x11, rd==x13, rs2_val == -33554433, rs1_val == -2 -// opcode: slt ; op1:x7; op2:x11; dest:x13; op1val:-0x2; op2val:-0x2000001 -TEST_RR_OP(slt, x13, x7, x11, 0x0, -0x2, -0x2000001, x12, 28, x1) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x15, rs2==x7, rd==x12, rs2_val == -16777217, rs1_val == 4096 -// opcode: slt ; op1:x15; op2:x7; dest:x12; op1val:0x1000; op2val:-0x1000001 -TEST_RR_OP(slt, x12, x15, x7, 0x0, 0x1000, -0x1000001, x3, 0, x1) - -inst_9: -// rs1==x13, rs2==x5, rd==x2, rs2_val == -8388609, rs1_val == -268435457 -// opcode: slt ; op1:x13; op2:x5; dest:x2; op1val:-0x10000001; op2val:-0x800001 -TEST_RR_OP(slt, x2, x13, x5, 0x1, -0x10000001, -0x800001, x3, 4, x1) - -inst_10: -// rs1==x2, rs2==x9, rd==x15, rs2_val == -4194305, rs1_val == -1431655766 -// opcode: slt ; op1:x2; op2:x9; dest:x15; op1val:-0x55555556; op2val:-0x400001 -TEST_RR_OP(slt, x15, x2, x9, 0x1, -0x55555556, -0x400001, x3, 8, x1) - -inst_11: -// rs1==x8, rs2==x15, rd==x14, rs2_val == -2097153, rs1_val == -513 -// opcode: slt ; op1:x8; op2:x15; dest:x14; op1val:-0x201; op2val:-0x200001 -TEST_RR_OP(slt, x14, x8, x15, 0x0, -0x201, -0x200001, x3, 12, x1) - -inst_12: -// rs1==x10, rs2==x13, rd==x9, rs2_val == -1048577, rs1_val == 524288 -// opcode: slt ; op1:x10; op2:x13; dest:x9; op1val:0x80000; op2val:-0x100001 -TEST_RR_OP(slt, x9, x10, x13, 0x0, 0x80000, -0x100001, x3, 16, x1) - -inst_13: -// rs1==x12, rs2==x14, rd==x6, rs2_val == -524289, rs1_val == 0 -// opcode: slt ; op1:x12; op2:x14; dest:x6; op1val:0x0; op2val:-0x80001 -TEST_RR_OP(slt, x6, x12, x14, 0x0, 0x0, -0x80001, x3, 20, x4) - -inst_14: -// rs1==x14, rs2==x1, rd==x10, rs2_val == -262145, rs1_val == -2049 -// opcode: slt ; op1:x14; op2:x1; dest:x10; op1val:-0x801; op2val:-0x40001 -TEST_RR_OP(slt, x10, x14, x1, 0x0, -0x801, -0x40001, x3, 24, x4) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_15: -// rs1==x11, rs2==x12, rd==x1, rs2_val == -131073, -// opcode: slt ; op1:x11; op2:x12; dest:x1; op1val:0x0; op2val:-0x20001 -TEST_RR_OP(slt, x1, x11, x12, 0x0, 0x0, -0x20001, x2, 0, x4) - -inst_16: -// rs2_val == -32769, rs1_val == 16384 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x8001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000, -0x8001, x2, 4, x4) - -inst_17: -// rs2_val == -16385, rs1_val == 1073741824 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x4001, x2, 8, x4) - -inst_18: -// rs2_val == -8193, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x2001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x801, -0x2001, x2, 12, x4) - -inst_19: -// rs2_val == -4097, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x1001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x1001, x2, 16, x4) - -inst_20: -// rs2_val == -2049, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x801 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x801, x2, 20, x4) - -inst_21: -// rs2_val == -1025, rs1_val == -16385 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x401 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4001, -0x401, x2, 24, x4) - -inst_22: -// rs2_val == -513, rs1_val == 8192 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x201 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2000, -0x201, x2, 28, x4) - -inst_23: -// rs2_val == -257, rs1_val == -5 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x101 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x5, -0x101, x2, 32, x4) - -inst_24: -// rs2_val == -129, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x81 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x81, x2, 36, x4) - -inst_25: -// rs2_val == -65, rs1_val == -32769 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x41 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8001, -0x41, x2, 40, x4) - -inst_26: -// rs2_val == -33, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x21 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x10000001, -0x21, x2, 44, x4) - -inst_27: -// rs2_val == -17, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x11 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x11, x2, 48, x4) - -inst_28: -// rs2_val == -9, rs1_val == 536870912 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x9 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000000, -0x9, x2, 52, x4) - -inst_29: -// rs2_val == -5, rs1_val == 128 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x80, -0x5, x2, 56, x4) - -inst_30: -// rs2_val == -3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x9, -0x3, x2, 60, x4) - -inst_31: -// rs2_val == -2, rs1_val == -65 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x41, -0x2, x2, 64, x4) - -inst_32: -// rs1_val == 2147483647, rs2_val == 16777216, rs1_val == (2**(xlen-1)-1), rs1_val > 0 and rs2_val > 0 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x1000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x7fffffff, 0x1000000, x2, 68, x4) - -inst_33: -// rs1_val == -1073741825, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x8001 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40000001, -0x8001, x2, 72, x4) - -inst_34: -// rs1_val == -536870913, rs2_val == 128 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20000001, 0x80, x2, 76, x4) - -inst_35: -// rs1_val == -134217729, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, -0x3, x2, 80, x4) - -inst_36: -// rs1_val == -67108865, rs2_val == 8192 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x2000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x2000, x2, 84, x4) - -inst_37: -// rs1_val == -16777217, rs2_val == 2048 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x800 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x1000001, 0x800, x2, 88, x4) - -inst_38: -// rs1_val == -8388609, rs2_val == -1431655766 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x800001, -0x55555556, x2, 92, x4) - -inst_39: -// rs1_val == -2097153, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x1000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x200001, -0x1000001, x2, 96, x4) - -inst_40: -// rs1_val == -1048577, rs1_val == rs2_val -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x100001, -0x100001, x2, 100, x4) - -inst_41: -// rs1_val == -524289, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x81 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80001, -0x81, x2, 104, x4) - -inst_42: -// rs1_val == -262145, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40001, 0x66666665, x2, 108, x4) - -inst_43: -// rs1_val == -131073, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x7fffffff, x2, 112, x4) - -inst_44: -// rs1_val == -8193, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x2001, -0x4, x2, 116, x4) - -inst_45: -// rs1_val == -1025, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:-0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x401, -0x2, x2, 120, x4) - -inst_46: -// rs1_val == -257, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x101, 0x66666667, x2, 124, x4) - -inst_47: -// rs1_val == -33, rs2_val == 512 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x200 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x21, 0x200, x2, 128, x4) - -inst_48: -// rs1_val == -17, rs2_val == 4 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x4, x2, 132, x4) - -inst_49: -// rs1_val == -9, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x20000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x9, -0x20000001, x2, 136, x4) - -inst_50: -// rs1_val == -3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x3, -0x6, x2, 140, x4) - -inst_51: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 8 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8, -0x80000000, x2, 144, x4) - -inst_52: -// rs2_val == 1073741824, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, 0x40000000, x2, 148, x4) - -inst_53: -// rs2_val == 536870912, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x20000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x20000000, x2, 152, x4) - -inst_54: -// rs2_val == 268435456, rs1_val == 65536 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10000, 0x10000000, x2, 156, x4) - -inst_55: -// rs2_val == 134217728, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x8000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x8000000, x2, 160, x4) - -inst_56: -// rs2_val == 67108864, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4000000, x2, 164, x4) - -inst_57: -// rs2_val == 33554432, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2000000, x2, 168, x4) - -inst_58: -// rs2_val == 8388608, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x800000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x7, 0x800000, x2, 172, x4) - -inst_59: -// rs2_val == 4194304, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x400000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x400000, x2, 176, x4) - -inst_60: -// rs2_val == 2097152, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x200000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x80, 0x200000, x2, 180, x4) - -inst_61: -// rs2_val == 1048576, rs1_val == 16 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 184, x4) - -inst_62: -// rs2_val == 524288, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x80000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x80000, x2, 188, x4) - -inst_63: -// rs2_val == 262144, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x40000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x5, 0x40000, x2, 192, x4) - -inst_64: -// rs2_val == 131072, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x20000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x20000, x2, 196, x4) - -inst_65: -// rs2_val == 65536, rs1_val == 2097152 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x10000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x200000, 0x10000, x2, 200, x4) - -inst_66: -// rs2_val == 32768, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000, 0x8000, x2, 204, x4) - -inst_67: -// rs2_val == 16384, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x4000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x4000, x2, 208, x4) - -inst_68: -// rs2_val == 4096, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x1000, x2, 212, x4) - -inst_69: -// rs2_val == 1024, rs1_val == 32768 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x400 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000, 0x400, x2, 216, x4) - -inst_70: -// rs2_val == 256, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x100 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3fffffff, 0x100, x2, 220, x4) - -inst_71: -// rs2_val == 64, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x40 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x40, x2, 224, x4) - -inst_72: -// rs2_val == 32, rs1_val == 262144 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x20 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000, 0x20, x2, 228, x4) - -inst_73: -// rs2_val == 16, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x10, x2, 232, x4) - -inst_74: -// rs2_val == 8, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x8 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x8, x2, 236, x4) - -inst_75: -// rs2_val == 2, rs1_val==2 and rs2_val==2, rs1_val == 2 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x2, x2, 240, x4) - -inst_76: -// rs2_val == 1, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 244, x4) - -inst_77: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80000000, 0x3, x2, 248, x4) - -inst_78: -// rs1_val == 268435456, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000000, -0x100001, x2, 252, x4) - -inst_79: -// rs1_val == 134217728, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000000, -0xb504, x2, 256, x4) - -inst_80: -// rs1_val == 67108864, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000000, -0x3, x2, 260, x4) - -inst_81: -// rs1_val == 33554432, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2000000, 0x66666667, x2, 264, x4) - -inst_82: -// rs1_val == 16777216, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x200000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x1000000, 0x200000, x2, 268, x4) - -inst_83: -// rs1_val == 8388608, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x8000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800000, 0x8000000, x2, 272, x4) - -inst_84: -// rs1_val == 4194304, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400000, -0x100001, x2, 276, x4) - -inst_85: -// rs1_val == 1048576, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x100000, 0x6, x2, 280, x4) - -inst_86: -// rs1_val == 131072, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x800 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000, 0x800, x2, 284, x4) - -inst_87: -// rs1_val == 2048, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800, 0x66666665, x2, 288, x4) - -inst_88: -// rs1_val == 1024, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400, -0x40000000, x2, 292, x4) - -inst_89: -// rs1_val == 256, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x100, 0x40000000, x2, 296, x4) - -inst_90: -// rs1_val == 64, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x80000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40, -0x80000000, x2, 300, x4) - -inst_91: -// rs1_val == 32, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20, -0x3, x2, 304, x4) - -inst_92: -// rs1_val == 4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x7fffffff, x2, 308, x4) - -inst_93: -// rs1_val == 1, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x1, 0x2000, x2, 312, x4) - -inst_94: -// rs1_val==46341 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 316, x4) - -inst_95: -// rs1_val==46341 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb503, x2, 320, x4) - -inst_96: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 324, x4) - -inst_97: -// rs1_val==46341 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 328, x4) - -inst_98: -// rs1_val==46341 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 332, x4) - -inst_99: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555555, x2, 336, x4) - -inst_100: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 340, x4) - -inst_101: -// rs1_val==46341 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 344, x4) - -inst_102: -// rs1_val==46341 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 348, x4) - -inst_103: -// rs1_val==46341 and rs2_val==0, rs2_val == 0 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 352, x4) - -inst_104: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 356, x4) - -inst_105: -// rs1_val==46341 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 360, x4) - -inst_106: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 364, x4) - -inst_107: -// rs1_val==46341 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 368, x4) - -inst_108: -// rs1_val==46341 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 372, x4) - -inst_109: -// rs1_val==46341 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb504, x2, 376, x4) - -inst_110: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 380, x4) - -inst_111: -// rs1_val==46341 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 384, x4) - -inst_112: -// rs1_val==46341 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 388, x4) - -inst_113: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555556, x2, 392, x4) - -inst_114: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 396, x4) - -inst_115: -// rs1_val==46341 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 400, x4) - -inst_116: -// rs1_val==-46339 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb505, x2, 404, x4) - -inst_117: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb503, x2, 408, x4) - -inst_118: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666667, x2, 412, x4) - -inst_119: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333334, x2, 416, x4) - -inst_120: -// rs1_val==-46339 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x6, x2, 420, x4) - -inst_121: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555555, x2, 424, x4) - -inst_122: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555556, x2, 428, x4) - -inst_123: -// rs1_val==-46339 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x4, x2, 432, x4) - -inst_124: -// rs1_val==-46339 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb503, x2, 436, x4) - -inst_125: -// rs1_val==-46339 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x0, x2, 440, x4) - -inst_126: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666665, x2, 444, x4) - -inst_127: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333332, x2, 448, x4) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555554, x2, 452, x4) - -inst_129: -// rs1_val==-46339 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2, x2, 456, x4) - -inst_130: -// rs1_val==-46339 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb504, x2, 460, x4) - -inst_131: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb504, x2, 464, x4) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666666, x2, 468, x4) - -inst_133: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333333, x2, 472, x4) - -inst_134: -// rs1_val==-46339 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x5, x2, 476, x4) - -inst_135: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555556, x2, 480, x4) - -inst_136: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555555, x2, 484, x4) - -inst_137: -// rs1_val==-46339 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x3, x2, 488, x4) - -inst_138: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 492, x4) - -inst_139: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb503, x2, 496, x4) - -inst_140: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 500, x4) - -inst_141: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 504, x4) - -inst_142: -// rs1_val==1717986919 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 508, x4) - -inst_143: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555555, x2, 512, x4) - -inst_144: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 516, x4) - -inst_145: -// rs1_val==1717986919 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 520, x4) - -inst_146: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 524, x4) - -inst_147: -// rs1_val==1717986919 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 528, x4) - -inst_148: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 532, x4) - -inst_149: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 536, x4) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 540, x4) - -inst_151: -// rs1_val==1717986919 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 544, x4) - -inst_152: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 548, x4) - -inst_153: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb504, x2, 552, x4) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 556, x4) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 560, x4) - -inst_156: -// rs1_val==1717986919 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 564, x4) - -inst_157: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555556, x2, 568, x4) - -inst_158: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 572, x4) - -inst_159: -// rs1_val==1717986919 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 576, x4) - -inst_160: -// rs1_val==858993460 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 580, x4) - -inst_161: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb503, x2, 584, x4) - -inst_162: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 588, x4) - -inst_163: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 592, x4) - -inst_164: -// rs1_val==858993460 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 596, x4) - -inst_165: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555555, x2, 600, x4) - -inst_166: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 604, x4) - -inst_167: -// rs1_val==858993460 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 608, x4) - -inst_168: -// rs1_val==858993460 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 612, x4) - -inst_169: -// rs1_val==858993460 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 616, x4) - -inst_170: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 620, x4) - -inst_171: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 624, x4) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 628, x4) - -inst_173: -// rs1_val==858993460 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 632, x4) - -inst_174: -// rs1_val==858993460 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 636, x4) - -inst_175: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb504, x2, 640, x4) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 644, x4) - -inst_177: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 648, x4) - -inst_178: -// rs1_val==858993460 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 652, x4) - -inst_179: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555556, x2, 656, x4) - -inst_180: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 660, x4) - -inst_181: -// rs1_val==858993460 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 664, x4) - -inst_182: -// rs1_val==6 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 668, x4) - -inst_183: -// rs1_val==6 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb503, x2, 672, x4) - -inst_184: -// rs1_val==6 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 676, x4) - -inst_185: -// rs1_val==6 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 680, x4) - -inst_186: -// rs1_val==6 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x6, x2, 684, x4) - -inst_187: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555555, x2, 688, x4) - -inst_188: -// rs1_val==6 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 692, x4) - -inst_189: -// rs1_val==6 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x4, x2, 696, x4) - -inst_190: -// rs1_val==6 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 700, x4) - -inst_191: -// rs1_val==6 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x0, x2, 704, x4) - -inst_192: -// rs1_val==6 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 708, x4) - -inst_193: -// rs1_val==6 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 712, x4) - -inst_194: -// rs1_val==6 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 716, x4) - -inst_195: -// rs1_val==6 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x2, x2, 720, x4) - -inst_196: -// rs1_val==6 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 724, x4) - -inst_197: -// rs1_val==6 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb504, x2, 728, x4) - -inst_198: -// rs1_val==6 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 732, x4) - -inst_199: -// rs1_val==6 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 736, x4) - -inst_200: -// rs1_val==6 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x5, x2, 740, x4) - -inst_201: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555556, x2, 744, x4) - -inst_202: -// rs1_val==6 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 748, x4) - -inst_203: -// rs1_val==6 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x3, x2, 752, x4) - -inst_204: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb505, x2, 756, x4) - -inst_205: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb503, x2, 760, x4) - -inst_206: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666667, x2, 764, x4) - -inst_207: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333334, x2, 768, x4) - -inst_208: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x6, x2, 772, x4) - -inst_209: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x2, 776, x4) - -inst_210: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x2, 780, x4) - -inst_211: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x4, x2, 784, x4) - -inst_212: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb503, x2, 788, x4) - -inst_213: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x0, x2, 792, x4) - -inst_214: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666665, x2, 796, x4) - -inst_215: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333332, x2, 800, x4) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555554, x2, 804, x4) - -inst_217: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x2, x2, 808, x4) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb504, x2, 812, x4) - -inst_219: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb504, x2, 816, x4) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666666, x2, 820, x4) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333333, x2, 824, x4) - -inst_222: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x5, x2, 828, x4) - -inst_223: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555556, x2, 832, x4) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x2, 836, x4) - -inst_225: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x3, x2, 840, x4) - -inst_226: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 844, x4) - -inst_227: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb503, x2, 848, x4) - -inst_228: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 852, x4) - -inst_229: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 856, x4) - -inst_230: -// rs1_val==1431655766 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 860, x4) - -inst_231: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555555, x2, 864, x4) - -inst_232: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 868, x4) - -inst_233: -// rs1_val==1431655766 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 872, x4) - -inst_234: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 876, x4) - -inst_235: -// rs1_val==1431655766 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 880, x4) - -inst_236: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 884, x4) - -inst_237: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 888, x4) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 892, x4) - -inst_239: -// rs1_val==1431655766 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 896, x4) - -inst_240: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 900, x4) - -inst_241: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb504, x2, 904, x4) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 908, x4) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 912, x4) - -inst_244: -// rs1_val==1431655766 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 916, x4) - -inst_245: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x2, 920, x4) - -inst_246: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 924, x4) - -inst_247: -// rs1_val==1431655766 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 928, x4) - -inst_248: -// rs1_val==4 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 932, x4) - -inst_249: -// rs1_val==4 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb503, x2, 936, x4) - -inst_250: -// rs1_val==4 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 940, x4) - -inst_251: -// rs1_val==4 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 944, x4) - -inst_252: -// rs1_val==4 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x6, x2, 948, x4) - -inst_253: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555555, x2, 952, x4) - -inst_254: -// rs1_val==4 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 956, x4) - -inst_255: -// rs1_val==4 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x4, x2, 960, x4) - -inst_256: -// rs1_val==4 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 964, x4) - -inst_257: -// rs1_val==4 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x0, x2, 968, x4) - -inst_258: -// rs1_val==4 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 972, x4) - -inst_259: -// rs1_val==4 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 976, x4) - -inst_260: -// rs1_val==4 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 980, x4) - -inst_261: -// rs1_val==4 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x2, x2, 984, x4) - -inst_262: -// rs1_val==4 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 988, x4) - -inst_263: -// rs1_val==4 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb504, x2, 992, x4) - -inst_264: -// rs1_val==4 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 996, x4) - -inst_265: -// rs1_val==4 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1000, x4) - -inst_266: -// rs1_val==4 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1004, x4) - -inst_267: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555556, x2, 1008, x4) - -inst_268: -// rs1_val==4 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1012, x4) - -inst_269: -// rs1_val==4 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1016, x4) - -inst_270: -// rs1_val==46339 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1020, x4) - -inst_271: -// rs1_val==46339 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb503, x2, 1024, x4) - -inst_272: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1028, x4) - -inst_273: -// rs1_val==46339 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1032, x4) - -inst_274: -// rs1_val==46339 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1036, x4) - -inst_275: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555555, x2, 1040, x4) - -inst_276: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1044, x4) - -inst_277: -// rs1_val==46339 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1048, x4) - -inst_278: -// rs1_val==46339 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1052, x4) - -inst_279: -// rs1_val==46339 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1056, x4) - -inst_280: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1060, x4) - -inst_281: -// rs1_val==46339 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1064, x4) - -inst_282: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1068, x4) - -inst_283: -// rs1_val==46339 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1072, x4) - -inst_284: -// rs1_val==46339 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1076, x4) - -inst_285: -// rs1_val==46339 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb504, x2, 1080, x4) - -inst_286: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1084, x4) - -inst_287: -// rs1_val==46339 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1088, x4) - -inst_288: -// rs1_val==46339 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1092, x4) - -inst_289: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555556, x2, 1096, x4) - -inst_290: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1100, x4) - -inst_291: -// rs1_val==46339 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1104, x4) - -inst_292: -// rs1_val==0 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1108, x4) - -inst_293: -// rs1_val==0 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb503, x2, 1112, x4) - -inst_294: -// rs1_val==0 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1116, x4) - -inst_295: -// rs1_val==0 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1120, x4) - -inst_296: -// rs1_val==0 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1124, x4) - -inst_297: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555555, x2, 1128, x4) - -inst_298: -// rs1_val==0 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1132, x4) - -inst_299: -// rs1_val==0 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1136, x4) - -inst_300: -// rs1_val==0 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1140, x4) - -inst_301: -// rs1_val==0 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1144, x4) - -inst_302: -// rs1_val==0 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1148, x4) - -inst_303: -// rs1_val==0 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1152, x4) - -inst_304: -// rs1_val==0 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1156, x4) - -inst_305: -// rs1_val==0 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1160, x4) - -inst_306: -// rs1_val==0 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1164, x4) - -inst_307: -// rs1_val==0 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb504, x2, 1168, x4) - -inst_308: -// rs1_val==0 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1172, x4) - -inst_309: -// rs1_val==0 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1176, x4) - -inst_310: -// rs1_val==0 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1180, x4) - -inst_311: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555556, x2, 1184, x4) - -inst_312: -// rs1_val==0 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1188, x4) - -inst_313: -// rs1_val==0 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1192, x4) - -inst_314: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1196, x4) - -inst_315: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb503, x2, 1200, x4) - -inst_316: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1204, x4) - -inst_317: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1208, x4) - -inst_318: -// rs1_val==1717986917 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1212, x4) - -inst_319: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555555, x2, 1216, x4) - -inst_320: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1220, x4) - -inst_321: -// rs1_val==1717986917 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1224, x4) - -inst_322: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1228, x4) - -inst_323: -// rs1_val==858993459 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 1232, x4) - -inst_324: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 1236, x4) - -inst_325: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 1240, x4) - -inst_326: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 1244, x4) - -inst_327: -// rs1_val==858993459 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 1248, x4) - -inst_328: -// rs1_val==858993459 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 1252, x4) - -inst_329: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb504, x2, 1256, x4) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 1260, x4) - -inst_331: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 1264, x4) - -inst_332: -// rs1_val==858993459 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 1268, x4) - -inst_333: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555556, x2, 1272, x4) - -inst_334: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 1276, x4) - -inst_335: -// rs1_val==858993459 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 1280, x4) - -inst_336: -// rs1_val==5 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 1284, x4) - -inst_337: -// rs1_val==5 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb503, x2, 1288, x4) - -inst_338: -// rs1_val==5 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 1292, x4) - -inst_339: -// rs1_val==5 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 1296, x4) - -inst_340: -// rs1_val==5 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x6, x2, 1300, x4) - -inst_341: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555555, x2, 1304, x4) - -inst_342: -// rs1_val==5 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 1308, x4) - -inst_343: -// rs1_val==5 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x4, x2, 1312, x4) - -inst_344: -// rs1_val==5 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 1316, x4) - -inst_345: -// rs1_val==5 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x0, x2, 1320, x4) - -inst_346: -// rs1_val==5 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 1324, x4) - -inst_347: -// rs1_val==5 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 1328, x4) - -inst_348: -// rs1_val==5 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 1332, x4) - -inst_349: -// rs1_val==5 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x2, x2, 1336, x4) - -inst_350: -// rs1_val==5 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 1340, x4) - -inst_351: -// rs1_val==5 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb504, x2, 1344, x4) - -inst_352: -// rs1_val==5 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 1348, x4) - -inst_353: -// rs1_val==5 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 1352, x4) - -inst_354: -// rs1_val==5 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x5, x2, 1356, x4) - -inst_355: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555556, x2, 1360, x4) - -inst_356: -// rs1_val==5 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 1364, x4) - -inst_357: -// rs1_val==5 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x3, x2, 1368, x4) - -inst_358: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb505, x2, 1372, x4) - -inst_359: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb503, x2, 1376, x4) - -inst_360: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666667, x2, 1380, x4) - -inst_361: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333334, x2, 1384, x4) - -inst_362: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x6, x2, 1388, x4) - -inst_363: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x2, 1392, x4) - -inst_364: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555556, x2, 1396, x4) - -inst_365: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x4, x2, 1400, x4) - -inst_366: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb503, x2, 1404, x4) - -inst_367: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x0, x2, 1408, x4) - -inst_368: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666665, x2, 1412, x4) - -inst_369: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333332, x2, 1416, x4) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555554, x2, 1420, x4) - -inst_371: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x2, x2, 1424, x4) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb504, x2, 1428, x4) - -inst_373: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb504, x2, 1432, x4) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666666, x2, 1436, x4) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333333, x2, 1440, x4) - -inst_376: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x5, x2, 1444, x4) - -inst_377: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x2, 1448, x4) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555555, x2, 1452, x4) - -inst_379: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x3, x2, 1456, x4) - -inst_380: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 1460, x4) - -inst_381: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb503, x2, 1464, x4) - -inst_382: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 1468, x4) - -inst_383: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 1472, x4) - -inst_384: -// rs1_val==1431655765 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 1476, x4) - -inst_385: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x2, 1480, x4) - -inst_386: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 1484, x4) - -inst_387: -// rs1_val==1431655765 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 1488, x4) - -inst_388: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 1492, x4) - -inst_389: -// rs1_val==1431655765 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 1496, x4) - -inst_390: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 1500, x4) - -inst_391: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 1504, x4) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 1508, x4) - -inst_393: -// rs1_val==1431655765 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 1512, x4) - -inst_394: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 1516, x4) - -inst_395: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb504, x2, 1520, x4) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 1524, x4) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 1528, x4) - -inst_398: -// rs1_val==1431655765 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 1532, x4) - -inst_399: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x2, 1536, x4) - -inst_400: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 1540, x4) - -inst_401: -// rs1_val==1431655765 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 1544, x4) - -inst_402: -// rs1_val==3 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 1548, x4) - -inst_403: -// rs1_val==3 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb503, x2, 1552, x4) - -inst_404: -// rs1_val==3 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 1556, x4) - -inst_405: -// rs1_val==3 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 1560, x4) - -inst_406: -// rs1_val==3 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x6, x2, 1564, x4) - -inst_407: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555555, x2, 1568, x4) - -inst_408: -// rs1_val==3 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 1572, x4) - -inst_409: -// rs1_val==3 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4, x2, 1576, x4) - -inst_410: -// rs1_val==3 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 1580, x4) - -inst_411: -// rs1_val==3 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x0, x2, 1584, x4) - -inst_412: -// rs1_val==3 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 1588, x4) - -inst_413: -// rs1_val==3 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 1592, x4) - -inst_414: -// rs1_val==3 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 1596, x4) - -inst_415: -// rs1_val==3 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x2, x2, 1600, x4) - -inst_416: -// rs1_val==3 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 1604, x4) - -inst_417: -// rs1_val==3 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb504, x2, 1608, x4) - -inst_418: -// rs1_val==3 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 1612, x4) - -inst_419: -// rs1_val==3 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 1616, x4) - -inst_420: -// rs1_val==3 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x5, x2, 1620, x4) - -inst_421: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555556, x2, 1624, x4) - -inst_422: -// rs1_val==3 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 1628, x4) - -inst_423: -// rs1_val==3 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x3, x2, 1632, x4) - -inst_424: -// rs1_val==1717986917 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1636, x4) - -inst_425: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1640, x4) - -inst_426: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1644, x4) - -inst_427: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1648, x4) - -inst_428: -// rs1_val==1717986917 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1652, x4) - -inst_429: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1656, x4) - -inst_430: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb504, x2, 1660, x4) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1664, x4) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1668, x4) - -inst_433: -// rs1_val==1717986917 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1672, x4) - -inst_434: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555556, x2, 1676, x4) - -inst_435: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1680, x4) - -inst_436: -// rs1_val==1717986917 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1684, x4) - -inst_437: -// rs1_val==858993458 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1688, x4) - -inst_438: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb503, x2, 1692, x4) - -inst_439: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1696, x4) - -inst_440: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1700, x4) - -inst_441: -// rs1_val==858993458 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1704, x4) - -inst_442: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555555, x2, 1708, x4) - -inst_443: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1712, x4) - -inst_444: -// rs1_val==858993458 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1716, x4) - -inst_445: -// rs1_val==858993458 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1720, x4) - -inst_446: -// rs1_val==858993458 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1724, x4) - -inst_447: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1728, x4) - -inst_448: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1732, x4) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1736, x4) - -inst_450: -// rs1_val==858993458 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1740, x4) - -inst_451: -// rs1_val==858993458 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1744, x4) - -inst_452: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb504, x2, 1748, x4) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1752, x4) - -inst_454: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1756, x4) - -inst_455: -// rs1_val==858993458 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1760, x4) - -inst_456: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555556, x2, 1764, x4) - -inst_457: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1768, x4) - -inst_458: -// rs1_val==858993458 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1772, x4) - -inst_459: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 1776, x4) - -inst_460: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb503, x2, 1780, x4) - -inst_461: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 1784, x4) - -inst_462: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 1788, x4) - -inst_463: -// rs1_val==1431655764 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 1792, x4) - -inst_464: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x2, 1796, x4) - -inst_465: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 1800, x4) - -inst_466: -// rs1_val==1431655764 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1804, x4) - -inst_467: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1808, x4) - -inst_468: -// rs1_val==1431655764 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1812, x4) - -inst_469: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1816, x4) - -inst_470: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1820, x4) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1824, x4) - -inst_472: -// rs1_val==1431655764 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1828, x4) - -inst_473: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1832, x4) - -inst_474: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb504, x2, 1836, x4) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1840, x4) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1844, x4) - -inst_477: -// rs1_val==1431655764 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1848, x4) - -inst_478: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x2, 1852, x4) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1856, x4) - -inst_480: -// rs1_val==1431655764 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1860, x4) - -inst_481: -// rs1_val==2 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1864, x4) - -inst_482: -// rs1_val==2 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb503, x2, 1868, x4) - -inst_483: -// rs1_val==2 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1872, x4) - -inst_484: -// rs1_val==2 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1876, x4) - -inst_485: -// rs1_val==2 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1880, x4) - -inst_486: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555555, x2, 1884, x4) - -inst_487: -// rs1_val==2 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1888, x4) - -inst_488: -// rs1_val==2 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1892, x4) - -inst_489: -// rs1_val==2 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1896, x4) - -inst_490: -// rs1_val==2 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1900, x4) - -inst_491: -// rs1_val==2 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1904, x4) - -inst_492: -// rs1_val==2 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1908, x4) - -inst_493: -// rs1_val==2 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1912, x4) - -inst_494: -// rs1_val==2 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1916, x4) - -inst_495: -// rs1_val==2 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb504, x2, 1920, x4) - -inst_496: -// rs1_val==2 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1924, x4) - -inst_497: -// rs1_val==2 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1928, x4) - -inst_498: -// rs1_val==2 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1932, x4) - -inst_499: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555556, x2, 1936, x4) - -inst_500: -// rs1_val==2 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1940, x4) - -inst_501: -// rs1_val==2 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1944, x4) - -inst_502: -// rs1_val==46340 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 1948, x4) - -inst_503: -// rs1_val==46340 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb503, x2, 1952, x4) - -inst_504: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 1956, x4) - -inst_505: -// rs1_val==46340 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 1960, x4) - -inst_506: -// rs1_val==46340 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 1964, x4) - -inst_507: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555555, x2, 1968, x4) - -inst_508: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 1972, x4) - -inst_509: -// rs1_val==46340 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 1976, x4) - -inst_510: -// rs1_val==46340 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 1980, x4) - -inst_511: -// rs1_val==46340 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 1984, x4) - -inst_512: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 1988, x4) - -inst_513: -// rs1_val==46340 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 1992, x4) - -inst_514: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 1996, x4) - -inst_515: -// rs1_val==46340 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 2000, x4) - -inst_516: -// rs1_val==46340 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 2004, x4) - -inst_517: -// rs1_val==46340 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb504, x2, 2008, x4) - -inst_518: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 2012, x4) - -inst_519: -// rs1_val==46340 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 2016, x4) - -inst_520: -// rs1_val==46340 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 2020, x4) - -inst_521: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555556, x2, 2024, x4) - -inst_522: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 2028, x4) - -inst_523: -// rs1_val==46340 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 2032, x4) - -inst_524: -// rs1_val==-46340 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb505, x2, 2036, x4) - -inst_525: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, -0xb503, x2, 2040, x4) - -inst_526: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666667, x2, 2044, x4) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_527: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333334, x2, 0, x4) - -inst_528: -// rs1_val==-46340 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x6, x2, 4, x4) - -inst_529: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555555, x2, 8, x4) - -inst_530: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555556, x2, 12, x4) - -inst_531: -// rs1_val==-46340 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x4, x2, 16, x4) - -inst_532: -// rs1_val==-46340 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb503, x2, 20, x4) - -inst_533: -// rs1_val==-46340 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x0, x2, 24, x4) - -inst_534: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666665, x2, 28, x4) - -inst_535: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333332, x2, 32, x4) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555554, x2, 36, x4) - -inst_537: -// rs1_val==-46340 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x2, x2, 40, x4) - -inst_538: -// rs1_val==-46340 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb504, x2, 44, x4) - -inst_539: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0xb504, x2, 48, x4) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666666, x2, 52, x4) - -inst_541: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333333, x2, 56, x4) - -inst_542: -// rs1_val==-46340 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x5, x2, 60, x4) - -inst_543: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555556, x2, 64, x4) - -inst_544: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555555, x2, 68, x4) - -inst_545: -// rs1_val==-46340 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x3, x2, 72, x4) - -inst_546: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 76, x4) - -inst_547: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb503, x2, 80, x4) - -inst_548: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 84, x4) - -inst_549: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 88, x4) - -inst_550: -// rs1_val==1717986918 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 92, x4) - -inst_551: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555555, x2, 96, x4) - -inst_552: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 100, x4) - -inst_553: -// rs1_val==1717986918 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 104, x4) - -inst_554: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 108, x4) - -inst_555: -// rs1_val==1717986918 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 112, x4) - -inst_556: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 116, x4) - -inst_557: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 120, x4) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 124, x4) - -inst_559: -// rs1_val==1717986918 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 128, x4) - -inst_560: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 132, x4) - -inst_561: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb504, x2, 136, x4) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 140, x4) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 144, x4) - -inst_564: -// rs1_val==1717986918 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 148, x4) - -inst_565: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555556, x2, 152, x4) - -inst_566: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 156, x4) - -inst_567: -// rs1_val==1717986918 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 160, x4) - -inst_568: -// rs1_val==858993459 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 164, x4) - -inst_569: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb503, x2, 168, x4) - -inst_570: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 172, x4) - -inst_571: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 176, x4) - -inst_572: -// rs1_val==858993459 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 180, x4) - -inst_573: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555555, x2, 184, x4) - -inst_574: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 188, x4) - -inst_575: -// rs1_val==858993459 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 192, x4) - -inst_576: -// rs1_val==858993459 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 196, x4) - -inst_577: -// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x400001, 0x7fffffff, x2, 200, x4) - -inst_578: -// rs2_val == -536870913, rs1_val == -4097 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x20000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x1001, -0x20000001, x2, 204, x4) - -inst_579: -// rs2_val == -268435457, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x10000001 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0x10000001, x2, 208, x4) - -inst_580: -// rs2_val == -134217729, rs1_val == -65537 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x8000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x10001, -0x8000001, x2, 212, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x12_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x12_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 54*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S deleted file mode 100644 index f079caf72..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S +++ /dev/null @@ -1,2894 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slti instruction of the RISC-V E extension for the slti covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slti) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x3, rd==x11, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, rs1_val == 512, imm_val == -2048 -// opcode: slti ; op1:x3; dest:x11; op1val:0x200; immval:-0x800 -TEST_IMM_OP( slti, x11, x3, 0x0, 0x200, -0x800, x4, 0, x8) - -inst_1: -// rs1 == rd, rs1==x7, rd==x7, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: slti ; op1:x7; dest:x7; op1val:0x7fffffff; immval:0x665 -TEST_IMM_OP( slti, x7, x7, 0x0, 0x7fffffff, 0x665, x4, 4, x8) - -inst_2: -// rs1==x13, rd==x3, rs1_val == -1073741825, rs1_val < 0 and imm_val < 0 -// opcode: slti ; op1:x13; dest:x3; op1val:-0x40000001; immval:-0x800 -TEST_IMM_OP( slti, x3, x13, 0x1, -0x40000001, -0x800, x4, 8, x8) - -inst_3: -// rs1==x0, rd==x14, rs1_val == -536870913, -// opcode: slti ; op1:x0; dest:x14; op1val:0x0; immval:-0x6 -TEST_IMM_OP( slti, x14, x0, 0x0, 0x0, -0x6, x4, 12, x8) - -inst_4: -// rs1==x15, rd==x10, rs1_val == -268435457, imm_val == -513 -// opcode: slti ; op1:x15; dest:x10; op1val:-0x10000001; immval:-0x201 -TEST_IMM_OP( slti, x10, x15, 0x1, -0x10000001, -0x201, x4, 16, x8) - -inst_5: -// rs1==x6, rd==x5, rs1_val == -134217729, rs1_val < 0 and imm_val > 0, imm_val == 2 -// opcode: slti ; op1:x6; dest:x5; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( slti, x5, x6, 0x1, -0x8000001, 0x2, x4, 20, x8) - -inst_6: -// rs1==x12, rd==x13, rs1_val == -67108865, imm_val == 1024 -// opcode: slti ; op1:x12; dest:x13; op1val:-0x4000001; immval:0x400 -TEST_IMM_OP( slti, x13, x12, 0x1, -0x4000001, 0x400, x4, 24, x8) - -inst_7: -// rs1==x2, rd==x1, rs1_val == -33554433, -// opcode: slti ; op1:x2; dest:x1; op1val:-0x2000001; immval:-0x6 -TEST_IMM_OP( slti, x1, x2, 0x1, -0x2000001, -0x6, x4, 28, x8) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x9, rd==x6, rs1_val == -16777217, -// opcode: slti ; op1:x9; dest:x6; op1val:-0x1000001; immval:0x7 -TEST_IMM_OP( slti, x6, x9, 0x1, -0x1000001, 0x7, x3, 0, x7) - -inst_9: -// rs1==x8, rd==x4, rs1_val == -8388609, imm_val == 512 -// opcode: slti ; op1:x8; dest:x4; op1val:-0x800001; immval:0x200 -TEST_IMM_OP( slti, x4, x8, 0x1, -0x800001, 0x200, x3, 4, x7) - -inst_10: -// rs1==x10, rd==x0, rs1_val == -4194305, -// opcode: slti ; op1:x10; dest:x0; op1val:-0x400001; immval:0x2d -TEST_IMM_OP( slti, x0, x10, 0, -0x400001, 0x2d, x3, 8, x7) - -inst_11: -// rs1==x1, rd==x2, rs1_val == -2097153, -// opcode: slti ; op1:x1; dest:x2; op1val:-0x200001; immval:0x665 -TEST_IMM_OP( slti, x2, x1, 0x1, -0x200001, 0x665, x3, 12, x7) - -inst_12: -// rs1==x14, rd==x8, rs1_val == -1048577, imm_val == 4 -// opcode: slti ; op1:x14; dest:x8; op1val:-0x100001; immval:0x4 -TEST_IMM_OP( slti, x8, x14, 0x1, -0x100001, 0x4, x3, 16, x7) - -inst_13: -// rs1==x5, rd==x12, rs1_val == -524289, imm_val == -1366 -// opcode: slti ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x556 -TEST_IMM_OP( slti, x12, x5, 0x1, -0x80001, -0x556, x3, 20, x7) - -inst_14: -// rs1==x4, rd==x9, rs1_val == -262145, imm_val == 1 -// opcode: slti ; op1:x4; dest:x9; op1val:-0x40001; immval:0x1 -TEST_IMM_OP( slti, x9, x4, 0x1, -0x40001, 0x1, x3, 24, x7) - -inst_15: -// rs1==x11, rd==x15, rs1_val == -131073, -// opcode: slti ; op1:x11; dest:x15; op1val:-0x20001; immval:0x4 -TEST_IMM_OP( slti, x15, x11, 0x1, -0x20001, 0x4, x3, 28, x7) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_16: -// rs1_val == -65537, imm_val == 32 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x10001; immval:0x20 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x10001, 0x20, x1, 0, x2) - -inst_17: -// rs1_val == -32769, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x8001; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x8001, 0x554, x1, 4, x2) - -inst_18: -// rs1_val == -16385, imm_val == -1025 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x401 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x4001, -0x401, x1, 8, x2) - -inst_19: -// rs1_val == -8193, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2001; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x2001, 0x2c, x1, 12, x2) - -inst_20: -// rs1_val == -4097, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x1001, -0x6, x1, 16, x2) - -inst_21: -// rs1_val == -2049, imm_val == 0 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x801; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x801, 0x0, x1, 20, x2) - -inst_22: -// rs1_val == -1025, rs1_val == imm_val -// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x401 -TEST_IMM_OP( slti, x11, x10, 0x0, -0x401, -0x401, x1, 24, x2) - -inst_23: -// rs1_val == -513, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x201, -0x6, x1, 28, x2) - -inst_24: -// rs1_val == -257, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x101, 0x3, x1, 32, x2) - -inst_25: -// rs1_val == -129, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x81; immval:0x200 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x81, 0x200, x1, 36, x2) - -inst_26: -// rs1_val == -65, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x41, -0x2c, x1, 40, x2) - -inst_27: -// rs1_val == -33, imm_val == 8 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x21, 0x8, x1, 44, x2) - -inst_28: -// rs1_val == -17, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x11; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x11, 0x332, x1, 48, x2) - -inst_29: -// rs1_val == -9, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x9; immval:0x3ff -TEST_IMM_OP( slti, x11, x10, 0x1, -0x9, 0x3ff, x1, 52, x2) - -inst_30: -// rs1_val == -5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x5; immval:0x400 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x5, 0x400, x1, 56, x2) - -inst_31: -// rs1_val == -3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x2, x1, 60, x2) - -inst_32: -// rs1_val == -2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, -0x2, -0xa, x1, 64, x2) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1) -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x7ff -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x7ff, x1, 68, x2) - -inst_34: -// imm_val == -257, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x400001; immval:-0x101 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x400001, -0x101, x1, 72, x2) - -inst_35: -// imm_val == -129, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x4; immval:-0x81 -TEST_IMM_OP( slti, x11, x10, 0x0, -0x4, -0x81, x1, 76, x2) - -inst_36: -// imm_val == -65, -// opcode: slti ; op1:x10; dest:x11; op1val:0x7fffffff; immval:-0x41 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x7fffffff, -0x41, x1, 80, x2) - -inst_37: -// imm_val == -33, -// opcode: slti ; op1:x10; dest:x11; op1val:0x7; immval:-0x21 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x7, -0x21, x1, 84, x2) - -inst_38: -// imm_val == -17, rs1_val == 32 -// opcode: slti ; op1:x10; dest:x11; op1val:0x20; immval:-0x11 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20, -0x11, x1, 88, x2) - -inst_39: -// imm_val == -9, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2000001; immval:-0x9 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x2000001, -0x9, x1, 92, x2) - -inst_40: -// imm_val == -5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x401, -0x5, x1, 96, x2) - -inst_41: -// imm_val == -3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x3, x1, 100, x2) - -inst_42: -// imm_val == -2, rs1_val == 0 -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2, x1, 104, x2) - -inst_43: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: slti ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x80000000, 0x667, x1, 108, x2) - -inst_44: -// rs1_val == 1073741824, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40000000; immval:0x9 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000000, 0x9, x1, 112, x2) - -inst_45: -// rs1_val == 536870912, -// opcode: slti ; op1:x10; dest:x11; op1val:0x20000000; immval:-0x8 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000000, -0x8, x1, 116, x2) - -inst_46: -// rs1_val == 268435456, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000000, 0x7, x1, 120, x2) - -inst_47: -// rs1_val == 134217728, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000000, -0x4, x1, 124, x2) - -inst_48: -// rs1_val == 67108864, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x2e, x1, 128, x2) - -inst_49: -// rs1_val == 33554432, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000000, 0x556, x1, 132, x2) - -inst_50: -// rs1_val == 16777216, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000000, -0x556, x1, 136, x2) - -inst_51: -// rs1_val == 8388608, -// opcode: slti ; op1:x10; dest:x11; op1val:0x800000; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x800000, 0x4, x1, 140, x2) - -inst_52: -// rs1_val == 4194304, imm_val == 1365 -// opcode: slti ; op1:x10; dest:x11; op1val:0x400000; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x400000, 0x555, x1, 144, x2) - -inst_53: -// rs1_val == 2097152, -// opcode: slti ; op1:x10; dest:x11; op1val:0x200000; immval:-0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x200000, -0x400, x1, 148, x2) - -inst_54: -// rs1_val == 1048576, -// opcode: slti ; op1:x10; dest:x11; op1val:0x100000; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x100000, 0x2c, x1, 152, x2) - -inst_55: -// rs1_val == 524288, -// opcode: slti ; op1:x10; dest:x11; op1val:0x80000; immval:-0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x80000, -0x4, x1, 156, x2) - -inst_56: -// rs1_val == 262144, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40000; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000, 0x2, x1, 160, x2) - -inst_57: -// rs1_val == 131072, -// opcode: slti ; op1:x10; dest:x11; op1val:0x20000; immval:0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000, 0x400, x1, 164, x2) - -inst_58: -// rs1_val == 65536, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10000; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000, -0x2c, x1, 168, x2) - -inst_59: -// rs1_val == 32768, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8000; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000, 0x6, x1, 172, x2) - -inst_60: -// rs1_val == 16384, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000, 0x0, x1, 176, x2) - -inst_61: -// rs1_val == 8192, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2000; immval:0x200 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000, 0x200, x1, 180, x2) - -inst_62: -// rs1_val == 4096, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1000; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000, 0x3, x1, 184, x2) - -inst_63: -// rs1_val == 2048, -// opcode: slti ; op1:x10; dest:x11; op1val:0x800; immval:-0x81 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x800, -0x81, x1, 188, x2) - -inst_64: -// rs1_val == 1024, -// opcode: slti ; op1:x10; dest:x11; op1val:0x400; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, 0x400, -0xa, x1, 192, x2) - -inst_65: -// rs1_val == 256, -// opcode: slti ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff -TEST_IMM_OP( slti, x11, x10, 0x1, 0x100, 0x3ff, x1, 196, x2) - -inst_66: -// rs1_val == 128, -// opcode: slti ; op1:x10; dest:x11; op1val:0x80; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x80, 0x4, x1, 200, x2) - -inst_67: -// rs1_val == 64, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40; immval:-0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40, -0x400, x1, 204, x2) - -inst_68: -// rs1_val == 16, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x10, 0x2e, x1, 208, x2) - -inst_69: -// rs1_val == 8, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8, 0x3, x1, 212, x2) - -inst_70: -// rs1_val == 4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0xa, x1, 216, x2) - -inst_71: -// rs1_val == 2, rs1_val==2 and imm_val==45 -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2d, x1, 220, x2) - -inst_72: -// rs1_val == 1, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1, -0x3, x1, 224, x2) - -inst_73: -// imm_val == 256, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x100 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x100, x1, 228, x2) - -inst_74: -// imm_val == 128, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x80 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x80, x1, 232, x2) - -inst_75: -// imm_val == 64, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x40 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x40, x1, 236, x2) - -inst_76: -// imm_val == 16, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x10 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x10, x1, 240, x2) - -inst_77: -// rs1_val==46341 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2e, x1, 244, x2) - -inst_78: -// rs1_val==46341 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2c, x1, 248, x2) - -inst_79: -// rs1_val==46341 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x667, x1, 252, x2) - -inst_80: -// rs1_val==46341 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x334, x1, 256, x2) - -inst_81: -// rs1_val==46341 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x6, x1, 260, x2) - -inst_82: -// rs1_val==46341 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x555, x1, 264, x2) - -inst_83: -// rs1_val==46341 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x556, x1, 268, x2) - -inst_84: -// rs1_val==46341 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x4, x1, 272, x2) - -inst_85: -// rs1_val==46341 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2c, x1, 276, x2) - -inst_86: -// rs1_val==46341 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x0, x1, 280, x2) - -inst_87: -// rs1_val==46341 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x665, x1, 284, x2) - -inst_88: -// rs1_val==46341 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x332, x1, 288, x2) - -inst_89: -// rs1_val==46341 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x554, x1, 292, x2) - -inst_90: -// rs1_val==46341 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2, x1, 296, x2) - -inst_91: -// rs1_val==46341 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2d, x1, 300, x2) - -inst_92: -// rs1_val==46341 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2d, x1, 304, x2) - -inst_93: -// rs1_val==46341 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x666, x1, 308, x2) - -inst_94: -// rs1_val==46341 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x333, x1, 312, x2) - -inst_95: -// rs1_val==46341 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x5, x1, 316, x2) - -inst_96: -// rs1_val==46341 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x556, x1, 320, x2) - -inst_97: -// rs1_val==46341 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x555, x1, 324, x2) - -inst_98: -// rs1_val==46341 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x3, x1, 328, x2) - -inst_99: -// rs1_val==-46339 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2e, x1, 332, x2) - -inst_100: -// rs1_val==-46339 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2c, x1, 336, x2) - -inst_101: -// rs1_val==-46339 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x667, x1, 340, x2) - -inst_102: -// rs1_val==-46339 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x334, x1, 344, x2) - -inst_103: -// rs1_val==-46339 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x6, x1, 348, x2) - -inst_104: -// rs1_val==-46339 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x555, x1, 352, x2) - -inst_105: -// rs1_val==-46339 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x556, x1, 356, x2) - -inst_106: -// rs1_val==-46339 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x4, x1, 360, x2) - -inst_107: -// rs1_val==-46339 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2c, x1, 364, x2) - -inst_108: -// rs1_val==-46339 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x0, x1, 368, x2) - -inst_109: -// rs1_val==-46339 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x665, x1, 372, x2) - -inst_110: -// rs1_val==-46339 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x332, x1, 376, x2) - -inst_111: -// rs1_val==-46339 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x554, x1, 380, x2) - -inst_112: -// rs1_val==-46339 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2, x1, 384, x2) - -inst_113: -// rs1_val==-46339 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2d, x1, 388, x2) - -inst_114: -// rs1_val==-46339 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2d, x1, 392, x2) - -inst_115: -// rs1_val==-46339 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x666, x1, 396, x2) - -inst_116: -// rs1_val==-46339 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x333, x1, 400, x2) - -inst_117: -// rs1_val==-46339 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x5, x1, 404, x2) - -inst_118: -// rs1_val==-46339 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x556, x1, 408, x2) - -inst_119: -// rs1_val==-46339 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x555, x1, 412, x2) - -inst_120: -// rs1_val==-46339 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x3, x1, 416, x2) - -inst_121: -// rs1_val==1717986919 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2e, x1, 420, x2) - -inst_122: -// rs1_val==1717986919 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2c, x1, 424, x2) - -inst_123: -// rs1_val==1717986919 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x667, x1, 428, x2) - -inst_124: -// rs1_val==1717986919 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x334, x1, 432, x2) - -inst_125: -// rs1_val==1717986919 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x6, x1, 436, x2) - -inst_126: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x555, x1, 440, x2) - -inst_127: -// rs1_val==1717986919 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x556, x1, 444, x2) - -inst_128: -// rs1_val==1717986919 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x4, x1, 448, x2) - -inst_129: -// rs1_val==1717986919 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2c, x1, 452, x2) - -inst_130: -// rs1_val==1717986919 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x0, x1, 456, x2) - -inst_131: -// rs1_val==1717986919 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x665, x1, 460, x2) - -inst_132: -// rs1_val==1717986919 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x332, x1, 464, x2) - -inst_133: -// rs1_val==1717986919 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x554, x1, 468, x2) - -inst_134: -// rs1_val==1717986919 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2, x1, 472, x2) - -inst_135: -// rs1_val==1717986919 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2d, x1, 476, x2) - -inst_136: -// rs1_val==1717986919 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2d, x1, 480, x2) - -inst_137: -// rs1_val==1717986919 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x666, x1, 484, x2) - -inst_138: -// rs1_val==1717986919 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x333, x1, 488, x2) - -inst_139: -// rs1_val==1717986919 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x5, x1, 492, x2) - -inst_140: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x556, x1, 496, x2) - -inst_141: -// rs1_val==1717986919 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x555, x1, 500, x2) - -inst_142: -// rs1_val==1717986919 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x3, x1, 504, x2) - -inst_143: -// rs1_val==858993460 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2e, x1, 508, x2) - -inst_144: -// rs1_val==858993460 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2c, x1, 512, x2) - -inst_145: -// rs1_val==858993460 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x667, x1, 516, x2) - -inst_146: -// rs1_val==858993460 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x334, x1, 520, x2) - -inst_147: -// rs1_val==858993460 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x6, x1, 524, x2) - -inst_148: -// rs1_val==858993460 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x555, x1, 528, x2) - -inst_149: -// rs1_val==858993460 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x556, x1, 532, x2) - -inst_150: -// rs1_val==858993460 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x4, x1, 536, x2) - -inst_151: -// rs1_val==858993460 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2c, x1, 540, x2) - -inst_152: -// rs1_val==858993460 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x0, x1, 544, x2) - -inst_153: -// rs1_val==858993460 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x665, x1, 548, x2) - -inst_154: -// rs1_val==858993460 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x332, x1, 552, x2) - -inst_155: -// rs1_val==858993460 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x554, x1, 556, x2) - -inst_156: -// rs1_val==858993460 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2, x1, 560, x2) - -inst_157: -// rs1_val==858993460 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2d, x1, 564, x2) - -inst_158: -// rs1_val==858993460 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2d, x1, 568, x2) - -inst_159: -// rs1_val==858993460 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x666, x1, 572, x2) - -inst_160: -// rs1_val==858993460 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x333, x1, 576, x2) - -inst_161: -// rs1_val==858993460 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x5, x1, 580, x2) - -inst_162: -// rs1_val==858993460 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x556, x1, 584, x2) - -inst_163: -// rs1_val==858993460 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x555, x1, 588, x2) - -inst_164: -// rs1_val==858993460 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x3, x1, 592, x2) - -inst_165: -// rs1_val==6 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2e, x1, 596, x2) - -inst_166: -// rs1_val==6 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2c, x1, 600, x2) - -inst_167: -// rs1_val==6 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x667, x1, 604, x2) - -inst_168: -// rs1_val==6 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x334, x1, 608, x2) - -inst_169: -// rs1_val==6 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x6, x1, 612, x2) - -inst_170: -// rs1_val==6 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x555, x1, 616, x2) - -inst_171: -// rs1_val==6 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x556, x1, 620, x2) - -inst_172: -// rs1_val==6 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x4, x1, 624, x2) - -inst_173: -// rs1_val==6 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2c, x1, 628, x2) - -inst_174: -// rs1_val==6 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x0, x1, 632, x2) - -inst_175: -// rs1_val==6 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x665, x1, 636, x2) - -inst_176: -// rs1_val==6 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x332, x1, 640, x2) - -inst_177: -// rs1_val==6 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x554, x1, 644, x2) - -inst_178: -// rs1_val==6 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x2, x1, 648, x2) - -inst_179: -// rs1_val==6 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2d, x1, 652, x2) - -inst_180: -// rs1_val==6 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2d, x1, 656, x2) - -inst_181: -// rs1_val==6 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x666, x1, 660, x2) - -inst_182: -// rs1_val==6 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x333, x1, 664, x2) - -inst_183: -// rs1_val==6 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x5, x1, 668, x2) - -inst_184: -// rs1_val==6 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x556, x1, 672, x2) - -inst_185: -// rs1_val==6 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x555, x1, 676, x2) - -inst_186: -// rs1_val==6 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x3, x1, 680, x2) - -inst_187: -// rs1_val==-1431655765 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2e, x1, 684, x2) - -inst_188: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2c, x1, 688, x2) - -inst_189: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x667, x1, 692, x2) - -inst_190: -// rs1_val==-1431655765 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x334, x1, 696, x2) - -inst_191: -// rs1_val==-1431655765 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x6, x1, 700, x2) - -inst_192: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x555, x1, 704, x2) - -inst_193: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x556, x1, 708, x2) - -inst_194: -// rs1_val==-1431655765 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x4, x1, 712, x2) - -inst_195: -// rs1_val==-1431655765 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2c, x1, 716, x2) - -inst_196: -// rs1_val==-1431655765 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x0, x1, 720, x2) - -inst_197: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x665, x1, 724, x2) - -inst_198: -// rs1_val==-1431655765 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x332, x1, 728, x2) - -inst_199: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x554, x1, 732, x2) - -inst_200: -// rs1_val==-1431655765 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2, x1, 736, x2) - -inst_201: -// rs1_val==-1431655765 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2d, x1, 740, x2) - -inst_202: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2d, x1, 744, x2) - -inst_203: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x666, x1, 748, x2) - -inst_204: -// rs1_val==-1431655765 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x333, x1, 752, x2) - -inst_205: -// rs1_val==-1431655765 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x5, x1, 756, x2) - -inst_206: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x556, x1, 760, x2) - -inst_207: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x555, x1, 764, x2) - -inst_208: -// rs1_val==-1431655765 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x3, x1, 768, x2) - -inst_209: -// rs1_val==1431655766 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2e, x1, 772, x2) - -inst_210: -// rs1_val==1431655766 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2c, x1, 776, x2) - -inst_211: -// rs1_val==1431655766 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x667, x1, 780, x2) - -inst_212: -// rs1_val==1431655766 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x334, x1, 784, x2) - -inst_213: -// rs1_val==1431655766 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x6, x1, 788, x2) - -inst_214: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x555, x1, 792, x2) - -inst_215: -// rs1_val==1431655766 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x556, x1, 796, x2) - -inst_216: -// rs1_val==1431655766 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x4, x1, 800, x2) - -inst_217: -// rs1_val==1431655766 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2c, x1, 804, x2) - -inst_218: -// rs1_val==1431655766 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x0, x1, 808, x2) - -inst_219: -// rs1_val==1431655766 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x665, x1, 812, x2) - -inst_220: -// rs1_val==1431655766 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x332, x1, 816, x2) - -inst_221: -// rs1_val==1431655766 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x554, x1, 820, x2) - -inst_222: -// rs1_val==1431655766 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2, x1, 824, x2) - -inst_223: -// rs1_val==1431655766 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2d, x1, 828, x2) - -inst_224: -// rs1_val==1431655766 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2d, x1, 832, x2) - -inst_225: -// rs1_val==1431655766 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x666, x1, 836, x2) - -inst_226: -// rs1_val==1431655766 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x333, x1, 840, x2) - -inst_227: -// rs1_val==1431655766 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x5, x1, 844, x2) - -inst_228: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x556, x1, 848, x2) - -inst_229: -// rs1_val==1431655766 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x555, x1, 852, x2) - -inst_230: -// rs1_val==1431655766 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x3, x1, 856, x2) - -inst_231: -// rs1_val==4 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2e, x1, 860, x2) - -inst_232: -// rs1_val==4 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2c, x1, 864, x2) - -inst_233: -// rs1_val==4 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x667, x1, 868, x2) - -inst_234: -// rs1_val==4 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x334, x1, 872, x2) - -inst_235: -// rs1_val==4 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x6, x1, 876, x2) - -inst_236: -// rs1_val==4 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x555, x1, 880, x2) - -inst_237: -// rs1_val==4 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x556, x1, 884, x2) - -inst_238: -// rs1_val==4 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x4, x1, 888, x2) - -inst_239: -// rs1_val==4 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2c, x1, 892, x2) - -inst_240: -// rs1_val==4 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x0, x1, 896, x2) - -inst_241: -// rs1_val==4 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x665, x1, 900, x2) - -inst_242: -// rs1_val==4 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x332, x1, 904, x2) - -inst_243: -// rs1_val==4 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x554, x1, 908, x2) - -inst_244: -// rs1_val==4 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x2, x1, 912, x2) - -inst_245: -// rs1_val==4 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2d, x1, 916, x2) - -inst_246: -// rs1_val==4 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2d, x1, 920, x2) - -inst_247: -// rs1_val==4 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x666, x1, 924, x2) - -inst_248: -// rs1_val==4 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x333, x1, 928, x2) - -inst_249: -// rs1_val==4 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x5, x1, 932, x2) - -inst_250: -// rs1_val==4 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x556, x1, 936, x2) - -inst_251: -// rs1_val==4 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x555, x1, 940, x2) - -inst_252: -// rs1_val==4 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x3, x1, 944, x2) - -inst_253: -// rs1_val==46339 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2e, x1, 948, x2) - -inst_254: -// rs1_val==46339 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2c, x1, 952, x2) - -inst_255: -// rs1_val==46339 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x667, x1, 956, x2) - -inst_256: -// rs1_val==46339 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x334, x1, 960, x2) - -inst_257: -// rs1_val==46339 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x6, x1, 964, x2) - -inst_258: -// rs1_val==46339 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x555, x1, 968, x2) - -inst_259: -// rs1_val==46339 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x556, x1, 972, x2) - -inst_260: -// rs1_val==46339 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x4, x1, 976, x2) - -inst_261: -// rs1_val==46339 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2c, x1, 980, x2) - -inst_262: -// rs1_val==46339 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x0, x1, 984, x2) - -inst_263: -// rs1_val==46339 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x665, x1, 988, x2) - -inst_264: -// rs1_val==46339 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x332, x1, 992, x2) - -inst_265: -// rs1_val==46339 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x554, x1, 996, x2) - -inst_266: -// rs1_val==46339 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2, x1, 1000, x2) - -inst_267: -// rs1_val==46339 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2d, x1, 1004, x2) - -inst_268: -// rs1_val==46339 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2d, x1, 1008, x2) - -inst_269: -// rs1_val==46339 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x666, x1, 1012, x2) - -inst_270: -// rs1_val==46339 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x333, x1, 1016, x2) - -inst_271: -// rs1_val==46339 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x5, x1, 1020, x2) - -inst_272: -// rs1_val==46339 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x556, x1, 1024, x2) - -inst_273: -// rs1_val==46339 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x555, x1, 1028, x2) - -inst_274: -// rs1_val==46339 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x3, x1, 1032, x2) - -inst_275: -// rs1_val==0 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2e, x1, 1036, x2) - -inst_276: -// rs1_val==0 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2c, x1, 1040, x2) - -inst_277: -// rs1_val==0 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x667, x1, 1044, x2) - -inst_278: -// rs1_val==0 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x334, x1, 1048, x2) - -inst_279: -// rs1_val==0 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x6, x1, 1052, x2) - -inst_280: -// rs1_val==0 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x555, x1, 1056, x2) - -inst_281: -// rs1_val==0 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x556, x1, 1060, x2) - -inst_282: -// rs1_val==0 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x4, x1, 1064, x2) - -inst_283: -// rs1_val==0 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2c, x1, 1068, x2) - -inst_284: -// rs1_val==0 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, 0x0, x1, 1072, x2) - -inst_285: -// rs1_val==0 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x665, x1, 1076, x2) - -inst_286: -// rs1_val==0 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x332, x1, 1080, x2) - -inst_287: -// rs1_val==0 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x554, x1, 1084, x2) - -inst_288: -// rs1_val==0 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2, x1, 1088, x2) - -inst_289: -// rs1_val==0 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2d, x1, 1092, x2) - -inst_290: -// rs1_val==0 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2d, x1, 1096, x2) - -inst_291: -// rs1_val==0 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x666, x1, 1100, x2) - -inst_292: -// rs1_val==0 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x333, x1, 1104, x2) - -inst_293: -// rs1_val==0 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x5, x1, 1108, x2) - -inst_294: -// rs1_val==0 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x556, x1, 1112, x2) - -inst_295: -// rs1_val==0 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x555, x1, 1116, x2) - -inst_296: -// rs1_val==0 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x3, x1, 1120, x2) - -inst_297: -// rs1_val==1717986917 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1124, x2) - -inst_298: -// rs1_val==1717986917 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2c, x1, 1128, x2) - -inst_299: -// rs1_val==1717986917 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x667, x1, 1132, x2) - -inst_300: -// rs1_val==1717986917 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x334, x1, 1136, x2) - -inst_301: -// rs1_val==1717986917 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x6, x1, 1140, x2) - -inst_302: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x555, x1, 1144, x2) - -inst_303: -// rs1_val==1717986917 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x556, x1, 1148, x2) - -inst_304: -// rs1_val==1717986917 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x4, x1, 1152, x2) - -inst_305: -// rs1_val==1717986917 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1156, x2) - -inst_306: -// rs1_val==1717986917 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x0, x1, 1160, x2) - -inst_307: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x555, x1, 1164, x2) - -inst_308: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x556, x1, 1168, x2) - -inst_309: -// rs1_val==-1431655766 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x4, x1, 1172, x2) - -inst_310: -// rs1_val==-1431655766 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2c, x1, 1176, x2) - -inst_311: -// rs1_val==-1431655766 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x0, x1, 1180, x2) - -inst_312: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x665, x1, 1184, x2) - -inst_313: -// rs1_val==-1431655766 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x332, x1, 1188, x2) - -inst_314: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x554, x1, 1192, x2) - -inst_315: -// rs1_val==-1431655766 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2, x1, 1196, x2) - -inst_316: -// rs1_val==-1431655766 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2d, x1, 1200, x2) - -inst_317: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2d, x1, 1204, x2) - -inst_318: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x666, x1, 1208, x2) - -inst_319: -// rs1_val==-1431655766 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x333, x1, 1212, x2) - -inst_320: -// rs1_val==-1431655766 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x5, x1, 1216, x2) - -inst_321: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x556, x1, 1220, x2) - -inst_322: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x555, x1, 1224, x2) - -inst_323: -// rs1_val==-1431655766 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x3, x1, 1228, x2) - -inst_324: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2e, x1, 1232, x2) - -inst_325: -// rs1_val==1431655765 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2c, x1, 1236, x2) - -inst_326: -// rs1_val==1431655765 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x667, x1, 1240, x2) - -inst_327: -// rs1_val==1431655765 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x334, x1, 1244, x2) - -inst_328: -// rs1_val==1431655765 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x6, x1, 1248, x2) - -inst_329: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x555, x1, 1252, x2) - -inst_330: -// rs1_val==1431655765 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x556, x1, 1256, x2) - -inst_331: -// rs1_val==1431655765 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x4, x1, 1260, x2) - -inst_332: -// rs1_val==1431655765 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2c, x1, 1264, x2) - -inst_333: -// rs1_val==1431655765 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x0, x1, 1268, x2) - -inst_334: -// rs1_val==1431655765 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x665, x1, 1272, x2) - -inst_335: -// rs1_val==1431655765 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x332, x1, 1276, x2) - -inst_336: -// rs1_val==1431655765 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x554, x1, 1280, x2) - -inst_337: -// rs1_val==1431655765 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2, x1, 1284, x2) - -inst_338: -// rs1_val==1431655765 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2d, x1, 1288, x2) - -inst_339: -// rs1_val==1431655765 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2d, x1, 1292, x2) - -inst_340: -// rs1_val==1431655765 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x666, x1, 1296, x2) - -inst_341: -// rs1_val==1431655765 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x333, x1, 1300, x2) - -inst_342: -// rs1_val==1431655765 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x5, x1, 1304, x2) - -inst_343: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x556, x1, 1308, x2) - -inst_344: -// rs1_val==1431655765 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x555, x1, 1312, x2) - -inst_345: -// rs1_val==1431655765 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x3, x1, 1316, x2) - -inst_346: -// rs1_val==3 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2e, x1, 1320, x2) - -inst_347: -// rs1_val==3 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2c, x1, 1324, x2) - -inst_348: -// rs1_val==3 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x667, x1, 1328, x2) - -inst_349: -// rs1_val==3 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x334, x1, 1332, x2) - -inst_350: -// rs1_val==3 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x6, x1, 1336, x2) - -inst_351: -// rs1_val==3 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x555, x1, 1340, x2) - -inst_352: -// rs1_val==3 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x556, x1, 1344, x2) - -inst_353: -// rs1_val==3 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x4, x1, 1348, x2) - -inst_354: -// rs1_val==3 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2c, x1, 1352, x2) - -inst_355: -// rs1_val==3 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x0, x1, 1356, x2) - -inst_356: -// rs1_val==3 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x665, x1, 1360, x2) - -inst_357: -// rs1_val==3 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x332, x1, 1364, x2) - -inst_358: -// rs1_val==3 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x554, x1, 1368, x2) - -inst_359: -// rs1_val==3 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x2, x1, 1372, x2) - -inst_360: -// rs1_val==3 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2d, x1, 1376, x2) - -inst_361: -// rs1_val==3 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2d, x1, 1380, x2) - -inst_362: -// rs1_val==3 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x666, x1, 1384, x2) - -inst_363: -// rs1_val==3 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x333, x1, 1388, x2) - -inst_364: -// rs1_val==3 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x5, x1, 1392, x2) - -inst_365: -// rs1_val==3 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x556, x1, 1396, x2) - -inst_366: -// rs1_val==3 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x555, x1, 1400, x2) - -inst_367: -// rs1_val==3 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x3, x1, 1404, x2) - -inst_368: -// rs1_val==1717986917 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x665, x1, 1408, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x332, x1, 1412, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x554, x1, 1416, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2, x1, 1420, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1424, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2d, x1, 1428, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x666, x1, 1432, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x333, x1, 1436, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x5, x1, 1440, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x556, x1, 1444, x2) - -inst_378: -// rs1_val==1717986917 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x555, x1, 1448, x2) - -inst_379: -// rs1_val==1717986917 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x3, x1, 1452, x2) - -inst_380: -// rs1_val==858993458 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1456, x2) - -inst_381: -// rs1_val==858993458 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2c, x1, 1460, x2) - -inst_382: -// rs1_val==858993458 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x667, x1, 1464, x2) - -inst_383: -// rs1_val==858993458 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x334, x1, 1468, x2) - -inst_384: -// rs1_val==858993458 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x6, x1, 1472, x2) - -inst_385: -// rs1_val==858993458 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x555, x1, 1476, x2) - -inst_386: -// rs1_val==858993458 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x556, x1, 1480, x2) - -inst_387: -// rs1_val==858993458 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x4, x1, 1484, x2) - -inst_388: -// rs1_val==858993458 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1488, x2) - -inst_389: -// rs1_val==858993458 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x0, x1, 1492, x2) - -inst_390: -// rs1_val==858993458 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x665, x1, 1496, x2) - -inst_391: -// rs1_val==858993458 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x332, x1, 1500, x2) - -inst_392: -// rs1_val==858993458 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x554, x1, 1504, x2) - -inst_393: -// rs1_val==858993458 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2, x1, 1508, x2) - -inst_394: -// rs1_val==858993458 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1512, x2) - -inst_395: -// rs1_val==858993458 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2d, x1, 1516, x2) - -inst_396: -// rs1_val==858993458 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x666, x1, 1520, x2) - -inst_397: -// rs1_val==858993458 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x333, x1, 1524, x2) - -inst_398: -// rs1_val==858993458 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x5, x1, 1528, x2) - -inst_399: -// rs1_val==858993458 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x556, x1, 1532, x2) - -inst_400: -// rs1_val==858993458 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x555, x1, 1536, x2) - -inst_401: -// rs1_val==858993458 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x3, x1, 1540, x2) - -inst_402: -// rs1_val==1431655764 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2e, x1, 1544, x2) - -inst_403: -// rs1_val==1431655764 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2c, x1, 1548, x2) - -inst_404: -// rs1_val==1431655764 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x667, x1, 1552, x2) - -inst_405: -// rs1_val==1431655764 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x334, x1, 1556, x2) - -inst_406: -// rs1_val==1431655764 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x6, x1, 1560, x2) - -inst_407: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x555, x1, 1564, x2) - -inst_408: -// rs1_val==1431655764 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x556, x1, 1568, x2) - -inst_409: -// rs1_val==1431655764 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x4, x1, 1572, x2) - -inst_410: -// rs1_val==1431655764 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2c, x1, 1576, x2) - -inst_411: -// rs1_val==1431655764 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x0, x1, 1580, x2) - -inst_412: -// rs1_val==1431655764 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x665, x1, 1584, x2) - -inst_413: -// rs1_val==1431655764 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x332, x1, 1588, x2) - -inst_414: -// rs1_val==1431655764 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x554, x1, 1592, x2) - -inst_415: -// rs1_val==1431655764 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2, x1, 1596, x2) - -inst_416: -// rs1_val==1431655764 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2d, x1, 1600, x2) - -inst_417: -// rs1_val==1431655764 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2d, x1, 1604, x2) - -inst_418: -// rs1_val==1431655764 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x666, x1, 1608, x2) - -inst_419: -// rs1_val==1431655764 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x333, x1, 1612, x2) - -inst_420: -// rs1_val==1431655764 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x5, x1, 1616, x2) - -inst_421: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x556, x1, 1620, x2) - -inst_422: -// rs1_val==1431655764 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x555, x1, 1624, x2) - -inst_423: -// rs1_val==1431655764 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x3, x1, 1628, x2) - -inst_424: -// rs1_val==2 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2e, x1, 1632, x2) - -inst_425: -// rs1_val==2 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2c, x1, 1636, x2) - -inst_426: -// rs1_val==2 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x667, x1, 1640, x2) - -inst_427: -// rs1_val==2 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x334, x1, 1644, x2) - -inst_428: -// rs1_val==2 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x6, x1, 1648, x2) - -inst_429: -// rs1_val==2 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x555, x1, 1652, x2) - -inst_430: -// rs1_val==2 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x556, x1, 1656, x2) - -inst_431: -// rs1_val==2 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x4, x1, 1660, x2) - -inst_432: -// rs1_val==2 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2c, x1, 1664, x2) - -inst_433: -// rs1_val==2 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x0, x1, 1668, x2) - -inst_434: -// rs1_val==2 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x665, x1, 1672, x2) - -inst_435: -// rs1_val==2 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x332, x1, 1676, x2) - -inst_436: -// rs1_val==2 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x554, x1, 1680, x2) - -inst_437: -// rs1_val==2 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x2, x1, 1684, x2) - -inst_438: -// rs1_val==2 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2d, x1, 1688, x2) - -inst_439: -// rs1_val==2 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x666, x1, 1692, x2) - -inst_440: -// rs1_val==2 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x333, x1, 1696, x2) - -inst_441: -// rs1_val==2 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x5, x1, 1700, x2) - -inst_442: -// rs1_val==2 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x556, x1, 1704, x2) - -inst_443: -// rs1_val==2 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x555, x1, 1708, x2) - -inst_444: -// rs1_val==2 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x3, x1, 1712, x2) - -inst_445: -// rs1_val==46340 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2e, x1, 1716, x2) - -inst_446: -// rs1_val==46340 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2c, x1, 1720, x2) - -inst_447: -// rs1_val==46340 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x667, x1, 1724, x2) - -inst_448: -// rs1_val==46340 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x334, x1, 1728, x2) - -inst_449: -// rs1_val==46340 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x6, x1, 1732, x2) - -inst_450: -// rs1_val==46340 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x555, x1, 1736, x2) - -inst_451: -// rs1_val==46340 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x556, x1, 1740, x2) - -inst_452: -// rs1_val==46340 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x4, x1, 1744, x2) - -inst_453: -// rs1_val==46340 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2c, x1, 1748, x2) - -inst_454: -// rs1_val==46340 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x0, x1, 1752, x2) - -inst_455: -// rs1_val==46340 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x665, x1, 1756, x2) - -inst_456: -// rs1_val==46340 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x332, x1, 1760, x2) - -inst_457: -// rs1_val==46340 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x554, x1, 1764, x2) - -inst_458: -// rs1_val==46340 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2, x1, 1768, x2) - -inst_459: -// rs1_val==46340 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2d, x1, 1772, x2) - -inst_460: -// rs1_val==46340 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2d, x1, 1776, x2) - -inst_461: -// rs1_val==46340 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x666, x1, 1780, x2) - -inst_462: -// rs1_val==46340 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x333, x1, 1784, x2) - -inst_463: -// rs1_val==46340 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x5, x1, 1788, x2) - -inst_464: -// rs1_val==46340 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x556, x1, 1792, x2) - -inst_465: -// rs1_val==46340 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x555, x1, 1796, x2) - -inst_466: -// rs1_val==46340 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x3, x1, 1800, x2) - -inst_467: -// rs1_val==-46340 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2e, x1, 1804, x2) - -inst_468: -// rs1_val==-46340 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2c, x1, 1808, x2) - -inst_469: -// rs1_val==-46340 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x667, x1, 1812, x2) - -inst_470: -// rs1_val==-46340 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x334, x1, 1816, x2) - -inst_471: -// rs1_val==-46340 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x6, x1, 1820, x2) - -inst_472: -// rs1_val==-46340 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x555, x1, 1824, x2) - -inst_473: -// rs1_val==-46340 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x556, x1, 1828, x2) - -inst_474: -// rs1_val==-46340 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x4, x1, 1832, x2) - -inst_475: -// rs1_val==-46340 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2c, x1, 1836, x2) - -inst_476: -// rs1_val==-46340 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x0, x1, 1840, x2) - -inst_477: -// rs1_val==-46340 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x665, x1, 1844, x2) - -inst_478: -// rs1_val==-46340 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x332, x1, 1848, x2) - -inst_479: -// rs1_val==-46340 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x554, x1, 1852, x2) - -inst_480: -// rs1_val==-46340 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2, x1, 1856, x2) - -inst_481: -// rs1_val==-46340 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2d, x1, 1860, x2) - -inst_482: -// rs1_val==-46340 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2d, x1, 1864, x2) - -inst_483: -// rs1_val==-46340 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x666, x1, 1868, x2) - -inst_484: -// rs1_val==-46340 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x333, x1, 1872, x2) - -inst_485: -// rs1_val==-46340 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x5, x1, 1876, x2) - -inst_486: -// rs1_val==-46340 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x556, x1, 1880, x2) - -inst_487: -// rs1_val==-46340 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x555, x1, 1884, x2) - -inst_488: -// rs1_val==-46340 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x3, x1, 1888, x2) - -inst_489: -// rs1_val==1717986918 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1892, x2) - -inst_490: -// rs1_val==1717986918 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2c, x1, 1896, x2) - -inst_491: -// rs1_val==1717986918 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x667, x1, 1900, x2) - -inst_492: -// rs1_val==1717986918 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x334, x1, 1904, x2) - -inst_493: -// rs1_val==1717986918 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x6, x1, 1908, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x555, x1, 1912, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x556, x1, 1916, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x4, x1, 1920, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1924, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x0, x1, 1928, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x665, x1, 1932, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x332, x1, 1936, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x554, x1, 1940, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2, x1, 1944, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2d, x1, 1948, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2d, x1, 1952, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x666, x1, 1956, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x333, x1, 1960, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x5, x1, 1964, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x556, x1, 1968, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x555, x1, 1972, x2) - -inst_510: -// rs1_val==1717986918 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x3, x1, 1976, x2) - -inst_511: -// rs1_val==858993459 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2e, x1, 1980, x2) - -inst_512: -// rs1_val==858993459 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2c, x1, 1984, x2) - -inst_513: -// rs1_val==858993459 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x667, x1, 1988, x2) - -inst_514: -// rs1_val==858993459 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x334, x1, 1992, x2) - -inst_515: -// rs1_val==858993459 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x6, x1, 1996, x2) - -inst_516: -// rs1_val==858993459 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x555, x1, 2000, x2) - -inst_517: -// rs1_val==858993459 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x556, x1, 2004, x2) - -inst_518: -// rs1_val==858993459 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x4, x1, 2008, x2) - -inst_519: -// rs1_val==858993459 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2c, x1, 2012, x2) - -inst_520: -// rs1_val==858993459 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x0, x1, 2016, x2) - -inst_521: -// rs1_val==858993459 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x665, x1, 2020, x2) - -inst_522: -// rs1_val==858993459 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x332, x1, 2024, x2) - -inst_523: -// rs1_val==858993459 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x554, x1, 2028, x2) - -inst_524: -// rs1_val==858993459 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2, x1, 2032, x2) - -inst_525: -// rs1_val==858993459 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2d, x1, 2036, x2) - -inst_526: -// rs1_val==858993459 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2d, x1, 2040, x2) - -inst_527: -// rs1_val==858993459 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x666, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_528: -// rs1_val==858993459 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x333, x1, 0, x2) - -inst_529: -// rs1_val==858993459 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x5, x1, 4, x2) - -inst_530: -// rs1_val==858993459 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x556, x1, 8, x2) - -inst_531: -// rs1_val==858993459 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x555, x1, 12, x2) - -inst_532: -// rs1_val==858993459 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x3, x1, 16, x2) - -inst_533: -// rs1_val==5 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2e, x1, 20, x2) - -inst_534: -// rs1_val==5 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2c, x1, 24, x2) - -inst_535: -// rs1_val==5 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x667, x1, 28, x2) - -inst_536: -// rs1_val==5 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x334, x1, 32, x2) - -inst_537: -// rs1_val==5 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x6, x1, 36, x2) - -inst_538: -// rs1_val==5 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x555, x1, 40, x2) - -inst_539: -// rs1_val==5 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x556, x1, 44, x2) - -inst_540: -// rs1_val==5 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x4, x1, 48, x2) - -inst_541: -// rs1_val==5 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2c, x1, 52, x2) - -inst_542: -// rs1_val==5 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x0, x1, 56, x2) - -inst_543: -// rs1_val==5 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x665, x1, 60, x2) - -inst_544: -// rs1_val==5 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x332, x1, 64, x2) - -inst_545: -// rs1_val==5 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x554, x1, 68, x2) - -inst_546: -// rs1_val==5 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x2, x1, 72, x2) - -inst_547: -// rs1_val==5 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2d, x1, 76, x2) - -inst_548: -// rs1_val==5 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2d, x1, 80, x2) - -inst_549: -// rs1_val==5 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x666, x1, 84, x2) - -inst_550: -// rs1_val==5 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x333, x1, 88, x2) - -inst_551: -// rs1_val==5 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x5, x1, 92, x2) - -inst_552: -// rs1_val==5 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x556, x1, 96, x2) - -inst_553: -// rs1_val==5 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x555, x1, 100, x2) - -inst_554: -// rs1_val==5 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x3, x1, 104, x2) - -inst_555: -// rs1_val==-1431655766 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2e, x1, 108, x2) - -inst_556: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2c, x1, 112, x2) - -inst_557: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x667, x1, 116, x2) - -inst_558: -// rs1_val==-1431655766 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x334, x1, 120, x2) - -inst_559: -// rs1_val==-1431655766 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x6, x1, 124, x2) - -inst_560: -// rs1_val == -536870913, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x20000001; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x20000001, -0x6, x1, 128, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 33*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S deleted file mode 100644 index 1d2ea3fb4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S +++ /dev/null @@ -1,3569 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sltiu instruction of the RISC-V E extension for the sltiu covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltiu) - -RVTEST_SIGBASE( x14,signature_x14_1) - -inst_0: -// rs1 != rd, rs1==x7, rd==x2, imm_val == (2**(12)-1), rs1_val != imm_val and rs1_val > 0 and imm_val > 0 -// opcode: sltiu ; op1:x7; dest:x2; op1val:0x9; immval:0xfff -TEST_IMM_OP( sltiu, x2, x7, 0x1, 0x9, 0xfff, x14, 0, x9) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, imm_val == 3839 -// opcode: sltiu ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0xeff -TEST_IMM_OP( sltiu, x3, x3, 0x1, 0x7fffffff, 0xeff, x14, 4, x9) - -inst_2: -// rs1==x10, rd==x4, rs1_val == 3221225471, imm_val == 32 -// opcode: sltiu ; op1:x10; dest:x4; op1val:0xbfffffff; immval:0x20 -TEST_IMM_OP( sltiu, x4, x10, 0x0, 0xbfffffff, 0x20, x14, 8, x9) - -inst_3: -// rs1==x4, rd==x6, rs1_val == 3758096383, -// opcode: sltiu ; op1:x4; dest:x6; op1val:0xdfffffff; immval:0x5 -TEST_IMM_OP( sltiu, x6, x4, 0x0, 0xdfffffff, 0x5, x14, 12, x9) - -inst_4: -// rs1==x6, rd==x13, rs1_val == 4026531839, -// opcode: sltiu ; op1:x6; dest:x13; op1val:0xefffffff; immval:0x667 -TEST_IMM_OP( sltiu, x13, x6, 0x0, 0xefffffff, 0x667, x14, 16, x9) - -inst_5: -// rs1==x1, rd==x7, rs1_val == 4160749567, imm_val == 16 -// opcode: sltiu ; op1:x1; dest:x7; op1val:0xf7ffffff; immval:0x10 -TEST_IMM_OP( sltiu, x7, x1, 0x0, 0xf7ffffff, 0x10, x14, 20, x9) - -inst_6: -// rs1==x2, rd==x8, rs1_val == 4227858431, imm_val == 0 -// opcode: sltiu ; op1:x2; dest:x8; op1val:0xfbffffff; immval:0x0 -TEST_IMM_OP( sltiu, x8, x2, 0x0, 0xfbffffff, 0x0, x14, 24, x9) - -inst_7: -// rs1==x0, rd==x1, rs1_val == 4261412863, -// opcode: sltiu ; op1:x0; dest:x1; op1val:0x0; immval:0xc -TEST_IMM_OP( sltiu, x1, x0, 0x1, 0x0, 0xc, x14, 28, x9) - -inst_8: -// rs1==x5, rd==x12, rs1_val == 4278190079, imm_val == 512 -// opcode: sltiu ; op1:x5; dest:x12; op1val:0xfeffffff; immval:0x200 -TEST_IMM_OP( sltiu, x12, x5, 0x0, 0xfeffffff, 0x200, x14, 32, x9) - -inst_9: -// rs1==x11, rd==x9, rs1_val == 4286578687, -// opcode: sltiu ; op1:x11; dest:x9; op1val:0xff7fffff; immval:0xf -TEST_IMM_OP( sltiu, x9, x11, 0x0, 0xff7fffff, 0xf, x14, 36, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x12, rd==x10, rs1_val == 4290772991, imm_val == 4093 -// opcode: sltiu ; op1:x12; dest:x10; op1val:0xffbfffff; immval:0xffd -TEST_IMM_OP( sltiu, x10, x12, 0x1, 0xffbfffff, 0xffd, x1, 0, x2) - -inst_11: -// rs1==x9, rd==x14, rs1_val == 4292870143, -// opcode: sltiu ; op1:x9; dest:x14; op1val:0xffdfffff; immval:0xaab -TEST_IMM_OP( sltiu, x14, x9, 0x1, 0xffdfffff, 0xaab, x1, 4, x2) - -inst_12: -// rs1==x14, rd==x15, rs1_val == 4293918719, -// opcode: sltiu ; op1:x14; dest:x15; op1val:0xffefffff; immval:0x11 -TEST_IMM_OP( sltiu, x15, x14, 0x0, 0xffefffff, 0x11, x1, 8, x2) - -inst_13: -// rs1==x15, rd==x0, rs1_val == 4294443007, -// opcode: sltiu ; op1:x15; dest:x0; op1val:0xfff7ffff; immval:0xa -TEST_IMM_OP( sltiu, x0, x15, 0, 0xfff7ffff, 0xa, x1, 12, x2) - -inst_14: -// rs1==x13, rd==x11, rs1_val == 4294705151, -// opcode: sltiu ; op1:x13; dest:x11; op1val:0xfffbffff; immval:0x13 -TEST_IMM_OP( sltiu, x11, x13, 0x0, 0xfffbffff, 0x13, x1, 16, x2) - -inst_15: -// rs1==x8, rd==x5, rs1_val == 4294836223, -// opcode: sltiu ; op1:x8; dest:x5; op1val:0xfffdffff; immval:0x0 -TEST_IMM_OP( sltiu, x5, x8, 0x0, 0xfffdffff, 0x0, x1, 20, x2) - -inst_16: -// rs1_val == 4294901759, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffeffff; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffeffff, 0x3, x1, 24, x2) - -inst_17: -// rs1_val == 4294934527, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff7fff; immval:0xfff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff7fff, 0xfff, x1, 28, x2) - -inst_18: -// rs1_val == 4294950911, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffbfff; immval:0xf -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffbfff, 0xf, x1, 32, x2) - -inst_19: -// rs1_val == 4294959103, imm_val == 4087 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffdfff; immval:0xff7 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffdfff, 0xff7, x1, 36, x2) - -inst_20: -// rs1_val == 4294963199, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffefff; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffefff, 0x334, x1, 40, x2) - -inst_21: -// rs1_val == 4294965247, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffff7ff; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffff7ff, 0x666, x1, 44, x2) - -inst_22: -// rs1_val == 4294966271, imm_val == 3071 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffbff; immval:0xbff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffbff, 0xbff, x1, 48, x2) - -inst_23: -// rs1_val == 4294966783, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffdff; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffdff, 0x332, x1, 52, x2) - -inst_24: -// rs1_val == 4294967039, imm_val == 3583 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffeff; immval:0xdff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffeff, 0xdff, x1, 56, x2) - -inst_25: -// rs1_val == 4294967167, imm_val == 4094 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffff7f; immval:0xffe -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffff7f, 0xffe, x1, 60, x2) - -inst_26: -// rs1_val == 4294967231, imm_val == 128 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffbf; immval:0x80 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffbf, 0x80, x1, 64, x2) - -inst_27: -// rs1_val == 4294967263, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xc -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xc, x1, 68, x2) - -inst_28: -// rs1_val == 4294967279, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffef; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffef, 0xaab, x1, 72, x2) - -inst_29: -// rs1_val == 4294967287, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffff7; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffff7, 0x554, x1, 76, x2) - -inst_30: -// rs1_val == 4294967291, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffb; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffb, 0x667, x1, 80, x2) - -inst_31: -// rs1_val == 4294967293, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffd; immval:0xdff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffd, 0xdff, x1, 84, x2) - -inst_32: -// rs1_val == 4294967294, imm_val == 4079 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0xfef -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0xfef, x1, 88, x2) - -inst_33: -// imm_val == 2047, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0x7ff, x1, 92, x2) - -inst_34: -// imm_val == 3967, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xf7f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xf7f, x1, 96, x2) - -inst_35: -// imm_val == 4031, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xfbf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xfbf, x1, 100, x2) - -inst_36: -// imm_val == 4063, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffbffff; immval:0xfdf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffbffff, 0xfdf, x1, 104, x2) - -inst_37: -// imm_val == 4091, rs1_val == 524288 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000; immval:0xffb -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80000, 0xffb, x1, 108, x2) - -inst_38: -// rs1_val == 2147483648, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000000; immval:0x200 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x80000000, 0x200, x1, 112, x2) - -inst_39: -// rs1_val == 1073741824, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40000000, 0x7ff, x1, 116, x2) - -inst_40: -// rs1_val == 536870912, imm_val == 2 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000000, 0x2, x1, 120, x2) - -inst_41: -// rs1_val == 268435456, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000000; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000000, 0x3, x1, 124, x2) - -inst_42: -// rs1_val == 134217728, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000000; immval:0xeff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000000, 0xeff, x1, 128, x2) - -inst_43: -// rs1_val == 67108864, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000000; immval:0xe -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000000, 0xe, x1, 132, x2) - -inst_44: -// rs1_val == 33554432, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000000; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000000, 0x5, x1, 136, x2) - -inst_45: -// rs1_val == 16777216, imm_val == 2048 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000000; immval:0x800 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1000000, 0x800, x1, 140, x2) - -inst_46: -// rs1_val == 8388608, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x800000, 0x7, x1, 144, x2) - -inst_47: -// rs1_val == 4194304, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400000; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x400000, 0xaab, x1, 148, x2) - -inst_48: -// rs1_val == 2097152, imm_val == 1 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200000; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200000, 0x1, x1, 152, x2) - -inst_49: -// rs1_val == 1048576, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100000; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100000, 0x7ff, x1, 156, x2) - -inst_50: -// rs1_val == 262144, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000; immval:0xff7 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x40000, 0xff7, x1, 160, x2) - -inst_51: -// rs1_val == 131072, imm_val == 4 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000, 0x4, x1, 164, x2) - -inst_52: -// rs1_val == 65536, rs1_val==65536 and imm_val==3 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3, x1, 168, x2) - -inst_53: -// rs1_val == 32768, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000; immval:0xffb -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000, 0xffb, x1, 172, x2) - -inst_54: -// rs1_val == 16384, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000, 0x2, x1, 176, x2) - -inst_55: -// rs1_val == 8192, imm_val == 8 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000; immval:0x8 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000, 0x8, x1, 180, x2) - -inst_56: -// rs1_val == 4096, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1000, 0x2d, x1, 184, x2) - -inst_57: -// rs1_val == 2048, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800; immval:0xfbf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x800, 0xfbf, x1, 188, x2) - -inst_58: -// rs1_val == 1024, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400; immval:0x20 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x400, 0x20, x1, 192, x2) - -inst_59: -// rs1_val == 512, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200; immval:0x9 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200, 0x9, x1, 196, x2) - -inst_60: -// rs1_val == 256, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100; immval:0x7 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100, 0x7, x1, 200, x2) - -inst_61: -// rs1_val == 128, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80; immval:0x800 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80, 0x800, x1, 204, x2) - -inst_62: -// rs1_val == 64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40, 0x3e, x1, 208, x2) - -inst_63: -// rs1_val == 32, imm_val == 2730 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x20, 0xaaa, x1, 212, x2) - -inst_64: -// rs1_val == 16, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10; immval:0xfef -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10, 0xfef, x1, 216, x2) - -inst_65: -// rs1_val == 8, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x8, 0x0, x1, 220, x2) - -inst_66: -// rs1_val == 4, rs1_val==4 and imm_val==4, rs1_val == imm_val and rs1_val > 0 and imm_val > 0 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x4, x1, 224, x2) - -inst_67: -// rs1_val == 2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xbff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xbff, x1, 228, x2) - -inst_68: -// rs1_val == 1, rs1_val==1 and imm_val==1638 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x666, x1, 232, x2) - -inst_69: -// imm_val == 1024, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x400 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x400, x1, 236, x2) - -inst_70: -// imm_val == 256, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xdfffffff; immval:0x100 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xdfffffff, 0x100, x1, 240, x2) - -inst_71: -// imm_val == 64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x12; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x12, 0x40, x1, 244, x2) - -inst_72: -// rs1_val==65536 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x40, x1, 248, x2) - -inst_73: -// rs1_val==65536 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x1, x1, 252, x2) - -inst_74: -// rs1_val==65536 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2e, x1, 256, x2) - -inst_75: -// rs1_val==65536 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x667, x1, 260, x2) - -inst_76: -// rs1_val==65536 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x334, x1, 264, x2) - -inst_77: -// rs1_val==65536 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x6, x1, 268, x2) - -inst_78: -// rs1_val==65536 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaab, x1, 272, x2) - -inst_79: -// rs1_val==65536 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x556, x1, 276, x2) - -inst_80: -// rs1_val==65536 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x4, x1, 280, x2) - -inst_81: -// rs1_val==65536 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3e, x1, 284, x2) - -inst_82: -// rs1_val==65536 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x0, x1, 288, x2) - -inst_83: -// rs1_val==65536 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2c, x1, 292, x2) - -inst_84: -// rs1_val==65536 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x665, x1, 296, x2) - -inst_85: -// rs1_val==65536 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x332, x1, 300, x2) - -inst_86: -// rs1_val==65536 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaa9, x1, 304, x2) - -inst_87: -// rs1_val==65536 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x554, x1, 308, x2) - -inst_88: -// rs1_val==65536 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2, x1, 312, x2) - -inst_89: -// rs1_val==65536 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3f, x1, 316, x2) - -inst_90: -// rs1_val==65536 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2d, x1, 320, x2) - -inst_91: -// rs1_val==65536 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x666, x1, 324, x2) - -inst_92: -// rs1_val==65536 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x333, x1, 328, x2) - -inst_93: -// rs1_val==65536 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x5, x1, 332, x2) - -inst_94: -// rs1_val==65536 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaaa, x1, 336, x2) - -inst_95: -// rs1_val==65536 and imm_val==1365, imm_val == 1365 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x555, x1, 340, x2) - -inst_96: -// rs1_val==1 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x40, x1, 344, x2) - -inst_97: -// rs1_val==1 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x1, x1, 348, x2) - -inst_98: -// rs1_val==1 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2e, x1, 352, x2) - -inst_99: -// rs1_val==1 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x667, x1, 356, x2) - -inst_100: -// rs1_val==1 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x334, x1, 360, x2) - -inst_101: -// rs1_val==1 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x6, x1, 364, x2) - -inst_102: -// rs1_val==1 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaab, x1, 368, x2) - -inst_103: -// rs1_val==1 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x556, x1, 372, x2) - -inst_104: -// rs1_val==1 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x4, x1, 376, x2) - -inst_105: -// rs1_val==1 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3e, x1, 380, x2) - -inst_106: -// rs1_val==1 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x0, x1, 384, x2) - -inst_107: -// rs1_val==1 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2c, x1, 388, x2) - -inst_108: -// rs1_val==1 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x665, x1, 392, x2) - -inst_109: -// rs1_val==1 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x332, x1, 396, x2) - -inst_110: -// rs1_val==1 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaa9, x1, 400, x2) - -inst_111: -// rs1_val==1 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x554, x1, 404, x2) - -inst_112: -// rs1_val==1 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2, x1, 408, x2) - -inst_113: -// rs1_val==1 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3f, x1, 412, x2) - -inst_114: -// rs1_val==1 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2d, x1, 416, x2) - -inst_115: -// rs1_val==1 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x333, x1, 420, x2) - -inst_116: -// rs1_val==1 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x5, x1, 424, x2) - -inst_117: -// rs1_val==1 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaaa, x1, 428, x2) - -inst_118: -// rs1_val==1 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x555, x1, 432, x2) - -inst_119: -// rs1_val==1 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3, x1, 436, x2) - -inst_120: -// rs1_val==46341 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x40, x1, 440, x2) - -inst_121: -// rs1_val==46341 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x1, x1, 444, x2) - -inst_122: -// rs1_val==46341 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2e, x1, 448, x2) - -inst_123: -// rs1_val==46341 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x667, x1, 452, x2) - -inst_124: -// rs1_val==46341 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x334, x1, 456, x2) - -inst_125: -// rs1_val==46341 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x6, x1, 460, x2) - -inst_126: -// rs1_val==46341 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaab, x1, 464, x2) - -inst_127: -// rs1_val==46341 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x556, x1, 468, x2) - -inst_128: -// rs1_val==46341 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x4, x1, 472, x2) - -inst_129: -// rs1_val==46341 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3e, x1, 476, x2) - -inst_130: -// rs1_val==46341 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x0, x1, 480, x2) - -inst_131: -// rs1_val==46341 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2c, x1, 484, x2) - -inst_132: -// rs1_val==46341 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x665, x1, 488, x2) - -inst_133: -// rs1_val==46341 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x332, x1, 492, x2) - -inst_134: -// rs1_val==46341 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaa9, x1, 496, x2) - -inst_135: -// rs1_val==46341 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x554, x1, 500, x2) - -inst_136: -// rs1_val==46341 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2, x1, 504, x2) - -inst_137: -// rs1_val==46341 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3f, x1, 508, x2) - -inst_138: -// rs1_val==46341 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2d, x1, 512, x2) - -inst_139: -// rs1_val==46341 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x666, x1, 516, x2) - -inst_140: -// rs1_val==46341 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x333, x1, 520, x2) - -inst_141: -// rs1_val==46341 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x5, x1, 524, x2) - -inst_142: -// rs1_val==46341 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaaa, x1, 528, x2) - -inst_143: -// rs1_val==46341 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x555, x1, 532, x2) - -inst_144: -// rs1_val==46341 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3, x1, 536, x2) - -inst_145: -// rs1_val==1717986919 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x40, x1, 540, x2) - -inst_146: -// rs1_val==1717986919 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x1, x1, 544, x2) - -inst_147: -// rs1_val==1717986919 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2e, x1, 548, x2) - -inst_148: -// rs1_val==1717986919 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x667, x1, 552, x2) - -inst_149: -// rs1_val==1717986919 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x334, x1, 556, x2) - -inst_150: -// rs1_val==1717986919 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x6, x1, 560, x2) - -inst_151: -// rs1_val==1717986919 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaab, x1, 564, x2) - -inst_152: -// rs1_val==1717986919 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x556, x1, 568, x2) - -inst_153: -// rs1_val==1717986919 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x4, x1, 572, x2) - -inst_154: -// rs1_val==1717986919 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3e, x1, 576, x2) - -inst_155: -// rs1_val==1717986919 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x0, x1, 580, x2) - -inst_156: -// rs1_val==1717986919 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2c, x1, 584, x2) - -inst_157: -// rs1_val==1717986919 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x665, x1, 588, x2) - -inst_158: -// rs1_val==1717986919 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x332, x1, 592, x2) - -inst_159: -// rs1_val==1717986919 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaa9, x1, 596, x2) - -inst_160: -// rs1_val==1717986919 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x554, x1, 600, x2) - -inst_161: -// rs1_val==1717986919 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2, x1, 604, x2) - -inst_162: -// rs1_val==1717986919 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3f, x1, 608, x2) - -inst_163: -// rs1_val==1717986919 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2d, x1, 612, x2) - -inst_164: -// rs1_val==1717986919 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x666, x1, 616, x2) - -inst_165: -// rs1_val==1717986919 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x333, x1, 620, x2) - -inst_166: -// rs1_val==1717986919 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x5, x1, 624, x2) - -inst_167: -// rs1_val==1717986919 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaaa, x1, 628, x2) - -inst_168: -// rs1_val==1717986919 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x555, x1, 632, x2) - -inst_169: -// rs1_val==1717986919 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3, x1, 636, x2) - -inst_170: -// rs1_val==858993460 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x40, x1, 640, x2) - -inst_171: -// rs1_val==858993460 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x1, x1, 644, x2) - -inst_172: -// rs1_val==858993460 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2e, x1, 648, x2) - -inst_173: -// rs1_val==858993460 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x667, x1, 652, x2) - -inst_174: -// rs1_val==858993460 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x334, x1, 656, x2) - -inst_175: -// rs1_val==858993460 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x6, x1, 660, x2) - -inst_176: -// rs1_val==858993460 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaab, x1, 664, x2) - -inst_177: -// rs1_val==858993460 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x556, x1, 668, x2) - -inst_178: -// rs1_val==858993460 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x4, x1, 672, x2) - -inst_179: -// rs1_val==858993460 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3e, x1, 676, x2) - -inst_180: -// rs1_val==858993460 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x0, x1, 680, x2) - -inst_181: -// rs1_val==858993460 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2c, x1, 684, x2) - -inst_182: -// rs1_val==858993460 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x665, x1, 688, x2) - -inst_183: -// rs1_val==858993460 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x332, x1, 692, x2) - -inst_184: -// rs1_val==858993460 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaa9, x1, 696, x2) - -inst_185: -// rs1_val==858993460 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x554, x1, 700, x2) - -inst_186: -// rs1_val==858993460 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2, x1, 704, x2) - -inst_187: -// rs1_val==858993460 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3f, x1, 708, x2) - -inst_188: -// rs1_val==858993460 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2d, x1, 712, x2) - -inst_189: -// rs1_val==858993460 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x666, x1, 716, x2) - -inst_190: -// rs1_val==858993460 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x333, x1, 720, x2) - -inst_191: -// rs1_val==858993460 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x5, x1, 724, x2) - -inst_192: -// rs1_val==858993460 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaaa, x1, 728, x2) - -inst_193: -// rs1_val==858993460 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x555, x1, 732, x2) - -inst_194: -// rs1_val==858993460 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3, x1, 736, x2) - -inst_195: -// rs1_val==6 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x40, x1, 740, x2) - -inst_196: -// rs1_val==6 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x1, x1, 744, x2) - -inst_197: -// rs1_val==6 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2e, x1, 748, x2) - -inst_198: -// rs1_val==6 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x667, x1, 752, x2) - -inst_199: -// rs1_val==6 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x334, x1, 756, x2) - -inst_200: -// rs1_val==6 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x6, x1, 760, x2) - -inst_201: -// rs1_val==6 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaab, x1, 764, x2) - -inst_202: -// rs1_val==6 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x556, x1, 768, x2) - -inst_203: -// rs1_val==6 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x4, x1, 772, x2) - -inst_204: -// rs1_val==6 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3e, x1, 776, x2) - -inst_205: -// rs1_val==6 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x0, x1, 780, x2) - -inst_206: -// rs1_val==6 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2c, x1, 784, x2) - -inst_207: -// rs1_val==6 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x665, x1, 788, x2) - -inst_208: -// rs1_val==6 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x332, x1, 792, x2) - -inst_209: -// rs1_val==6 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaa9, x1, 796, x2) - -inst_210: -// rs1_val==6 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x554, x1, 800, x2) - -inst_211: -// rs1_val==6 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x2, x1, 804, x2) - -inst_212: -// rs1_val==6 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3f, x1, 808, x2) - -inst_213: -// rs1_val==6 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2d, x1, 812, x2) - -inst_214: -// rs1_val==6 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x666, x1, 816, x2) - -inst_215: -// rs1_val==6 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x333, x1, 820, x2) - -inst_216: -// rs1_val==6 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x5, x1, 824, x2) - -inst_217: -// rs1_val==6 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaaa, x1, 828, x2) - -inst_218: -// rs1_val==6 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x555, x1, 832, x2) - -inst_219: -// rs1_val==6 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x3, x1, 836, x2) - -inst_220: -// rs1_val==2863311531 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x40, x1, 840, x2) - -inst_221: -// rs1_val==2863311531 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x1, x1, 844, x2) - -inst_222: -// rs1_val==2863311531 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2e, x1, 848, x2) - -inst_223: -// rs1_val==2863311531 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x667, x1, 852, x2) - -inst_224: -// rs1_val==2863311531 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x334, x1, 856, x2) - -inst_225: -// rs1_val==2863311531 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x6, x1, 860, x2) - -inst_226: -// rs1_val==2863311531 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaab, x1, 864, x2) - -inst_227: -// rs1_val==2863311531 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x556, x1, 868, x2) - -inst_228: -// rs1_val==2863311531 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x4, x1, 872, x2) - -inst_229: -// rs1_val==2863311531 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3e, x1, 876, x2) - -inst_230: -// rs1_val==2863311531 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x0, x1, 880, x2) - -inst_231: -// rs1_val==2863311531 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2c, x1, 884, x2) - -inst_232: -// rs1_val==2863311531 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x665, x1, 888, x2) - -inst_233: -// rs1_val==2863311531 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x332, x1, 892, x2) - -inst_234: -// rs1_val==2863311531 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaa9, x1, 896, x2) - -inst_235: -// rs1_val==2863311531 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x554, x1, 900, x2) - -inst_236: -// rs1_val==2863311531 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2, x1, 904, x2) - -inst_237: -// rs1_val==2863311531 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3f, x1, 908, x2) - -inst_238: -// rs1_val==2863311531 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2d, x1, 912, x2) - -inst_239: -// rs1_val==2863311531 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x666, x1, 916, x2) - -inst_240: -// rs1_val==2863311531 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x333, x1, 920, x2) - -inst_241: -// rs1_val==2863311531 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x5, x1, 924, x2) - -inst_242: -// rs1_val==2863311531 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaaa, x1, 928, x2) - -inst_243: -// rs1_val==2863311531 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x555, x1, 932, x2) - -inst_244: -// rs1_val==2863311531 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3, x1, 936, x2) - -inst_245: -// rs1_val==1431655766 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x40, x1, 940, x2) - -inst_246: -// rs1_val==1431655766 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x1, x1, 944, x2) - -inst_247: -// rs1_val==1431655766 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2e, x1, 948, x2) - -inst_248: -// rs1_val==1431655766 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x667, x1, 952, x2) - -inst_249: -// rs1_val==1431655766 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x334, x1, 956, x2) - -inst_250: -// rs1_val==1431655766 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x6, x1, 960, x2) - -inst_251: -// rs1_val==1431655766 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaab, x1, 964, x2) - -inst_252: -// rs1_val==1431655766 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x556, x1, 968, x2) - -inst_253: -// rs1_val==1431655766 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x4, x1, 972, x2) - -inst_254: -// rs1_val==1431655766 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3e, x1, 976, x2) - -inst_255: -// rs1_val==1431655766 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x0, x1, 980, x2) - -inst_256: -// rs1_val==1431655766 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2c, x1, 984, x2) - -inst_257: -// rs1_val==1431655766 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x665, x1, 988, x2) - -inst_258: -// rs1_val==1431655766 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x332, x1, 992, x2) - -inst_259: -// rs1_val==1431655766 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaa9, x1, 996, x2) - -inst_260: -// rs1_val==1431655766 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x554, x1, 1000, x2) - -inst_261: -// rs1_val==1431655766 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2, x1, 1004, x2) - -inst_262: -// rs1_val==1431655766 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3f, x1, 1008, x2) - -inst_263: -// rs1_val==1431655766 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2d, x1, 1012, x2) - -inst_264: -// rs1_val==1431655766 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x666, x1, 1016, x2) - -inst_265: -// rs1_val==1431655766 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x333, x1, 1020, x2) - -inst_266: -// rs1_val==1431655766 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x5, x1, 1024, x2) - -inst_267: -// rs1_val==1431655766 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaaa, x1, 1028, x2) - -inst_268: -// rs1_val==1431655766 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x555, x1, 1032, x2) - -inst_269: -// rs1_val==1431655766 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3, x1, 1036, x2) - -inst_270: -// rs1_val==4 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x40, x1, 1040, x2) - -inst_271: -// rs1_val==4 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x1, x1, 1044, x2) - -inst_272: -// rs1_val==4 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2e, x1, 1048, x2) - -inst_273: -// rs1_val==4 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x667, x1, 1052, x2) - -inst_274: -// rs1_val==4 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x334, x1, 1056, x2) - -inst_275: -// rs1_val==4 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x6, x1, 1060, x2) - -inst_276: -// rs1_val==4 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaab, x1, 1064, x2) - -inst_277: -// rs1_val==4 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x556, x1, 1068, x2) - -inst_278: -// rs1_val==4 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3e, x1, 1072, x2) - -inst_279: -// rs1_val==4 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x0, x1, 1076, x2) - -inst_280: -// rs1_val==4 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2c, x1, 1080, x2) - -inst_281: -// rs1_val==4 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x665, x1, 1084, x2) - -inst_282: -// rs1_val==4 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x332, x1, 1088, x2) - -inst_283: -// rs1_val==4 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaa9, x1, 1092, x2) - -inst_284: -// rs1_val==4 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x554, x1, 1096, x2) - -inst_285: -// rs1_val==4 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x2, x1, 1100, x2) - -inst_286: -// rs1_val==4 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3f, x1, 1104, x2) - -inst_287: -// rs1_val==4 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2d, x1, 1108, x2) - -inst_288: -// rs1_val==4 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x666, x1, 1112, x2) - -inst_289: -// rs1_val==4 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x333, x1, 1116, x2) - -inst_290: -// rs1_val==4 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x5, x1, 1120, x2) - -inst_291: -// rs1_val==4 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaaa, x1, 1124, x2) - -inst_292: -// rs1_val==4 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x555, x1, 1128, x2) - -inst_293: -// rs1_val==4 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x3, x1, 1132, x2) - -inst_294: -// rs1_val==65534 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x40, x1, 1136, x2) - -inst_295: -// rs1_val==65534 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x1, x1, 1140, x2) - -inst_296: -// rs1_val==65534 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2e, x1, 1144, x2) - -inst_297: -// rs1_val==65534 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x667, x1, 1148, x2) - -inst_298: -// rs1_val==65534 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x334, x1, 1152, x2) - -inst_299: -// rs1_val==65534 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x6, x1, 1156, x2) - -inst_300: -// rs1_val==65534 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaab, x1, 1160, x2) - -inst_301: -// rs1_val==65534 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x556, x1, 1164, x2) - -inst_302: -// rs1_val==65534 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x4, x1, 1168, x2) - -inst_303: -// rs1_val==65534 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3e, x1, 1172, x2) - -inst_304: -// rs1_val==65534 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x0, x1, 1176, x2) - -inst_305: -// rs1_val==65534 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2c, x1, 1180, x2) - -inst_306: -// rs1_val==65534 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x665, x1, 1184, x2) - -inst_307: -// rs1_val==65534 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x332, x1, 1188, x2) - -inst_308: -// rs1_val==65534 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaa9, x1, 1192, x2) - -inst_309: -// rs1_val==65534 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x554, x1, 1196, x2) - -inst_310: -// rs1_val==65534 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2, x1, 1200, x2) - -inst_311: -// rs1_val==65534 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3f, x1, 1204, x2) - -inst_312: -// rs1_val==65534 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2d, x1, 1208, x2) - -inst_313: -// rs1_val==65534 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x666, x1, 1212, x2) - -inst_314: -// rs1_val==65534 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x333, x1, 1216, x2) - -inst_315: -// rs1_val==65534 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x5, x1, 1220, x2) - -inst_316: -// rs1_val==65534 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaaa, x1, 1224, x2) - -inst_317: -// rs1_val==65534 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x555, x1, 1228, x2) - -inst_318: -// rs1_val==65534 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3, x1, 1232, x2) - -inst_319: -// rs1_val==0 and imm_val==64, rs1_val == 0 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x40, x1, 1236, x2) - -inst_320: -// rs1_val==0 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x1, x1, 1240, x2) - -inst_321: -// rs1_val==0 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2e, x1, 1244, x2) - -inst_322: -// rs1_val==0 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x667, x1, 1248, x2) - -inst_323: -// rs1_val==0 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x334, x1, 1252, x2) - -inst_324: -// rs1_val==0 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x6, x1, 1256, x2) - -inst_325: -// rs1_val==0 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaab, x1, 1260, x2) - -inst_326: -// rs1_val==0 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x556, x1, 1264, x2) - -inst_327: -// rs1_val==0 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x4, x1, 1268, x2) - -inst_328: -// rs1_val==0 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3e, x1, 1272, x2) - -inst_329: -// rs1_val==0 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x0, 0x0, x1, 1276, x2) - -inst_330: -// rs1_val==0 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2c, x1, 1280, x2) - -inst_331: -// rs1_val==0 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x665, x1, 1284, x2) - -inst_332: -// rs1_val==0 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x332, x1, 1288, x2) - -inst_333: -// rs1_val==0 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaa9, x1, 1292, x2) - -inst_334: -// rs1_val==0 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x554, x1, 1296, x2) - -inst_335: -// rs1_val==0 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2, x1, 1300, x2) - -inst_336: -// rs1_val==0 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3f, x1, 1304, x2) - -inst_337: -// rs1_val==0 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2d, x1, 1308, x2) - -inst_338: -// rs1_val==0 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x666, x1, 1312, x2) - -inst_339: -// rs1_val==0 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x333, x1, 1316, x2) - -inst_340: -// rs1_val==0 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x5, x1, 1320, x2) - -inst_341: -// rs1_val==0 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaaa, x1, 1324, x2) - -inst_342: -// rs1_val==0 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x555, x1, 1328, x2) - -inst_343: -// rs1_val==0 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3, x1, 1332, x2) - -inst_344: -// rs1_val==46339 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x40, x1, 1336, x2) - -inst_345: -// rs1_val==46339 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x1, x1, 1340, x2) - -inst_346: -// rs1_val==46339 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2e, x1, 1344, x2) - -inst_347: -// rs1_val==46339 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x667, x1, 1348, x2) - -inst_348: -// rs1_val==46339 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x334, x1, 1352, x2) - -inst_349: -// rs1_val==46339 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x6, x1, 1356, x2) - -inst_350: -// rs1_val==46339 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaab, x1, 1360, x2) - -inst_351: -// rs1_val==46339 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x556, x1, 1364, x2) - -inst_352: -// rs1_val==46339 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x4, x1, 1368, x2) - -inst_353: -// rs1_val==46339 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3e, x1, 1372, x2) - -inst_354: -// rs1_val==46339 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x0, x1, 1376, x2) - -inst_355: -// rs1_val==46339 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2c, x1, 1380, x2) - -inst_356: -// rs1_val==46339 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x665, x1, 1384, x2) - -inst_357: -// rs1_val==46339 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x332, x1, 1388, x2) - -inst_358: -// rs1_val==46339 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaa9, x1, 1392, x2) - -inst_359: -// rs1_val==46339 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x554, x1, 1396, x2) - -inst_360: -// rs1_val==46339 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2, x1, 1400, x2) - -inst_361: -// rs1_val==46339 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3f, x1, 1404, x2) - -inst_362: -// rs1_val==46339 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2d, x1, 1408, x2) - -inst_363: -// rs1_val==46339 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x666, x1, 1412, x2) - -inst_364: -// rs1_val==46339 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x333, x1, 1416, x2) - -inst_365: -// rs1_val==46339 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x5, x1, 1420, x2) - -inst_366: -// rs1_val==46339 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaaa, x1, 1424, x2) - -inst_367: -// rs1_val==46339 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x555, x1, 1428, x2) - -inst_368: -// rs1_val==46339 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3, x1, 1432, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x40, x1, 1436, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x1, x1, 1440, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1444, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x667, x1, 1448, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x334, x1, 1452, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x6, x1, 1456, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaab, x1, 1460, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x556, x1, 1464, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x4, x1, 1468, x2) - -inst_378: -// rs1_val==1717986917 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3e, x1, 1472, x2) - -inst_379: -// rs1_val==1717986917 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x0, x1, 1476, x2) - -inst_380: -// rs1_val==1717986917 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1480, x2) - -inst_381: -// rs1_val==1717986917 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x665, x1, 1484, x2) - -inst_382: -// rs1_val==1717986917 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x332, x1, 1488, x2) - -inst_383: -// rs1_val==1717986917 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaa9, x1, 1492, x2) - -inst_384: -// rs1_val==1717986917 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x554, x1, 1496, x2) - -inst_385: -// rs1_val==1717986917 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2, x1, 1500, x2) - -inst_386: -// rs1_val==1717986917 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3f, x1, 1504, x2) - -inst_387: -// rs1_val==1717986917 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1508, x2) - -inst_388: -// rs1_val==1717986917 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x666, x1, 1512, x2) - -inst_389: -// rs1_val==1717986917 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x333, x1, 1516, x2) - -inst_390: -// rs1_val==1717986917 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x5, x1, 1520, x2) - -inst_391: -// rs1_val==1717986917 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaaa, x1, 1524, x2) - -inst_392: -// rs1_val==1717986917 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x555, x1, 1528, x2) - -inst_393: -// rs1_val==1717986917 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3, x1, 1532, x2) - -inst_394: -// rs1_val==858993458 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x40, x1, 1536, x2) - -inst_395: -// rs1_val==858993458 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x1, x1, 1540, x2) - -inst_396: -// rs1_val==858993458 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1544, x2) - -inst_397: -// rs1_val==858993458 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x667, x1, 1548, x2) - -inst_398: -// rs1_val==858993458 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x334, x1, 1552, x2) - -inst_399: -// rs1_val==858993458 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x6, x1, 1556, x2) - -inst_400: -// rs1_val==858993458 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaab, x1, 1560, x2) - -inst_401: -// rs1_val==858993458 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x556, x1, 1564, x2) - -inst_402: -// rs1_val==858993458 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x4, x1, 1568, x2) - -inst_403: -// rs1_val==858993458 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3e, x1, 1572, x2) - -inst_404: -// rs1_val==858993458 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x0, x1, 1576, x2) - -inst_405: -// rs1_val==858993458 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1580, x2) - -inst_406: -// rs1_val==858993458 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x665, x1, 1584, x2) - -inst_407: -// rs1_val==858993458 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x332, x1, 1588, x2) - -inst_408: -// rs1_val==858993458 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaa9, x1, 1592, x2) - -inst_409: -// rs1_val==858993458 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x554, x1, 1596, x2) - -inst_410: -// rs1_val==858993458 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2, x1, 1600, x2) - -inst_411: -// rs1_val==858993458 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3f, x1, 1604, x2) - -inst_412: -// rs1_val==858993458 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1608, x2) - -inst_413: -// rs1_val==858993458 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x666, x1, 1612, x2) - -inst_414: -// rs1_val==858993458 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x333, x1, 1616, x2) - -inst_415: -// rs1_val==858993458 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x5, x1, 1620, x2) - -inst_416: -// rs1_val==858993458 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaaa, x1, 1624, x2) - -inst_417: -// rs1_val==858993458 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x555, x1, 1628, x2) - -inst_418: -// rs1_val==858993458 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3, x1, 1632, x2) - -inst_419: -// rs1_val==2863311529 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x40, x1, 1636, x2) - -inst_420: -// rs1_val==2863311529 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x1, x1, 1640, x2) - -inst_421: -// rs1_val==2863311529 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2e, x1, 1644, x2) - -inst_422: -// rs1_val==2863311529 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x667, x1, 1648, x2) - -inst_423: -// rs1_val==2863311529 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x334, x1, 1652, x2) - -inst_424: -// rs1_val==2863311529 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x6, x1, 1656, x2) - -inst_425: -// rs1_val==2863311529 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaab, x1, 1660, x2) - -inst_426: -// rs1_val==2863311529 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x556, x1, 1664, x2) - -inst_427: -// rs1_val==2863311529 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x4, x1, 1668, x2) - -inst_428: -// rs1_val==2863311529 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3e, x1, 1672, x2) - -inst_429: -// rs1_val==2863311529 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x0, x1, 1676, x2) - -inst_430: -// rs1_val==2863311529 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2c, x1, 1680, x2) - -inst_431: -// rs1_val==2863311529 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x665, x1, 1684, x2) - -inst_432: -// rs1_val==2863311529 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x332, x1, 1688, x2) - -inst_433: -// rs1_val==2863311529 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaa9, x1, 1692, x2) - -inst_434: -// rs1_val==2863311529 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x554, x1, 1696, x2) - -inst_435: -// rs1_val==2863311529 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2, x1, 1700, x2) - -inst_436: -// rs1_val==2863311529 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3f, x1, 1704, x2) - -inst_437: -// rs1_val==2863311529 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2d, x1, 1708, x2) - -inst_438: -// rs1_val==2863311529 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x666, x1, 1712, x2) - -inst_439: -// rs1_val==2863311529 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x333, x1, 1716, x2) - -inst_440: -// rs1_val==2863311529 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x5, x1, 1720, x2) - -inst_441: -// rs1_val==2863311529 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaaa, x1, 1724, x2) - -inst_442: -// rs1_val==2 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x555, x1, 1728, x2) - -inst_443: -// rs1_val==2 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3, x1, 1732, x2) - -inst_444: -// rs1_val==65535 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x40, x1, 1736, x2) - -inst_445: -// rs1_val==65535 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x1, x1, 1740, x2) - -inst_446: -// rs1_val==65535 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2e, x1, 1744, x2) - -inst_447: -// rs1_val==65535 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x667, x1, 1748, x2) - -inst_448: -// rs1_val==65535 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x334, x1, 1752, x2) - -inst_449: -// rs1_val==65535 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x6, x1, 1756, x2) - -inst_450: -// rs1_val==65535 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaab, x1, 1760, x2) - -inst_451: -// rs1_val==65535 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x556, x1, 1764, x2) - -inst_452: -// rs1_val==65535 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x4, x1, 1768, x2) - -inst_453: -// rs1_val==65535 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3e, x1, 1772, x2) - -inst_454: -// rs1_val==65535 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x0, x1, 1776, x2) - -inst_455: -// rs1_val==65535 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2c, x1, 1780, x2) - -inst_456: -// rs1_val==65535 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x665, x1, 1784, x2) - -inst_457: -// rs1_val==65535 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x332, x1, 1788, x2) - -inst_458: -// rs1_val==65535 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaa9, x1, 1792, x2) - -inst_459: -// rs1_val==65535 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x554, x1, 1796, x2) - -inst_460: -// rs1_val==65535 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2, x1, 1800, x2) - -inst_461: -// rs1_val==65535 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3f, x1, 1804, x2) - -inst_462: -// rs1_val==65535 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2d, x1, 1808, x2) - -inst_463: -// rs1_val==65535 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x666, x1, 1812, x2) - -inst_464: -// rs1_val==65535 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x333, x1, 1816, x2) - -inst_465: -// rs1_val==65535 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x5, x1, 1820, x2) - -inst_466: -// rs1_val==65535 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaaa, x1, 1824, x2) - -inst_467: -// rs1_val==65535 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x555, x1, 1828, x2) - -inst_468: -// rs1_val==65535 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3, x1, 1832, x2) - -inst_469: -// rs1_val==46340 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x40, x1, 1836, x2) - -inst_470: -// rs1_val==46340 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x1, x1, 1840, x2) - -inst_471: -// rs1_val==46340 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2e, x1, 1844, x2) - -inst_472: -// rs1_val==46340 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x667, x1, 1848, x2) - -inst_473: -// rs1_val==46340 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x334, x1, 1852, x2) - -inst_474: -// rs1_val==46340 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x6, x1, 1856, x2) - -inst_475: -// rs1_val==46340 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaab, x1, 1860, x2) - -inst_476: -// rs1_val==46340 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x556, x1, 1864, x2) - -inst_477: -// rs1_val==46340 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x4, x1, 1868, x2) - -inst_478: -// rs1_val==46340 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3e, x1, 1872, x2) - -inst_479: -// rs1_val==46340 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x0, x1, 1876, x2) - -inst_480: -// rs1_val==46340 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2c, x1, 1880, x2) - -inst_481: -// rs1_val==46340 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x665, x1, 1884, x2) - -inst_482: -// rs1_val==46340 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x332, x1, 1888, x2) - -inst_483: -// rs1_val==46340 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaa9, x1, 1892, x2) - -inst_484: -// rs1_val==46340 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x554, x1, 1896, x2) - -inst_485: -// rs1_val==46340 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2, x1, 1900, x2) - -inst_486: -// rs1_val==46340 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3f, x1, 1904, x2) - -inst_487: -// rs1_val==46340 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2d, x1, 1908, x2) - -inst_488: -// rs1_val==46340 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x666, x1, 1912, x2) - -inst_489: -// rs1_val==46340 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x333, x1, 1916, x2) - -inst_490: -// rs1_val==46340 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x5, x1, 1920, x2) - -inst_491: -// rs1_val==46340 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaaa, x1, 1924, x2) - -inst_492: -// rs1_val==46340 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x555, x1, 1928, x2) - -inst_493: -// rs1_val==46340 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3, x1, 1932, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x40, x1, 1936, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x1, x1, 1940, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1944, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x667, x1, 1948, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x334, x1, 1952, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x6, x1, 1956, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaab, x1, 1960, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x556, x1, 1964, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x4, x1, 1968, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3e, x1, 1972, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x0, x1, 1976, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1980, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x665, x1, 1984, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x332, x1, 1988, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaa9, x1, 1992, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x554, x1, 1996, x2) - -inst_510: -// rs1_val==1717986918 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2, x1, 2000, x2) - -inst_511: -// rs1_val==1717986918 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3f, x1, 2004, x2) - -inst_512: -// rs1_val==1717986918 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2d, x1, 2008, x2) - -inst_513: -// rs1_val==1717986918 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x666, x1, 2012, x2) - -inst_514: -// rs1_val==1717986918 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x333, x1, 2016, x2) - -inst_515: -// rs1_val==1717986918 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x5, x1, 2020, x2) - -inst_516: -// rs1_val==1717986918 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaaa, x1, 2024, x2) - -inst_517: -// rs1_val==1717986918 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x555, x1, 2028, x2) - -inst_518: -// rs1_val==1717986918 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3, x1, 2032, x2) - -inst_519: -// rs1_val==858993459 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x40, x1, 2036, x2) - -inst_520: -// rs1_val==858993459 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x1, x1, 2040, x2) - -inst_521: -// rs1_val==858993459 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2e, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_522: -// rs1_val==858993459 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x667, x1, 0, x2) - -inst_523: -// rs1_val==858993459 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x334, x1, 4, x2) - -inst_524: -// rs1_val==858993459 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x6, x1, 8, x2) - -inst_525: -// rs1_val==858993459 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaab, x1, 12, x2) - -inst_526: -// rs1_val==858993459 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x556, x1, 16, x2) - -inst_527: -// rs1_val==858993459 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x4, x1, 20, x2) - -inst_528: -// rs1_val==858993459 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3e, x1, 24, x2) - -inst_529: -// rs1_val==858993459 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x0, x1, 28, x2) - -inst_530: -// rs1_val==858993459 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2c, x1, 32, x2) - -inst_531: -// rs1_val==858993459 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x665, x1, 36, x2) - -inst_532: -// rs1_val==858993459 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x332, x1, 40, x2) - -inst_533: -// rs1_val==858993459 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaa9, x1, 44, x2) - -inst_534: -// rs1_val==858993459 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x554, x1, 48, x2) - -inst_535: -// rs1_val==858993459 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2, x1, 52, x2) - -inst_536: -// rs1_val==858993459 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3f, x1, 56, x2) - -inst_537: -// rs1_val==858993459 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2d, x1, 60, x2) - -inst_538: -// rs1_val==858993459 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x666, x1, 64, x2) - -inst_539: -// rs1_val==858993459 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x333, x1, 68, x2) - -inst_540: -// rs1_val==858993459 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x5, x1, 72, x2) - -inst_541: -// rs1_val==858993459 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaaa, x1, 76, x2) - -inst_542: -// rs1_val==858993459 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x555, x1, 80, x2) - -inst_543: -// rs1_val==858993459 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3, x1, 84, x2) - -inst_544: -// rs1_val==5 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x40, x1, 88, x2) - -inst_545: -// rs1_val==5 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x1, x1, 92, x2) - -inst_546: -// rs1_val==5 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2e, x1, 96, x2) - -inst_547: -// rs1_val==5 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x667, x1, 100, x2) - -inst_548: -// rs1_val==5 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x334, x1, 104, x2) - -inst_549: -// rs1_val==5 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x6, x1, 108, x2) - -inst_550: -// rs1_val==5 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaab, x1, 112, x2) - -inst_551: -// rs1_val==5 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x556, x1, 116, x2) - -inst_552: -// rs1_val==5 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x4, x1, 120, x2) - -inst_553: -// rs1_val==5 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3e, x1, 124, x2) - -inst_554: -// rs1_val==5 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x0, x1, 128, x2) - -inst_555: -// rs1_val==5 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2c, x1, 132, x2) - -inst_556: -// rs1_val==5 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x665, x1, 136, x2) - -inst_557: -// rs1_val==5 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x332, x1, 140, x2) - -inst_558: -// rs1_val==5 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaa9, x1, 144, x2) - -inst_559: -// rs1_val==5 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x554, x1, 148, x2) - -inst_560: -// rs1_val==5 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x2, x1, 152, x2) - -inst_561: -// rs1_val==5 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3f, x1, 156, x2) - -inst_562: -// rs1_val==5 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2d, x1, 160, x2) - -inst_563: -// rs1_val==5 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x666, x1, 164, x2) - -inst_564: -// rs1_val==5 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x333, x1, 168, x2) - -inst_565: -// rs1_val==5 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x5, x1, 172, x2) - -inst_566: -// rs1_val==5 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaaa, x1, 176, x2) - -inst_567: -// rs1_val==5 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x555, x1, 180, x2) - -inst_568: -// rs1_val==5 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x3, x1, 184, x2) - -inst_569: -// rs1_val==2863311530 and imm_val==64, rs1_val == 2863311530 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x40, x1, 188, x2) - -inst_570: -// rs1_val==2 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaa9, x1, 192, x2) - -inst_571: -// rs1_val==2863311530 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x1, x1, 196, x2) - -inst_572: -// rs1_val==2863311530 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2e, x1, 200, x2) - -inst_573: -// rs1_val==2863311530 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x667, x1, 204, x2) - -inst_574: -// rs1_val==2863311530 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x334, x1, 208, x2) - -inst_575: -// rs1_val==2863311530 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x6, x1, 212, x2) - -inst_576: -// rs1_val==2863311530 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaab, x1, 216, x2) - -inst_577: -// rs1_val==2863311530 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x556, x1, 220, x2) - -inst_578: -// rs1_val==2863311530 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x4, x1, 224, x2) - -inst_579: -// rs1_val==2863311530 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3e, x1, 228, x2) - -inst_580: -// rs1_val==2863311530 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x0, x1, 232, x2) - -inst_581: -// rs1_val==2863311530 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2c, x1, 236, x2) - -inst_582: -// rs1_val==2863311530 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x665, x1, 240, x2) - -inst_583: -// rs1_val==2863311530 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x332, x1, 244, x2) - -inst_584: -// rs1_val==2863311530 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaa9, x1, 248, x2) - -inst_585: -// rs1_val==2863311530 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x554, x1, 252, x2) - -inst_586: -// rs1_val==2863311530 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2, x1, 256, x2) - -inst_587: -// rs1_val==2863311530 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3f, x1, 260, x2) - -inst_588: -// rs1_val==2863311530 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2d, x1, 264, x2) - -inst_589: -// rs1_val==2863311530 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x666, x1, 268, x2) - -inst_590: -// rs1_val==2863311530 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x333, x1, 272, x2) - -inst_591: -// rs1_val==2863311530 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x5, x1, 276, x2) - -inst_592: -// rs1_val==2863311530 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaaa, x1, 280, x2) - -inst_593: -// rs1_val==2863311530 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x555, x1, 284, x2) - -inst_594: -// rs1_val==2863311530 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3, x1, 288, x2) - -inst_595: -// rs1_val==1431655765 and imm_val==64, rs1_val == 1431655765 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x40, x1, 292, x2) - -inst_596: -// rs1_val==1431655765 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x1, x1, 296, x2) - -inst_597: -// rs1_val==1431655765 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2e, x1, 300, x2) - -inst_598: -// rs1_val==1431655765 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x667, x1, 304, x2) - -inst_599: -// rs1_val==1431655765 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x334, x1, 308, x2) - -inst_600: -// rs1_val==1431655765 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x6, x1, 312, x2) - -inst_601: -// rs1_val==1431655765 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaab, x1, 316, x2) - -inst_602: -// rs1_val==1431655765 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x556, x1, 320, x2) - -inst_603: -// rs1_val==1431655765 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x4, x1, 324, x2) - -inst_604: -// rs1_val==1431655765 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3e, x1, 328, x2) - -inst_605: -// rs1_val==1431655765 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x0, x1, 332, x2) - -inst_606: -// rs1_val==1431655765 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2c, x1, 336, x2) - -inst_607: -// rs1_val==1431655765 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x665, x1, 340, x2) - -inst_608: -// rs1_val==1431655765 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x332, x1, 344, x2) - -inst_609: -// rs1_val==1431655765 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaa9, x1, 348, x2) - -inst_610: -// rs1_val==1431655765 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x554, x1, 352, x2) - -inst_611: -// rs1_val==1431655765 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2, x1, 356, x2) - -inst_612: -// rs1_val==1431655765 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3f, x1, 360, x2) - -inst_613: -// rs1_val==1431655765 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2d, x1, 364, x2) - -inst_614: -// rs1_val==1431655765 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x666, x1, 368, x2) - -inst_615: -// rs1_val==1431655765 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x333, x1, 372, x2) - -inst_616: -// rs1_val==1431655765 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x5, x1, 376, x2) - -inst_617: -// rs1_val==1431655765 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaaa, x1, 380, x2) - -inst_618: -// rs1_val==1431655765 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x555, x1, 384, x2) - -inst_619: -// rs1_val==1431655765 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3, x1, 388, x2) - -inst_620: -// rs1_val==3 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x40, x1, 392, x2) - -inst_621: -// rs1_val==3 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x1, x1, 396, x2) - -inst_622: -// rs1_val==3 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2e, x1, 400, x2) - -inst_623: -// rs1_val==3 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x667, x1, 404, x2) - -inst_624: -// rs1_val==3 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x334, x1, 408, x2) - -inst_625: -// rs1_val==3 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x6, x1, 412, x2) - -inst_626: -// rs1_val==3 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaab, x1, 416, x2) - -inst_627: -// rs1_val==3 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x556, x1, 420, x2) - -inst_628: -// rs1_val==3 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x4, x1, 424, x2) - -inst_629: -// rs1_val==3 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3e, x1, 428, x2) - -inst_630: -// rs1_val==3 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x0, x1, 432, x2) - -inst_631: -// rs1_val==3 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2c, x1, 436, x2) - -inst_632: -// rs1_val==3 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x665, x1, 440, x2) - -inst_633: -// rs1_val==3 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x332, x1, 444, x2) - -inst_634: -// rs1_val==3 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaa9, x1, 448, x2) - -inst_635: -// rs1_val==3 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x554, x1, 452, x2) - -inst_636: -// rs1_val==3 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x2, x1, 456, x2) - -inst_637: -// rs1_val==3 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3f, x1, 460, x2) - -inst_638: -// rs1_val==3 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2d, x1, 464, x2) - -inst_639: -// rs1_val==3 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x666, x1, 468, x2) - -inst_640: -// rs1_val==3 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x333, x1, 472, x2) - -inst_641: -// rs1_val==3 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x5, x1, 476, x2) - -inst_642: -// rs1_val==3 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaaa, x1, 480, x2) - -inst_643: -// rs1_val==3 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x555, x1, 484, x2) - -inst_644: -// rs1_val==3 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x3, x1, 488, x2) - -inst_645: -// rs1_val==2863311529 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x555, x1, 492, x2) - -inst_646: -// rs1_val==2863311529 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3, x1, 496, x2) - -inst_647: -// rs1_val == (2**(xlen)-1), -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffff; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffff, 0x40, x1, 500, x2) - -inst_648: -// rs1_val==1431655764 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x40, x1, 504, x2) - -inst_649: -// rs1_val==1431655764 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x1, x1, 508, x2) - -inst_650: -// rs1_val==1431655764 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2e, x1, 512, x2) - -inst_651: -// rs1_val==1431655764 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x667, x1, 516, x2) - -inst_652: -// rs1_val==1431655764 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x334, x1, 520, x2) - -inst_653: -// rs1_val==1431655764 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x6, x1, 524, x2) - -inst_654: -// rs1_val==1431655764 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaab, x1, 528, x2) - -inst_655: -// rs1_val==1431655764 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x556, x1, 532, x2) - -inst_656: -// rs1_val==1431655764 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x4, x1, 536, x2) - -inst_657: -// rs1_val==1431655764 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3e, x1, 540, x2) - -inst_658: -// rs1_val==1431655764 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x0, x1, 544, x2) - -inst_659: -// rs1_val==1431655764 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2c, x1, 548, x2) - -inst_660: -// rs1_val==1431655764 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x665, x1, 552, x2) - -inst_661: -// rs1_val==1431655764 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x332, x1, 556, x2) - -inst_662: -// rs1_val==1431655764 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaa9, x1, 560, x2) - -inst_663: -// rs1_val==1431655764 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x554, x1, 564, x2) - -inst_664: -// rs1_val==1431655764 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2, x1, 568, x2) - -inst_665: -// rs1_val==1431655764 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3f, x1, 572, x2) - -inst_666: -// rs1_val==1431655764 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2d, x1, 576, x2) - -inst_667: -// rs1_val==1431655764 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x666, x1, 580, x2) - -inst_668: -// rs1_val==1431655764 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x333, x1, 584, x2) - -inst_669: -// rs1_val==1431655764 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x5, x1, 588, x2) - -inst_670: -// rs1_val==1431655764 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaaa, x1, 592, x2) - -inst_671: -// rs1_val==1431655764 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x555, x1, 596, x2) - -inst_672: -// rs1_val==1431655764 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3, x1, 600, x2) - -inst_673: -// rs1_val==2 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x40, x1, 604, x2) - -inst_674: -// rs1_val==2 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x1, x1, 608, x2) - -inst_675: -// rs1_val==2 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2e, x1, 612, x2) - -inst_676: -// rs1_val==2 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x667, x1, 616, x2) - -inst_677: -// rs1_val==2 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x334, x1, 620, x2) - -inst_678: -// rs1_val==2 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x6, x1, 624, x2) - -inst_679: -// rs1_val==2 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaab, x1, 628, x2) - -inst_680: -// rs1_val==2 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x556, x1, 632, x2) - -inst_681: -// rs1_val==2 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x4, x1, 636, x2) - -inst_682: -// rs1_val==2 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3e, x1, 640, x2) - -inst_683: -// rs1_val==2 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x0, x1, 644, x2) - -inst_684: -// rs1_val==2 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2c, x1, 648, x2) - -inst_685: -// rs1_val==2 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x665, x1, 652, x2) - -inst_686: -// rs1_val==2 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x332, x1, 656, x2) - -inst_687: -// rs1_val==2 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x554, x1, 660, x2) - -inst_688: -// rs1_val==2 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x2, x1, 664, x2) - -inst_689: -// rs1_val==2 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3f, x1, 668, x2) - -inst_690: -// rs1_val==2 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2d, x1, 672, x2) - -inst_691: -// rs1_val==2 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x666, x1, 676, x2) - -inst_692: -// rs1_val==2 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x333, x1, 680, x2) - -inst_693: -// rs1_val==2 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x5, x1, 684, x2) - -inst_694: -// rs1_val==2 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaaa, x1, 688, x2) - -inst_695: -// rs1_val == 4261412863, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfdffffff; immval:0xc -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfdffffff, 0xc, x1, 692, x2) - -inst_696: -// rs1_val == 4294443007, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfff7ffff; immval:0xa -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfff7ffff, 0xa, x1, 696, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x14_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x14_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 175*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S deleted file mode 100644 index c6bbf7514..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S +++ /dev/null @@ -1,3699 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sltu instruction of the RISC-V E extension for the sltu covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltu) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x12, rs2==x2, rd==x7, rs1_val != rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val > 0 and rs2_val > 0, rs2_val == 16384 -// opcode: sltu ; op1:x12; op2:x2; dest:x7; op1val:0xaaaaaaab; op2val:0x4000 -TEST_RR_OP(sltu, x7, x12, x2, 0x0, 0xaaaaaaab, 0x4000, x4, 0, x9) - -inst_1: -// rs1 == rd != rs2, rs1==x11, rs2==x7, rd==x11, rs2_val == 2147483647, rs1_val == 262144 -// opcode: sltu ; op1:x11; op2:x7; dest:x11; op1val:0x40000; op2val:0x7fffffff -TEST_RR_OP(sltu, x11, x11, x7, 0x1, 0x40000, 0x7fffffff, x4, 4, x9) - -inst_2: -// rs2 == rd != rs1, rs1==x6, rs2==x10, rd==x10, rs2_val == 3221225471, rs1_val == 131072 -// opcode: sltu ; op1:x6; op2:x10; dest:x10; op1val:0x20000; op2val:0xbfffffff -TEST_RR_OP(sltu, x10, x6, x10, 0x1, 0x20000, 0xbfffffff, x4, 8, x9) - -inst_3: -// rs1 == rs2 != rd, rs1==x14, rs2==x14, rd==x3, rs2_val == 3758096383, rs1_val == 4261412863 -// opcode: sltu ; op1:x14; op2:x14; dest:x3; op1val:0xfdffffff; op2val:0xfdffffff -TEST_RR_OP(sltu, x3, x14, x14, 0x0, 0xfdffffff, 0xfdffffff, x4, 12, x9) - -inst_4: -// rs1 == rs2 == rd, rs1==x5, rs2==x5, rd==x5, rs2_val == 4026531839, rs1_val == 0 -// opcode: sltu ; op1:x5; op2:x5; dest:x5; op1val:0x0; op2val:0x0 -TEST_RR_OP(sltu, x5, x5, x5, 0x0, 0x0, 0x0, x4, 16, x9) - -inst_5: -// rs1==x8, rs2==x1, rd==x0, rs2_val == 4160749567, -// opcode: sltu ; op1:x8; op2:x1; dest:x0; op1val:0x0; op2val:0xf7ffffff -TEST_RR_OP(sltu, x0, x8, x1, 0, 0x0, 0xf7ffffff, x4, 20, x9) - -inst_6: -// rs1==x3, rs2==x9, rd==x13, rs2_val == 4227858431, -// opcode: sltu ; op1:x3; op2:x9; dest:x13; op1val:0x0; op2val:0xfbffffff -TEST_RR_OP(sltu, x13, x3, x9, 0x1, 0x0, 0xfbffffff, x4, 24, x7) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_7: -// rs1==x9, rs2==x3, rd==x2, rs2_val == 4261412863, rs1_val == 4294967167 -// opcode: sltu ; op1:x9; op2:x3; dest:x2; op1val:0xffffff7f; op2val:0xfdffffff -TEST_RR_OP(sltu, x2, x9, x3, 0x0, 0xffffff7f, 0xfdffffff, x5, 0, x7) - -inst_8: -// rs1==x15, rs2==x13, rd==x6, rs2_val == 4278190079, rs1_val == 4294950911 -// opcode: sltu ; op1:x15; op2:x13; dest:x6; op1val:0xffffbfff; op2val:0xfeffffff -TEST_RR_OP(sltu, x6, x15, x13, 0x0, 0xffffbfff, 0xfeffffff, x5, 4, x7) - -inst_9: -// rs1==x13, rs2==x0, rd==x12, rs2_val == 4286578687, rs1_val == 4026531839 -// opcode: sltu ; op1:x13; op2:x0; dest:x12; op1val:0xefffffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x13, x0, 0x0, 0xefffffff, 0x0, x5, 8, x7) - -inst_10: -// rs1==x1, rs2==x11, rd==x14, rs2_val == 4290772991, rs1_val == 4294901759 -// opcode: sltu ; op1:x1; op2:x11; dest:x14; op1val:0xfffeffff; op2val:0xffbfffff -TEST_RR_OP(sltu, x14, x1, x11, 0x0, 0xfffeffff, 0xffbfffff, x5, 12, x7) - -inst_11: -// rs1==x2, rs2==x8, rd==x4, rs2_val == 4292870143, rs1_val == 8 -// opcode: sltu ; op1:x2; op2:x8; dest:x4; op1val:0x8; op2val:0xffdfffff -TEST_RR_OP(sltu, x4, x2, x8, 0x1, 0x8, 0xffdfffff, x5, 16, x3) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_12: -// rs1==x7, rs2==x4, rd==x8, rs2_val == 4293918719, rs1_val == 2863311530 -// opcode: sltu ; op1:x7; op2:x4; dest:x8; op1val:0xaaaaaaaa; op2val:0xffefffff -TEST_RR_OP(sltu, x8, x7, x4, 0x1, 0xaaaaaaaa, 0xffefffff, x2, 0, x3) - -inst_13: -// rs1==x10, rs2==x12, rd==x15, rs2_val == 4294443007, -// opcode: sltu ; op1:x10; op2:x12; dest:x15; op1val:0xb504; op2val:0xfff7ffff -TEST_RR_OP(sltu, x15, x10, x12, 0x1, 0xb504, 0xfff7ffff, x2, 4, x3) - -inst_14: -// rs1==x4, rs2==x15, rd==x9, rs2_val == 4294705151, rs1_val == 2048 -// opcode: sltu ; op1:x4; op2:x15; dest:x9; op1val:0x800; op2val:0xfffbffff -TEST_RR_OP(sltu, x9, x4, x15, 0x1, 0x800, 0xfffbffff, x2, 8, x3) - -inst_15: -// rs1==x0, rs2==x6, rd==x1, rs2_val == 4294836223, -// opcode: sltu ; op1:x0; op2:x6; dest:x1; op1val:0x0; op2val:0xfffdffff -TEST_RR_OP(sltu, x1, x0, x6, 0x1, 0x0, 0xfffdffff, x2, 12, x3) - -inst_16: -// rs2_val == 4294901759, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xfffeffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xfffeffff, x2, 16, x3) - -inst_17: -// rs2_val == 4294934527, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xffff7fff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xffff7fff, x2, 20, x1) - -inst_18: -// rs2_val == 4294950911, rs1_val == 1431655765 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffffbfff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xffffbfff, x2, 24, x1) - -inst_19: -// rs2_val == 4294959103, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffffdfff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xffffdfff, x2, 28, x1) - -inst_20: -// rs2_val == 4294963199, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffffefff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xffffefff, x2, 32, x1) - -inst_21: -// rs2_val == 4294965247, rs1_val == 4293918719 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffefffff; op2val:0xfffff7ff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffefffff, 0xfffff7ff, x2, 36, x1) - -inst_22: -// rs2_val == 4294966271, rs1_val == 524288 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80000, 0xfffffbff, x2, 40, x1) - -inst_23: -// rs2_val == 4294966783, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffffdff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xfffffdff, x2, 44, x1) - -inst_24: -// rs2_val == 4294967039, rs1_val == 4286578687 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xff7fffff; op2val:0xfffffeff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xff7fffff, 0xfffffeff, x2, 48, x1) - -inst_25: -// rs2_val == 4294967167, rs1_val == 4294966271 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffbff; op2val:0xffffff7f -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffbff, 0xffffff7f, x2, 52, x1) - -inst_26: -// rs2_val == 4294967231, rs1_val == 64 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xffffffbf -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x40, 0xffffffbf, x2, 56, x1) - -inst_27: -// rs2_val == 4294967263, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xffffffdf -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x800, 0xffffffdf, x2, 60, x1) - -inst_28: -// rs2_val == 4294967279, rs1_val == 4294965247 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff7ff; op2val:0xffffffef -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffff7ff, 0xffffffef, x2, 64, x1) - -inst_29: -// rs2_val == 4294967287, rs1_val == 4194304 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xfffffff7 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400000, 0xfffffff7, x2, 68, x1) - -inst_30: -// rs2_val == 4294967291, rs1_val == rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val == 4294967291 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffb; op2val:0xfffffffb -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffb, 0xfffffffb, x2, 72, x1) - -inst_31: -// rs2_val == 4294967293, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffffffd -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xfffffffd, x2, 76, x1) - -inst_32: -// rs2_val == 4294967294, rs1_val == 536870912 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xfffffffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20000000, 0xfffffffe, x2, 80, x1) - -inst_33: -// rs1_val == 2147483647, rs2_val == 536870912 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x7fffffff, 0x20000000, x2, 84, x1) - -inst_34: -// rs1_val == 3221225471, rs2_val == 0 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xbfffffff, 0x0, x2, 88, x1) - -inst_35: -// rs1_val == 3758096383, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffff; op2val:0x12 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xdfffffff, 0x12, x2, 92, x1) - -inst_36: -// rs1_val == 4160749567, rs2_val == 2863311530 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xf7ffffff, 0xaaaaaaaa, x2, 96, x1) - -inst_37: -// rs1_val == 4227858431, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfbffffff; op2val:0x20000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfbffffff, 0x20000000, x2, 100, x1) - -inst_38: -// rs1_val == 4278190079, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfeffffff; op2val:0xfffffdff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfeffffff, 0xfffffdff, x2, 104, x1) - -inst_39: -// rs1_val == 4290772991, rs2_val == 65536 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffff; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffbfffff, 0x10000, x2, 108, x1) - -inst_40: -// rs1_val == 4292870143, rs2_val == 2097152 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x200000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x200000, x2, 112, x1) - -inst_41: -// rs1_val == 4294443007, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfff7ffff; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfff7ffff, 0x3, x2, 116, x1) - -inst_42: -// rs1_val == 4294705151, rs2_val == 16 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x10 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x10, x2, 120, x1) - -inst_43: -// rs1_val == 4294836223, rs2_val == 32768 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffdffff; op2val:0x8000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffdffff, 0x8000, x2, 124, x1) - -inst_44: -// rs1_val == 4294934527, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff7fff; op2val:0xfffffffd -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff7fff, 0xfffffffd, x2, 128, x1) - -inst_45: -// rs1_val == 4294959103, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x8000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x8000, x2, 132, x1) - -inst_46: -// rs1_val == 4294963199, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffefff; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffefff, 0xaaaaaaa9, x2, 136, x1) - -inst_47: -// rs1_val == 4294966783, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffdff; op2val:0xfffffffb -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffdff, 0xfffffffb, x2, 140, x1) - -inst_48: -// rs1_val == 4294967039, rs2_val == 4194304 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffeff; op2val:0x400000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffeff, 0x400000, x2, 144, x1) - -inst_49: -// rs1_val == 4294967231, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbf; op2val:0xa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffbf, 0xa, x2, 148, x1) - -inst_50: -// rs1_val == 4294967263, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdf; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffdf, 0x5, x2, 152, x1) - -inst_51: -// rs1_val == 4294967279, rs2_val == 2048 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffef; op2val:0x800 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffef, 0x800, x2, 156, x1) - -inst_52: -// rs1_val == 4294967287, rs2_val == 33554432 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x2000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x2000000, x2, 160, x1) - -inst_53: -// rs1_val == 4294967293, rs2_val == 8388608 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0x800000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffd, 0x800000, x2, 164, x1) - -inst_54: -// rs1_val == 4294967294, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x6, x2, 168, x1) - -inst_55: -// rs2_val == 2147483648, rs1_val == 2 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x80000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x80000000, x2, 172, x1) - -inst_56: -// rs2_val == 1073741824, rs1_val == 4 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x40000000, x2, 176, x1) - -inst_57: -// rs2_val == 268435456, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xd; op2val:0x10000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xd, 0x10000000, x2, 180, x1) - -inst_58: -// rs2_val == 134217728, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x8000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x8000000, x2, 184, x1) - -inst_59: -// rs2_val == 67108864, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4000000, x2, 188, x1) - -inst_60: -// rs2_val == 16777216, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x1000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x1000000, x2, 192, x1) - -inst_61: -// rs2_val == 1048576, rs1_val == 16 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 196, x1) - -inst_62: -// rs2_val == 524288, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x80000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x80000, x2, 200, x1) - -inst_63: -// rs2_val == 262144, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x40000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x40000, x2, 204, x1) - -inst_64: -// rs2_val == 131072, rs1_val == 2097152 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x20000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x200000, 0x20000, x2, 208, x1) - -inst_65: -// rs2_val == 8192, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x2000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x2000, x2, 212, x1) - -inst_66: -// rs2_val == 4096, rs1_val == 268435456 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x1000, x2, 216, x1) - -inst_67: -// rs2_val == 1024, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x400 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x400, x2, 220, x1) - -inst_68: -// rs2_val == 512, rs1_val == 1048576 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x200 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x100000, 0x200, x2, 224, x1) - -inst_69: -// rs2_val == 256, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x100 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x100, x2, 228, x1) - -inst_70: -// rs2_val == 128, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x80 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x80, x2, 232, x1) - -inst_71: -// rs2_val == 64, rs1_val == 2147483648 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x40 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x80000000, 0x40, x2, 236, x1) - -inst_72: -// rs2_val == 32, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x20 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x20, x2, 240, x1) - -inst_73: -// rs2_val == 8, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x8 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x8, x2, 244, x1) - -inst_74: -// rs2_val == 4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8, 0x4, x2, 248, x1) - -inst_75: -// rs2_val == 2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x2, x2, 252, x1) - -inst_76: -// rs2_val == 1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x1, x2, 256, x1) - -inst_77: -// rs1_val == 1073741824, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x2000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x40000000, 0x2000000, x2, 260, x1) - -inst_78: -// rs1_val == 134217728, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x200 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8000000, 0x200, x2, 264, x1) - -inst_79: -// rs1_val == 67108864, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000000, 0xfffe, x2, 268, x1) - -inst_80: -// rs1_val == 33554432, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000000, 0x4, x2, 272, x1) - -inst_81: -// rs1_val == 16777216, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000000, 0x33333334, x2, 276, x1) - -inst_82: -// rs1_val == 8388608, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x80000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x800000, 0x80000, x2, 280, x1) - -inst_83: -// rs1_val == 65536, rs1_val==65536 and rs2_val==0 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x0, x2, 284, x1) - -inst_84: -// rs1_val == 32768, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x8000, 0xaaaaaaa9, x2, 288, x1) - -inst_85: -// rs1_val == 16384, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000, 0x4, x2, 292, x1) - -inst_86: -// rs1_val == 8192, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x20 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000, 0x20, x2, 296, x1) - -inst_87: -// rs1_val == 4096, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000, 0xfffffbff, x2, 300, x1) - -inst_88: -// rs1_val == 1024, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0xbfffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400, 0xbfffffff, x2, 304, x1) - -inst_89: -// rs1_val == 512, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x200, 0x10000, x2, 308, x1) - -inst_90: -// rs1_val == 256, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xf7ffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x100, 0xf7ffffff, x2, 312, x1) - -inst_91: -// rs1_val == 128, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80, 0x10000, x2, 316, x1) - -inst_92: -// rs1_val == 32, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xefffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20, 0xefffffff, x2, 320, x1) - -inst_93: -// rs1_val == 1, rs1_val==1 and rs2_val==46340 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb504, x2, 324, x1) - -inst_94: -// rs1_val==65536 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x10000, x2, 328, x1) - -inst_95: -// rs1_val==65536 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x1, x2, 332, x1) - -inst_96: -// rs1_val==65536 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb505, x2, 336, x1) - -inst_97: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666667, x2, 340, x1) - -inst_98: -// rs1_val==65536 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333334, x2, 344, x1) - -inst_99: -// rs1_val==65536 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x6, x2, 348, x1) - -inst_100: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaab, x2, 352, x1) - -inst_101: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555556, x2, 356, x1) - -inst_102: -// rs1_val==65536 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x4, x2, 360, x1) - -inst_103: -// rs1_val==65536 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xfffe, x2, 364, x1) - -inst_104: -// rs1_val==65536 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb503, x2, 368, x1) - -inst_105: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666665, x2, 372, x1) - -inst_106: -// rs1_val==65536 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333332, x2, 376, x1) - -inst_107: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaa9, x2, 380, x1) - -inst_108: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555554, x2, 384, x1) - -inst_109: -// rs1_val==65536 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x2, x2, 388, x1) - -inst_110: -// rs1_val==65536 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xffff, x2, 392, x1) - -inst_111: -// rs1_val==65536 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb504, x2, 396, x1) - -inst_112: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666666, x2, 400, x1) - -inst_113: -// rs1_val==65536 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333333, x2, 404, x1) - -inst_114: -// rs1_val==65536 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x5, x2, 408, x1) - -inst_115: -// rs1_val==65536 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaaa, x2, 412, x1) - -inst_116: -// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555555, x2, 416, x1) - -inst_117: -// rs1_val==65536 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x3, x2, 420, x1) - -inst_118: -// rs1_val==1 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x10000, x2, 424, x1) - -inst_119: -// rs1_val==1 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x1, x2, 428, x1) - -inst_120: -// rs1_val==1 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb505, x2, 432, x1) - -inst_121: -// rs1_val==1 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666667, x2, 436, x1) - -inst_122: -// rs1_val==1 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333334, x2, 440, x1) - -inst_123: -// rs1_val==1 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x6, x2, 444, x1) - -inst_124: -// rs1_val==1 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaab, x2, 448, x1) - -inst_125: -// rs1_val==1 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555556, x2, 452, x1) - -inst_126: -// rs1_val==1 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x4, x2, 456, x1) - -inst_127: -// rs1_val==1 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xfffe, x2, 460, x1) - -inst_128: -// rs1_val==1 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x0, x2, 464, x1) - -inst_129: -// rs1_val==1 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb503, x2, 468, x1) - -inst_130: -// rs1_val==1 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666665, x2, 472, x1) - -inst_131: -// rs1_val==1 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333332, x2, 476, x1) - -inst_132: -// rs1_val==1 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaa9, x2, 480, x1) - -inst_133: -// rs1_val==1 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555554, x2, 484, x1) - -inst_134: -// rs1_val==1 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x2, x2, 488, x1) - -inst_135: -// rs1_val==1 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xffff, x2, 492, x1) - -inst_136: -// rs1_val==1 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666666, x2, 496, x1) - -inst_137: -// rs1_val==1 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333333, x2, 500, x1) - -inst_138: -// rs1_val==1 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x5, x2, 504, x1) - -inst_139: -// rs1_val==1 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaaa, x2, 508, x1) - -inst_140: -// rs1_val==1 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555555, x2, 512, x1) - -inst_141: -// rs1_val==1 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x3, x2, 516, x1) - -inst_142: -// rs1_val==46341 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x10000, x2, 520, x1) - -inst_143: -// rs1_val==46341 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x1, x2, 524, x1) - -inst_144: -// rs1_val==46341 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 528, x1) - -inst_145: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 532, x1) - -inst_146: -// rs1_val==46341 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 536, x1) - -inst_147: -// rs1_val==46341 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 540, x1) - -inst_148: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaab, x2, 544, x1) - -inst_149: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 548, x1) - -inst_150: -// rs1_val==46341 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 552, x1) - -inst_151: -// rs1_val==46341 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xfffe, x2, 556, x1) - -inst_152: -// rs1_val==46341 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 560, x1) - -inst_153: -// rs1_val==46341 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 564, x1) - -inst_154: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 568, x1) - -inst_155: -// rs1_val==46341 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 572, x1) - -inst_156: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaa9, x2, 576, x1) - -inst_157: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 580, x1) - -inst_158: -// rs1_val==46341 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 584, x1) - -inst_159: -// rs1_val==46341 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xffff, x2, 588, x1) - -inst_160: -// rs1_val==46341 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 592, x1) - -inst_161: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 596, x1) - -inst_162: -// rs1_val==46341 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 600, x1) - -inst_163: -// rs1_val==46341 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 604, x1) - -inst_164: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaaa, x2, 608, x1) - -inst_165: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 612, x1) - -inst_166: -// rs1_val==46341 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 616, x1) - -inst_167: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x10000, x2, 620, x1) - -inst_168: -// rs1_val==1717986919 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x1, x2, 624, x1) - -inst_169: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 628, x1) - -inst_170: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 632, x1) - -inst_171: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 636, x1) - -inst_172: -// rs1_val==1717986919 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 640, x1) - -inst_173: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaab, x2, 644, x1) - -inst_174: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 648, x1) - -inst_175: -// rs1_val==1717986919 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 652, x1) - -inst_176: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xfffe, x2, 656, x1) - -inst_177: -// rs1_val==1717986919 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 660, x1) - -inst_178: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 664, x1) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 668, x1) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 672, x1) - -inst_181: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaa9, x2, 676, x1) - -inst_182: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 680, x1) - -inst_183: -// rs1_val==1717986919 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 684, x1) - -inst_184: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xffff, x2, 688, x1) - -inst_185: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 692, x1) - -inst_186: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 696, x1) - -inst_187: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 700, x1) - -inst_188: -// rs1_val==1717986919 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 704, x1) - -inst_189: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaaa, x2, 708, x1) - -inst_190: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 712, x1) - -inst_191: -// rs1_val==1717986919 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 716, x1) - -inst_192: -// rs1_val==858993460 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x10000, x2, 720, x1) - -inst_193: -// rs1_val==858993460 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x1, x2, 724, x1) - -inst_194: -// rs1_val==858993460 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 728, x1) - -inst_195: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 732, x1) - -inst_196: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 736, x1) - -inst_197: -// rs1_val==858993460 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 740, x1) - -inst_198: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaab, x2, 744, x1) - -inst_199: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 748, x1) - -inst_200: -// rs1_val==858993460 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 752, x1) - -inst_201: -// rs1_val==858993460 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xfffe, x2, 756, x1) - -inst_202: -// rs1_val==858993460 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 760, x1) - -inst_203: -// rs1_val==858993460 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 764, x1) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 768, x1) - -inst_205: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 772, x1) - -inst_206: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaa9, x2, 776, x1) - -inst_207: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 780, x1) - -inst_208: -// rs1_val==858993460 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 784, x1) - -inst_209: -// rs1_val==858993460 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xffff, x2, 788, x1) - -inst_210: -// rs1_val==858993460 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 792, x1) - -inst_211: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 796, x1) - -inst_212: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 800, x1) - -inst_213: -// rs1_val==858993460 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 804, x1) - -inst_214: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaaa, x2, 808, x1) - -inst_215: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 812, x1) - -inst_216: -// rs1_val==858993460 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 816, x1) - -inst_217: -// rs1_val==6 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x10000, x2, 820, x1) - -inst_218: -// rs1_val==6 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x1, x2, 824, x1) - -inst_219: -// rs1_val==6 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 828, x1) - -inst_220: -// rs1_val==6 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 832, x1) - -inst_221: -// rs1_val==6 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 836, x1) - -inst_222: -// rs1_val==6 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x6, x2, 840, x1) - -inst_223: -// rs1_val==6 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaab, x2, 844, x1) - -inst_224: -// rs1_val==6 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 848, x1) - -inst_225: -// rs1_val==6 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x4, x2, 852, x1) - -inst_226: -// rs1_val==6 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xfffe, x2, 856, x1) - -inst_227: -// rs1_val==6 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x0, x2, 860, x1) - -inst_228: -// rs1_val==6 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 864, x1) - -inst_229: -// rs1_val==6 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 868, x1) - -inst_230: -// rs1_val==6 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 872, x1) - -inst_231: -// rs1_val==6 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaa9, x2, 876, x1) - -inst_232: -// rs1_val==6 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 880, x1) - -inst_233: -// rs1_val==6 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x2, x2, 884, x1) - -inst_234: -// rs1_val==6 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xffff, x2, 888, x1) - -inst_235: -// rs1_val==6 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 892, x1) - -inst_236: -// rs1_val==6 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 896, x1) - -inst_237: -// rs1_val==6 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 900, x1) - -inst_238: -// rs1_val==6 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x5, x2, 904, x1) - -inst_239: -// rs1_val==6 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaaa, x2, 908, x1) - -inst_240: -// rs1_val==6 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 912, x1) - -inst_241: -// rs1_val==6 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x3, x2, 916, x1) - -inst_242: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x10000, x2, 920, x1) - -inst_243: -// rs1_val==2863311531 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x1, x2, 924, x1) - -inst_244: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb505, x2, 928, x1) - -inst_245: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666667, x2, 932, x1) - -inst_246: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333334, x2, 936, x1) - -inst_247: -// rs1_val==2863311531 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x6, x2, 940, x1) - -inst_248: -// rs1_val==2863311531 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaab, x2, 944, x1) - -inst_249: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555556, x2, 948, x1) - -inst_250: -// rs1_val==2863311531 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x4, x2, 952, x1) - -inst_251: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xfffe, x2, 956, x1) - -inst_252: -// rs1_val==2863311531 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x0, x2, 960, x1) - -inst_253: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb503, x2, 964, x1) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666665, x2, 968, x1) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333332, x2, 972, x1) - -inst_256: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaa9, x2, 976, x1) - -inst_257: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555554, x2, 980, x1) - -inst_258: -// rs1_val==2863311531 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x2, x2, 984, x1) - -inst_259: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xffff, x2, 988, x1) - -inst_260: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb504, x2, 992, x1) - -inst_261: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666666, x2, 996, x1) - -inst_262: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333333, x2, 1000, x1) - -inst_263: -// rs1_val==2863311531 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x5, x2, 1004, x1) - -inst_264: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaaa, x2, 1008, x1) - -inst_265: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555555, x2, 1012, x1) - -inst_266: -// rs1_val==2863311531 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x3, x2, 1016, x1) - -inst_267: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x10000, x2, 1020, x1) - -inst_268: -// rs1_val==1431655766 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x1, x2, 1024, x1) - -inst_269: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 1028, x1) - -inst_270: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 1032, x1) - -inst_271: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 1036, x1) - -inst_272: -// rs1_val==1431655766 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 1040, x1) - -inst_273: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaab, x2, 1044, x1) - -inst_274: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 1048, x1) - -inst_275: -// rs1_val==1431655766 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 1052, x1) - -inst_276: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xfffe, x2, 1056, x1) - -inst_277: -// rs1_val==1431655766 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 1060, x1) - -inst_278: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 1064, x1) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 1068, x1) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 1072, x1) - -inst_281: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaa9, x2, 1076, x1) - -inst_282: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 1080, x1) - -inst_283: -// rs1_val==1431655766 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 1084, x1) - -inst_284: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xffff, x2, 1088, x1) - -inst_285: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 1092, x1) - -inst_286: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 1096, x1) - -inst_287: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 1100, x1) - -inst_288: -// rs1_val==1431655766 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 1104, x1) - -inst_289: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaaa, x2, 1108, x1) - -inst_290: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 1112, x1) - -inst_291: -// rs1_val==1431655766 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 1116, x1) - -inst_292: -// rs1_val==4 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x10000, x2, 1120, x1) - -inst_293: -// rs1_val==4 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x1, x2, 1124, x1) - -inst_294: -// rs1_val==4 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 1128, x1) - -inst_295: -// rs1_val==4 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 1132, x1) - -inst_296: -// rs1_val==4 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 1136, x1) - -inst_297: -// rs1_val==4 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x6, x2, 1140, x1) - -inst_298: -// rs1_val==4 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaab, x2, 1144, x1) - -inst_299: -// rs1_val==4 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 1148, x1) - -inst_300: -// rs1_val==4 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x4, x2, 1152, x1) - -inst_301: -// rs1_val==4 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xfffe, x2, 1156, x1) - -inst_302: -// rs1_val==4 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x0, x2, 1160, x1) - -inst_303: -// rs1_val==4 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 1164, x1) - -inst_304: -// rs1_val==4 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 1168, x1) - -inst_305: -// rs1_val==4 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 1172, x1) - -inst_306: -// rs1_val==4 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaa9, x2, 1176, x1) - -inst_307: -// rs1_val==4 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 1180, x1) - -inst_308: -// rs1_val==4 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x2, x2, 1184, x1) - -inst_309: -// rs1_val==4 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xffff, x2, 1188, x1) - -inst_310: -// rs1_val==4 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 1192, x1) - -inst_311: -// rs1_val==4 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 1196, x1) - -inst_312: -// rs1_val==4 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1200, x1) - -inst_313: -// rs1_val==4 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1204, x1) - -inst_314: -// rs1_val==4 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaaa, x2, 1208, x1) - -inst_315: -// rs1_val==4 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1212, x1) - -inst_316: -// rs1_val==4 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1216, x1) - -inst_317: -// rs1_val==65534 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x10000, x2, 1220, x1) - -inst_318: -// rs1_val==65534 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x1, x2, 1224, x1) - -inst_319: -// rs1_val==65534 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb505, x2, 1228, x1) - -inst_320: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666667, x2, 1232, x1) - -inst_321: -// rs1_val==65534 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333334, x2, 1236, x1) - -inst_322: -// rs1_val==65534 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x6, x2, 1240, x1) - -inst_323: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaab, x2, 1244, x1) - -inst_324: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555556, x2, 1248, x1) - -inst_325: -// rs1_val==65534 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x4, x2, 1252, x1) - -inst_326: -// rs1_val==65534 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xfffe, x2, 1256, x1) - -inst_327: -// rs1_val==65534 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x0, x2, 1260, x1) - -inst_328: -// rs1_val==65534 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb503, x2, 1264, x1) - -inst_329: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666665, x2, 1268, x1) - -inst_330: -// rs1_val==65534 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333332, x2, 1272, x1) - -inst_331: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaa9, x2, 1276, x1) - -inst_332: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555554, x2, 1280, x1) - -inst_333: -// rs1_val==65534 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x2, x2, 1284, x1) - -inst_334: -// rs1_val==65534 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xffff, x2, 1288, x1) - -inst_335: -// rs1_val==65534 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb504, x2, 1292, x1) - -inst_336: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666666, x2, 1296, x1) - -inst_337: -// rs1_val==65534 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333333, x2, 1300, x1) - -inst_338: -// rs1_val==65534 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x5, x2, 1304, x1) - -inst_339: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaaa, x2, 1308, x1) - -inst_340: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555555, x2, 1312, x1) - -inst_341: -// rs1_val==65534 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x3, x2, 1316, x1) - -inst_342: -// rs1_val==0 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x10000, x2, 1320, x1) - -inst_343: -// rs1_val==0 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x1, x2, 1324, x1) - -inst_344: -// rs1_val==0 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1328, x1) - -inst_345: -// rs1_val==0 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1332, x1) - -inst_346: -// rs1_val==0 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1336, x1) - -inst_347: -// rs1_val==0 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1340, x1) - -inst_348: -// rs1_val==0 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaab, x2, 1344, x1) - -inst_349: -// rs1_val==0 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1348, x1) - -inst_350: -// rs1_val==0 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1352, x1) - -inst_351: -// rs1_val==0 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xfffe, x2, 1356, x1) - -inst_352: -// rs1_val==0 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1360, x1) - -inst_353: -// rs1_val==0 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1364, x1) - -inst_354: -// rs1_val==0 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1368, x1) - -inst_355: -// rs1_val==0 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1372, x1) - -inst_356: -// rs1_val==0 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaa9, x2, 1376, x1) - -inst_357: -// rs1_val==0 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1380, x1) - -inst_358: -// rs1_val==0 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1384, x1) - -inst_359: -// rs1_val==0 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xffff, x2, 1388, x1) - -inst_360: -// rs1_val==0 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1392, x1) - -inst_361: -// rs1_val==0 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1396, x1) - -inst_362: -// rs1_val==0 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1400, x1) - -inst_363: -// rs1_val==0 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1404, x1) - -inst_364: -// rs1_val==0 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaaa, x2, 1408, x1) - -inst_365: -// rs1_val==0 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1412, x1) - -inst_366: -// rs1_val==0 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1416, x1) - -inst_367: -// rs1_val==46339 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x10000, x2, 1420, x1) - -inst_368: -// rs1_val==46339 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x1, x2, 1424, x1) - -inst_369: -// rs1_val==46339 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1428, x1) - -inst_370: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1432, x1) - -inst_371: -// rs1_val==46339 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1436, x1) - -inst_372: -// rs1_val==46339 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1440, x1) - -inst_373: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaab, x2, 1444, x1) - -inst_374: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1448, x1) - -inst_375: -// rs1_val==46339 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1452, x1) - -inst_376: -// rs1_val==46339 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xfffe, x2, 1456, x1) - -inst_377: -// rs1_val==46339 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1460, x1) - -inst_378: -// rs1_val==46339 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1464, x1) - -inst_379: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1468, x1) - -inst_380: -// rs1_val==46339 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1472, x1) - -inst_381: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaa9, x2, 1476, x1) - -inst_382: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1480, x1) - -inst_383: -// rs1_val==46339 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1484, x1) - -inst_384: -// rs1_val==46339 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xffff, x2, 1488, x1) - -inst_385: -// rs1_val==46339 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1492, x1) - -inst_386: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1496, x1) - -inst_387: -// rs1_val==46339 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1500, x1) - -inst_388: -// rs1_val==46339 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1504, x1) - -inst_389: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaaa, x2, 1508, x1) - -inst_390: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1512, x1) - -inst_391: -// rs1_val==46339 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1516, x1) - -inst_392: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x10000, x2, 1520, x1) - -inst_393: -// rs1_val==1717986917 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x1, x2, 1524, x1) - -inst_394: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1528, x1) - -inst_395: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1532, x1) - -inst_396: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1536, x1) - -inst_397: -// rs1_val==1717986917 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1540, x1) - -inst_398: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaab, x2, 1544, x1) - -inst_399: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1548, x1) - -inst_400: -// rs1_val==1717986917 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1552, x1) - -inst_401: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xfffe, x2, 1556, x1) - -inst_402: -// rs1_val==1717986917 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1560, x1) - -inst_403: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1564, x1) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1568, x1) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1572, x1) - -inst_406: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaa9, x2, 1576, x1) - -inst_407: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1580, x1) - -inst_408: -// rs1_val==1717986917 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1584, x1) - -inst_409: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xffff, x2, 1588, x1) - -inst_410: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1592, x1) - -inst_411: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1596, x1) - -inst_412: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1600, x1) - -inst_413: -// rs1_val==1717986917 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1604, x1) - -inst_414: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaaa, x2, 1608, x1) - -inst_415: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1612, x1) - -inst_416: -// rs1_val==1717986917 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1616, x1) - -inst_417: -// rs1_val==858993458 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x10000, x2, 1620, x1) - -inst_418: -// rs1_val==858993458 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x1, x2, 1624, x1) - -inst_419: -// rs1_val==858993458 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1628, x1) - -inst_420: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1632, x1) - -inst_421: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1636, x1) - -inst_422: -// rs1_val==858993458 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1640, x1) - -inst_423: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaab, x2, 1644, x1) - -inst_424: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1648, x1) - -inst_425: -// rs1_val==858993458 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1652, x1) - -inst_426: -// rs1_val==858993458 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xfffe, x2, 1656, x1) - -inst_427: -// rs1_val==858993458 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1660, x1) - -inst_428: -// rs1_val==858993458 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1664, x1) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1668, x1) - -inst_430: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1672, x1) - -inst_431: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaa9, x2, 1676, x1) - -inst_432: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1680, x1) - -inst_433: -// rs1_val==858993458 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1684, x1) - -inst_434: -// rs1_val==858993458 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xffff, x2, 1688, x1) - -inst_435: -// rs1_val==858993458 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1692, x1) - -inst_436: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1696, x1) - -inst_437: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1700, x1) - -inst_438: -// rs1_val==858993458 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1704, x1) - -inst_439: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaaa, x2, 1708, x1) - -inst_440: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1712, x1) - -inst_441: -// rs1_val==858993458 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1716, x1) - -inst_442: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x10000, x2, 1720, x1) - -inst_443: -// rs1_val==2863311529 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x1, x2, 1724, x1) - -inst_444: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb505, x2, 1728, x1) - -inst_445: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666667, x2, 1732, x1) - -inst_446: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333334, x2, 1736, x1) - -inst_447: -// rs1_val==2863311529 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x6, x2, 1740, x1) - -inst_448: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaab, x2, 1744, x1) - -inst_449: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555556, x2, 1748, x1) - -inst_450: -// rs1_val==2863311529 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x4, x2, 1752, x1) - -inst_451: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xfffe, x2, 1756, x1) - -inst_452: -// rs1_val==2863311529 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x0, x2, 1760, x1) - -inst_453: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb503, x2, 1764, x1) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666665, x2, 1768, x1) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333332, x2, 1772, x1) - -inst_456: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xaaaaaaa9, x2, 1776, x1) - -inst_457: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555554, x2, 1780, x1) - -inst_458: -// rs1_val==2863311529 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x2, x2, 1784, x1) - -inst_459: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xffff, x2, 1788, x1) - -inst_460: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb504, x2, 1792, x1) - -inst_461: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666666, x2, 1796, x1) - -inst_462: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333333, x2, 1800, x1) - -inst_463: -// rs1_val==2863311529 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x5, x2, 1804, x1) - -inst_464: -// rs1_val==1431655764 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1808, x1) - -inst_465: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xfffe, x2, 1812, x1) - -inst_466: -// rs1_val==1431655764 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1816, x1) - -inst_467: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1820, x1) - -inst_468: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1824, x1) - -inst_469: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1828, x1) - -inst_470: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaa9, x2, 1832, x1) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1836, x1) - -inst_472: -// rs1_val==1431655764 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1840, x1) - -inst_473: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xffff, x2, 1844, x1) - -inst_474: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1848, x1) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1852, x1) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1856, x1) - -inst_477: -// rs1_val==1431655764 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1860, x1) - -inst_478: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaaa, x2, 1864, x1) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1868, x1) - -inst_480: -// rs1_val==1431655764 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1872, x1) - -inst_481: -// rs1_val==2 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x10000, x2, 1876, x1) - -inst_482: -// rs1_val==2 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x1, x2, 1880, x1) - -inst_483: -// rs1_val==2 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1884, x1) - -inst_484: -// rs1_val==2 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1888, x1) - -inst_485: -// rs1_val==2 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1892, x1) - -inst_486: -// rs1_val==2 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1896, x1) - -inst_487: -// rs1_val==2 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaab, x2, 1900, x1) - -inst_488: -// rs1_val==2 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1904, x1) - -inst_489: -// rs1_val==2 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1908, x1) - -inst_490: -// rs1_val==2 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xfffe, x2, 1912, x1) - -inst_491: -// rs1_val==2 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1916, x1) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1920, x1) - -inst_493: -// rs1_val==2 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1924, x1) - -inst_494: -// rs1_val==2 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1928, x1) - -inst_495: -// rs1_val==2 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaa9, x2, 1932, x1) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1936, x1) - -inst_497: -// rs1_val==2 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x2, x2, 1940, x1) - -inst_498: -// rs1_val==2 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xffff, x2, 1944, x1) - -inst_499: -// rs1_val==2 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1948, x1) - -inst_500: -// rs1_val==2 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1952, x1) - -inst_501: -// rs1_val==2 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1956, x1) - -inst_502: -// rs1_val==2 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1960, x1) - -inst_503: -// rs1_val==2 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaaa, x2, 1964, x1) - -inst_504: -// rs1_val==2 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1968, x1) - -inst_505: -// rs1_val==2 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1972, x1) - -inst_506: -// rs1_val==65535 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x10000, x2, 1976, x1) - -inst_507: -// rs1_val==65535 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x1, x2, 1980, x1) - -inst_508: -// rs1_val==65535 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb505, x2, 1984, x1) - -inst_509: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666667, x2, 1988, x1) - -inst_510: -// rs1_val==65535 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333334, x2, 1992, x1) - -inst_511: -// rs1_val==65535 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x6, x2, 1996, x1) - -inst_512: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaab, x2, 2000, x1) - -inst_513: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555556, x2, 2004, x1) - -inst_514: -// rs1_val==65535 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x4, x2, 2008, x1) - -inst_515: -// rs1_val==65535 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xfffe, x2, 2012, x1) - -inst_516: -// rs1_val==65535 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x0, x2, 2016, x1) - -inst_517: -// rs1_val==65535 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb503, x2, 2020, x1) - -inst_518: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666665, x2, 2024, x1) - -inst_519: -// rs1_val==65535 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333332, x2, 2028, x1) - -inst_520: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaa9, x2, 2032, x1) - -inst_521: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555554, x2, 2036, x1) - -inst_522: -// rs1_val==65535 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x2, x2, 2040, x1) - -inst_523: -// rs1_val==65535 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xffff, x2, 2044, x1) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_524: -// rs1_val==65535 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb504, x2, 0, x1) - -inst_525: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666666, x2, 4, x1) - -inst_526: -// rs1_val==65535 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333333, x2, 8, x1) - -inst_527: -// rs1_val==65535 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x5, x2, 12, x1) - -inst_528: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaaa, x2, 16, x1) - -inst_529: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555555, x2, 20, x1) - -inst_530: -// rs1_val==65535 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x3, x2, 24, x1) - -inst_531: -// rs1_val==46340 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x10000, x2, 28, x1) - -inst_532: -// rs1_val==46340 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x1, x2, 32, x1) - -inst_533: -// rs1_val==46340 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 36, x1) - -inst_534: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 40, x1) - -inst_535: -// rs1_val==46340 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 44, x1) - -inst_536: -// rs1_val==46340 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 48, x1) - -inst_537: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaab, x2, 52, x1) - -inst_538: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 56, x1) - -inst_539: -// rs1_val==46340 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 60, x1) - -inst_540: -// rs1_val==46340 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xfffe, x2, 64, x1) - -inst_541: -// rs1_val==46340 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 68, x1) - -inst_542: -// rs1_val==46340 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 72, x1) - -inst_543: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 76, x1) - -inst_544: -// rs1_val==46340 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 80, x1) - -inst_545: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaa9, x2, 84, x1) - -inst_546: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 88, x1) - -inst_547: -// rs1_val==46340 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 92, x1) - -inst_548: -// rs1_val==46340 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xffff, x2, 96, x1) - -inst_549: -// rs1_val==46340 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 100, x1) - -inst_550: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 104, x1) - -inst_551: -// rs1_val==46340 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 108, x1) - -inst_552: -// rs1_val==46340 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 112, x1) - -inst_553: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaaa, x2, 116, x1) - -inst_554: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 120, x1) - -inst_555: -// rs1_val==46340 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 124, x1) - -inst_556: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x10000, x2, 128, x1) - -inst_557: -// rs1_val==1717986918 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x1, x2, 132, x1) - -inst_558: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 136, x1) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 140, x1) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 144, x1) - -inst_561: -// rs1_val==1717986918 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 148, x1) - -inst_562: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaab, x2, 152, x1) - -inst_563: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 156, x1) - -inst_564: -// rs1_val==1717986918 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 160, x1) - -inst_565: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xfffe, x2, 164, x1) - -inst_566: -// rs1_val==1717986918 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 168, x1) - -inst_567: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 172, x1) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 176, x1) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 180, x1) - -inst_570: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaa9, x2, 184, x1) - -inst_571: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 188, x1) - -inst_572: -// rs1_val==1717986918 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 192, x1) - -inst_573: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xffff, x2, 196, x1) - -inst_574: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 200, x1) - -inst_575: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 204, x1) - -inst_576: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 208, x1) - -inst_577: -// rs1_val==1717986918 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 212, x1) - -inst_578: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaaa, x2, 216, x1) - -inst_579: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 220, x1) - -inst_580: -// rs1_val==1717986918 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 224, x1) - -inst_581: -// rs1_val==858993459 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x10000, x2, 228, x1) - -inst_582: -// rs1_val==858993459 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x1, x2, 232, x1) - -inst_583: -// rs1_val==858993459 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 236, x1) - -inst_584: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 240, x1) - -inst_585: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 244, x1) - -inst_586: -// rs1_val==858993459 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 248, x1) - -inst_587: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaab, x2, 252, x1) - -inst_588: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 256, x1) - -inst_589: -// rs1_val==858993459 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 260, x1) - -inst_590: -// rs1_val==858993459 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xfffe, x2, 264, x1) - -inst_591: -// rs1_val==858993459 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 268, x1) - -inst_592: -// rs1_val==2863311529 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x3, x2, 272, x1) - -inst_593: -// rs2_val == (2**(xlen)-1), -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xffffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xffffffff, x2, 276, x1) - -inst_594: -// rs1_val==858993459 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 280, x1) - -inst_595: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 284, x1) - -inst_596: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 288, x1) - -inst_597: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaa9, x2, 292, x1) - -inst_598: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 296, x1) - -inst_599: -// rs1_val==858993459 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 300, x1) - -inst_600: -// rs1_val==858993459 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xffff, x2, 304, x1) - -inst_601: -// rs1_val==858993459 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 308, x1) - -inst_602: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 312, x1) - -inst_603: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 316, x1) - -inst_604: -// rs1_val==858993459 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 320, x1) - -inst_605: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaaa, x2, 324, x1) - -inst_606: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 328, x1) - -inst_607: -// rs1_val==858993459 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 332, x1) - -inst_608: -// rs1_val==5 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x10000, x2, 336, x1) - -inst_609: -// rs1_val==5 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x1, x2, 340, x1) - -inst_610: -// rs1_val==5 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 344, x1) - -inst_611: -// rs1_val==5 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 348, x1) - -inst_612: -// rs1_val==5 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 352, x1) - -inst_613: -// rs1_val==5 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x6, x2, 356, x1) - -inst_614: -// rs1_val==5 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaab, x2, 360, x1) - -inst_615: -// rs1_val==5 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 364, x1) - -inst_616: -// rs1_val==5 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x4, x2, 368, x1) - -inst_617: -// rs1_val==5 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffe, x2, 372, x1) - -inst_618: -// rs1_val==5 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x0, x2, 376, x1) - -inst_619: -// rs1_val==5 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 380, x1) - -inst_620: -// rs1_val==5 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 384, x1) - -inst_621: -// rs1_val==5 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 388, x1) - -inst_622: -// rs1_val==5 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaa9, x2, 392, x1) - -inst_623: -// rs1_val==5 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 396, x1) - -inst_624: -// rs1_val==5 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x2, x2, 400, x1) - -inst_625: -// rs1_val==5 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xffff, x2, 404, x1) - -inst_626: -// rs1_val==5 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 408, x1) - -inst_627: -// rs1_val==5 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 412, x1) - -inst_628: -// rs1_val==5 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 416, x1) - -inst_629: -// rs1_val==5 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x5, x2, 420, x1) - -inst_630: -// rs1_val==5 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaaa, x2, 424, x1) - -inst_631: -// rs1_val==5 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 428, x1) - -inst_632: -// rs1_val==5 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x3, x2, 432, x1) - -inst_633: -// rs1_val==2863311530 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x10000, x2, 436, x1) - -inst_634: -// rs1_val==2863311530 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x1, x2, 440, x1) - -inst_635: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb505, x2, 444, x1) - -inst_636: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666667, x2, 448, x1) - -inst_637: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333334, x2, 452, x1) - -inst_638: -// rs1_val==2863311530 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x6, x2, 456, x1) - -inst_639: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0xaaaaaaab, x2, 460, x1) - -inst_640: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555556, x2, 464, x1) - -inst_641: -// rs1_val==2863311530 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x4, x2, 468, x1) - -inst_642: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xfffe, x2, 472, x1) - -inst_643: -// rs1_val==2863311530 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x0, x2, 476, x1) - -inst_644: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb503, x2, 480, x1) - -inst_645: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666665, x2, 484, x1) - -inst_646: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333332, x2, 488, x1) - -inst_647: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaa9, x2, 492, x1) - -inst_648: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555554, x2, 496, x1) - -inst_649: -// rs1_val==2863311530 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x2, x2, 500, x1) - -inst_650: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xffff, x2, 504, x1) - -inst_651: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb504, x2, 508, x1) - -inst_652: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666666, x2, 512, x1) - -inst_653: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333333, x2, 516, x1) - -inst_654: -// rs1_val==2863311530 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x5, x2, 520, x1) - -inst_655: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaaa, x2, 524, x1) - -inst_656: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555555, x2, 528, x1) - -inst_657: -// rs1_val==2863311530 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x3, x2, 532, x1) - -inst_658: -// rs1_val==1431655765 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x10000, x2, 536, x1) - -inst_659: -// rs1_val==1431655765 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x1, x2, 540, x1) - -inst_660: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 544, x1) - -inst_661: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 548, x1) - -inst_662: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 552, x1) - -inst_663: -// rs1_val==1431655765 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 556, x1) - -inst_664: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaab, x2, 560, x1) - -inst_665: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 564, x1) - -inst_666: -// rs1_val==1431655765 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 568, x1) - -inst_667: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xfffe, x2, 572, x1) - -inst_668: -// rs1_val==1431655765 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 576, x1) - -inst_669: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 580, x1) - -inst_670: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 584, x1) - -inst_671: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 588, x1) - -inst_672: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaa9, x2, 592, x1) - -inst_673: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 596, x1) - -inst_674: -// rs1_val==1431655765 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 600, x1) - -inst_675: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xffff, x2, 604, x1) - -inst_676: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 608, x1) - -inst_677: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 612, x1) - -inst_678: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 616, x1) - -inst_679: -// rs1_val==1431655765 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 620, x1) - -inst_680: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaaa, x2, 624, x1) - -inst_681: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 628, x1) - -inst_682: -// rs1_val==1431655765 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 632, x1) - -inst_683: -// rs1_val==3 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x10000, x2, 636, x1) - -inst_684: -// rs1_val==3 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x1, x2, 640, x1) - -inst_685: -// rs1_val==3 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 644, x1) - -inst_686: -// rs1_val==3 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 648, x1) - -inst_687: -// rs1_val==3 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 652, x1) - -inst_688: -// rs1_val==3 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x6, x2, 656, x1) - -inst_689: -// rs1_val==3 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaab, x2, 660, x1) - -inst_690: -// rs1_val==3 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 664, x1) - -inst_691: -// rs1_val==3 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x4, x2, 668, x1) - -inst_692: -// rs1_val==3 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xfffe, x2, 672, x1) - -inst_693: -// rs1_val==3 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x0, x2, 676, x1) - -inst_694: -// rs1_val==3 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 680, x1) - -inst_695: -// rs1_val==3 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 684, x1) - -inst_696: -// rs1_val==3 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 688, x1) - -inst_697: -// rs1_val==3 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaa9, x2, 692, x1) - -inst_698: -// rs1_val==3 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 696, x1) - -inst_699: -// rs1_val==3 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x2, x2, 700, x1) - -inst_700: -// rs1_val==3 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xffff, x2, 704, x1) - -inst_701: -// rs1_val==3 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 708, x1) - -inst_702: -// rs1_val==3 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 712, x1) - -inst_703: -// rs1_val==3 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 716, x1) - -inst_704: -// rs1_val==3 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x5, x2, 720, x1) - -inst_705: -// rs1_val==3 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaaa, x2, 724, x1) - -inst_706: -// rs1_val==3 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 728, x1) - -inst_707: -// rs1_val==3 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x3, x2, 732, x1) - -inst_708: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaaa, x2, 736, x1) - -inst_709: -// rs1_val == (2**(xlen)-1), -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffff, 0xfffffbff, x2, 740, x1) - -inst_710: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555555, x2, 744, x1) - -inst_711: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x10000, x2, 748, x1) - -inst_712: -// rs1_val==1431655764 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 752, x1) - -inst_713: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 756, x1) - -inst_714: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 760, x1) - -inst_715: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 764, x1) - -inst_716: -// rs1_val==1431655764 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 768, x1) - -inst_717: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaab, x2, 772, x1) - -inst_718: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 776, x1) - -inst_719: -// rs2_val == 3758096383, rs1_val == 4261412863 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffff; op2val:0xdfffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfdffffff, 0xdfffffff, x2, 780, x1) - -inst_720: -// rs2_val == 4286578687, rs1_val == 4026531839 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xff7fffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xff7fffff, x2, 784, x1) - -inst_721: -// rs2_val == 4294836223, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffdffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffdffff, x2, 788, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 198*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S deleted file mode 100644 index cd357fa37..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S +++ /dev/null @@ -1,534 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sra instruction of the RISC-V E extension for the sra covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sra) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x9, rd==x12, rs1_val < 0 and rs2_val == 0, rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: sra ; op1:x11; op2:x9; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(sra, x12, x11, x9, -0x55555556, -0x55555556, 0x0, x3, 0, x5) - -inst_1: -// rs1 == rd != rs2, rs1==x8, rs2==x12, rd==x8, rs2_val == 15, rs1_val == -524289, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sra ; op1:x8; op2:x12; dest:x8; op1val:-0x80001; op2val:0xf -TEST_RR_OP(sra, x8, x8, x12, -0x11, -0x80001, 0xf, x3, 4, x5) - -inst_2: -// rs2 == rd != rs1, rs1==x10, rs2==x2, rd==x2, rs2_val == 23, rs1_val==3, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x2; dest:x2; op1val:0x3; op2val:0x17 -TEST_RR_OP(sra, x2, x10, x2, 0x0, 0x3, 0x17, x3, 8, x5) - -inst_3: -// rs1 == rs2 != rd, rs1==x6, rs2==x6, rd==x4, rs2_val == 27, -// opcode: sra ; op1:x6; op2:x6; dest:x4; op1val:-0x8; op2val:-0x8 -TEST_RR_OP(sra, x4, x6, x6, -0x1, -0x8, -0x8, x3, 12, x5) - -inst_4: -// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == 29, rs1_val==-1431655765 -// opcode: sra ; op1:x13; op2:x13; dest:x13; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(sra, x13, x13, x13, -0xaaaab, -0x55555555, -0x55555555, x3, 16, x5) - -inst_5: -// rs1==x0, rs2==x10, rd==x7, rs2_val == 30, rs1_val == 16384 -// opcode: sra ; op1:x0; op2:x10; dest:x7; op1val:0x0; op2val:0x1e -TEST_RR_OP(sra, x7, x0, x10, 0x0, 0x0, 0x1e, x3, 20, x5) - -inst_6: -// rs1==x1, rs2==x15, rd==x14, rs1_val == 2147483647, rs2_val == 21, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x1; op2:x15; dest:x14; op1val:0x7fffffff; op2val:0x15 -TEST_RR_OP(sra, x14, x1, x15, 0x3ff, 0x7fffffff, 0x15, x3, 24, x5) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x3, rs2==x7, rd==x5, rs1_val == -1073741825, rs2_val == 1 -// opcode: sra ; op1:x3; op2:x7; dest:x5; op1val:-0x40000001; op2val:0x1 -TEST_RR_OP(sra, x5, x3, x7, -0x20000001, -0x40000001, 0x1, x4, 0, x6) - -inst_8: -// rs1==x2, rs2==x8, rd==x15, rs1_val == -536870913, -// opcode: sra ; op1:x2; op2:x8; dest:x15; op1val:-0x20000001; op2val:0x7 -TEST_RR_OP(sra, x15, x2, x8, -0x400001, -0x20000001, 0x7, x4, 4, x6) - -inst_9: -// rs1==x7, rs2==x11, rd==x1, rs1_val == -268435457, -// opcode: sra ; op1:x7; op2:x11; dest:x1; op1val:-0x10000001; op2val:0x9 -TEST_RR_OP(sra, x1, x7, x11, -0x80001, -0x10000001, 0x9, x4, 8, x6) - -inst_10: -// rs1==x12, rs2==x0, rd==x9, rs1_val == -134217729, -// opcode: sra ; op1:x12; op2:x0; dest:x9; op1val:-0x8000001; op2val:0x0 -TEST_RR_OP(sra, x9, x12, x0, -0x8000001, -0x8000001, 0x0, x4, 12, x6) - -inst_11: -// rs1==x5, rs2==x14, rd==x10, rs1_val == -67108865, -// opcode: sra ; op1:x5; op2:x14; dest:x10; op1val:-0x4000001; op2val:0x17 -TEST_RR_OP(sra, x10, x5, x14, -0x9, -0x4000001, 0x17, x4, 16, x6) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_12: -// rs1==x4, rs2==x5, rd==x0, rs1_val == -33554433, -// opcode: sra ; op1:x4; op2:x5; dest:x0; op1val:-0x2000001; op2val:0x9 -TEST_RR_OP(sra, x0, x4, x5, 0, -0x2000001, 0x9, x2, 0, x7) - -inst_13: -// rs1==x15, rs2==x4, rd==x3, rs1_val == -16777217, -// opcode: sra ; op1:x15; op2:x4; dest:x3; op1val:-0x1000001; op2val:0xe -TEST_RR_OP(sra, x3, x15, x4, -0x401, -0x1000001, 0xe, x2, 4, x7) - -inst_14: -// rs1==x9, rs2==x3, rd==x11, rs1_val == -8388609, -// opcode: sra ; op1:x9; op2:x3; dest:x11; op1val:-0x800001; op2val:0x11 -TEST_RR_OP(sra, x11, x9, x3, -0x41, -0x800001, 0x11, x2, 8, x7) - -inst_15: -// rs1==x14, rs2==x1, rd==x6, rs1_val == -4194305, -// opcode: sra ; op1:x14; op2:x1; dest:x6; op1val:-0x400001; op2val:0x1b -TEST_RR_OP(sra, x6, x14, x1, -0x1, -0x400001, 0x1b, x2, 12, x7) - -inst_16: -// rs1_val == -2097153, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, -0x41, -0x200001, 0xf, x2, 16, x7) - -inst_17: -// rs1_val == -1048577, rs2_val == 2 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 -TEST_RR_OP(sra, x12, x10, x11, -0x40001, -0x100001, 0x2, x2, 20, x1) - -inst_18: -// rs1_val == -262145, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x201, -0x40001, 0x9, x2, 24, x1) - -inst_19: -// rs1_val == -131073, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x20001, 0x1e, x2, 28, x1) - -inst_20: -// rs1_val == -65537, rs2_val == 16 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, -0x2, -0x10001, 0x10, x2, 32, x1) - -inst_21: -// rs1_val == -32769, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x8001, 0x1b, x2, 36, x1) - -inst_22: -// rs1_val == -16385, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x2 -TEST_RR_OP(sra, x12, x10, x11, -0x1001, -0x4001, 0x2, x2, 40, x1) - -inst_23: -// rs1_val == -8193, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2001, 0x1e, x2, 44, x1) - -inst_24: -// rs1_val == -4097, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x1001, 0xf, x2, 48, x1) - -inst_25: -// rs1_val == -2049, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x12 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x801, 0x12, x2, 52, x1) - -inst_26: -// rs1_val == -1025, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x12 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x401, 0x12, x2, 56, x1) - -inst_27: -// rs1_val == -513, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x201, 0x1b, x2, 60, x1) - -inst_28: -// rs1_val == -257, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x101, 0x9, x2, 64, x1) - -inst_29: -// rs1_val == -129, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x6 -TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x81, 0x6, x2, 68, x1) - -inst_30: -// rs1_val == -65, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x41, 0x1d, x2, 72, x1) - -inst_31: -// rs1_val == -33, rs2_val == 8 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x21, 0x8, x2, 76, x1) - -inst_32: -// rs1_val == -17, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x11, 0xe, x2, 80, x1) - -inst_33: -// rs1_val == -9, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x9, 0x9, x2, 84, x1) - -inst_34: -// rs1_val == -5, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xe -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x5, 0xe, x2, 88, x1) - -inst_35: -// rs1_val == -3, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x3, 0x15, x2, 92, x1) - -inst_36: -// rs1_val == -2, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2, 0x10, x2, 96, x1) - -inst_37: -// rs2_val == 4, rs1_val==2, rs1_val == 2 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2, 0x4, x2, 100, x1) - -inst_38: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, -0x1000, -0x80000000, 0x13, x2, 104, x1) - -inst_39: -// rs1_val == 1073741824, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x40000000, 0x1b, x2, 108, x1) - -inst_40: -// rs1_val == 536870912, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, 0x100000, 0x20000000, 0x9, x2, 112, x1) - -inst_41: -// rs1_val == 268435456, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x20000, 0x10000000, 0xb, x2, 116, x1) - -inst_42: -// rs1_val == 134217728, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x17 -TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x8000000, 0x17, x2, 120, x1) - -inst_43: -// rs1_val == 67108864, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xc -TEST_RR_OP(sra, x12, x10, x11, 0x4000, 0x4000000, 0xc, x2, 124, x1) - -inst_44: -// rs1_val == 33554432, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x11 -TEST_RR_OP(sra, x12, x10, x11, 0x100, 0x2000000, 0x11, x2, 128, x1) - -inst_45: -// rs1_val == 16777216, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x11 -TEST_RR_OP(sra, x12, x10, x11, 0x80, 0x1000000, 0x11, x2, 132, x1) - -inst_46: -// rs1_val == 8388608, rs1_val > 0 and rs2_val == 0 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x0 -TEST_RR_OP(sra, x12, x10, x11, 0x800000, 0x800000, 0x0, x2, 136, x1) - -inst_47: -// rs1_val == 4194304, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x800, 0x400000, 0xb, x2, 140, x1) - -inst_48: -// rs1_val == 2097152, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, 0x1000, 0x200000, 0x9, x2, 144, x1) - -inst_49: -// rs1_val == 1048576, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1 -TEST_RR_OP(sra, x12, x10, x11, 0x80000, 0x100000, 0x1, x2, 148, x1) - -inst_50: -// rs1_val == 524288, rs2_val == 10 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x200, 0x80000, 0xa, x2, 152, x1) - -inst_51: -// rs1_val == 262144, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1f -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x40000, 0x1f, x2, 156, x1) - -inst_52: -// rs1_val == 131072, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x20000, 0xb, x2, 160, x1) - -inst_53: -// rs1_val == 65536, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x10000, 0xa, x2, 164, x1) - -inst_54: -// rs1_val == 32768, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x8000, 0x10, x2, 168, x1) - -inst_55: -// rs1_val == 8192, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2000, 0xf, x2, 172, x1) - -inst_56: -// rs1_val == 4096, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1000, 0x1d, x2, 176, x1) - -inst_57: -// rs1_val == 2048, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x800, 0x8, x2, 180, x1) - -inst_58: -// rs1_val == 1024, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x20, 0x400, 0x5, x2, 184, x1) - -inst_59: -// rs1_val == 512, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x200, 0x1b, x2, 188, x1) - -inst_60: -// rs1_val == 256, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xd -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x100, 0xd, x2, 192, x1) - -inst_61: -// rs1_val == 128, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x3 -TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x80, 0x3, x2, 196, x1) - -inst_62: -// rs1_val == 64, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x2, 0x40, 0x5, x2, 200, x1) - -inst_63: -// rs1_val == 32, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x20, 0x5, x2, 204, x1) - -inst_64: -// rs1_val == 16, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x10, 0x8, x2, 208, x1) - -inst_65: -// rs1_val == 8, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x3 -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x8, 0x3, x2, 212, x1) - -inst_66: -// rs1_val == 4, rs1_val==4 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4, 0xb, x2, 216, x1) - -inst_67: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1, 0x8, x2, 220, x1) - -inst_68: -// rs1_val==46341, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0xb505, 0x1e, x2, 224, x1) - -inst_69: -// rs1_val==-46339, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, -0xb6, -0xb503, 0x8, x2, 228, x1) - -inst_70: -// rs1_val==1717986919, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, 0x6666, 0x66666667, 0x10, x2, 232, x1) - -inst_71: -// rs1_val==858993460, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0xccccc, 0x33333334, 0xa, x2, 236, x1) - -inst_72: -// rs1_val==6, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x6, 0x13, x2, 240, x1) - -inst_73: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, 0x2aa, 0x55555555, 0x15, x2, 244, x1) - -inst_74: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x0, 0xa, x2, 248, x1) - -inst_75: -// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x7, 0x7, x2, 252, x1) - -inst_76: -// rs1_val==1431655766, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, 0xaaa, 0x55555556, 0x13, x2, 256, x1) - -inst_77: -// rs1_val==46339, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0x16a, 0xb503, 0x7, x2, 260, x1) - -inst_78: -// rs1_val==1717986917, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0xc, 0x66666665, 0x1b, x2, 264, x1) - -inst_79: -// rs1_val==858993458, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, 0x199, 0x33333332, 0x15, x2, 268, x1) - -inst_80: -// rs1_val==1431655764, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0xaaaaaa, 0x55555554, 0x7, x2, 272, x1) - -inst_81: -// rs1_val==46340, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0xb504, 0xf, x2, 276, x1) - -inst_82: -// rs1_val==-46340, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0xb504, 0x15, x2, 280, x1) - -inst_83: -// rs1_val==1717986918, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x66666666, 0x1e, x2, 284, x1) - -inst_84: -// rs1_val==858993459, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x33333333, 0x1d, x2, 288, x1) - -inst_85: -// rs1_val==5, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x5, 0x1d, x2, 292, x1) - -inst_86: -// rs2_val == 29, rs1_val==-1431655765 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x55555555, 0x1d, x2, 296, x1) - -inst_87: -// rs2_val == 30, rs1_val == 16384 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4000, 0x1e, x2, 300, x1) - -inst_88: -// rs1_val == -134217729, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x8000001, 0xb, x2, 304, x1) - -inst_89: -// rs1_val == -33554433, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x2000001, 0x9, x2, 308, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 78*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S deleted file mode 100644 index 79cc17757..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S +++ /dev/null @@ -1,519 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srai instruction of the RISC-V E extension for the srai covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srai) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x0, rd==x2, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x0; dest:x2; op1val:0x0; immval:0x1f -TEST_IMM_OP( srai, x2, x0, 0x0, 0x0, 0x1f, x1, 0, x5) - -inst_1: -// rs1 == rd, rs1==x14, rd==x14, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x14; dest:x14; op1val:0x7fffffff; immval:0xc -TEST_IMM_OP( srai, x14, x14, 0x7ffff, 0x7fffffff, 0xc, x1, 4, x5) - -inst_2: -// rs1==x3, rd==x11, rs1_val == -1073741825, -// opcode: srai ; op1:x3; dest:x11; op1val:-0x40000001; immval:0x7 -TEST_IMM_OP( srai, x11, x3, -0x800001, -0x40000001, 0x7, x1, 8, x5) - -inst_3: -// rs1==x15, rd==x4, rs1_val == -268435457, rs1_val < 0 and imm_val == 0 -// opcode: srai ; op1:x15; dest:x4; op1val:-0x10000001; immval:0x0 -TEST_IMM_OP( srai, x4, x15, -0x10000001, -0x10000001, 0x0, x1, 12, x5) - -inst_4: -// rs1==x7, rd==x10, rs1_val == -134217729, imm_val == 21 -// opcode: srai ; op1:x7; dest:x10; op1val:-0x8000001; immval:0x15 -TEST_IMM_OP( srai, x10, x7, -0x41, -0x8000001, 0x15, x1, 16, x5) - -inst_5: -// rs1==x8, rd==x6, rs1_val == -67108865, imm_val == 10 -// opcode: srai ; op1:x8; dest:x6; op1val:-0x4000001; immval:0xa -TEST_IMM_OP( srai, x6, x8, -0x10001, -0x4000001, 0xa, x1, 20, x5) - -inst_6: -// rs1==x9, rd==x13, rs1_val == -33554433, imm_val == 2 -// opcode: srai ; op1:x9; dest:x13; op1val:-0x2000001; immval:0x2 -TEST_IMM_OP( srai, x13, x9, -0x800001, -0x2000001, 0x2, x1, 24, x5) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_7: -// rs1==x5, rd==x0, rs1_val == -16777217, -// opcode: srai ; op1:x5; dest:x0; op1val:-0x1000001; immval:0x12 -TEST_IMM_OP( srai, x0, x5, 0, -0x1000001, 0x12, x3, 0, x6) - -inst_8: -// rs1==x10, rd==x8, rs1_val == -8388609, imm_val == 30 -// opcode: srai ; op1:x10; dest:x8; op1val:-0x800001; immval:0x1e -TEST_IMM_OP( srai, x8, x10, -0x1, -0x800001, 0x1e, x3, 4, x6) - -inst_9: -// rs1==x13, rd==x5, rs1_val == -4194305, -// opcode: srai ; op1:x13; dest:x5; op1val:-0x400001; immval:0x9 -TEST_IMM_OP( srai, x5, x13, -0x2001, -0x400001, 0x9, x3, 8, x6) - -inst_10: -// rs1==x4, rd==x12, rs1_val == -2097153, -// opcode: srai ; op1:x4; dest:x12; op1val:-0x200001; immval:0x7 -TEST_IMM_OP( srai, x12, x4, -0x4001, -0x200001, 0x7, x3, 12, x6) - -inst_11: -// rs1==x12, rd==x7, rs1_val == -1048577, -// opcode: srai ; op1:x12; dest:x7; op1val:-0x100001; immval:0x7 -TEST_IMM_OP( srai, x7, x12, -0x2001, -0x100001, 0x7, x3, 16, x6) - -inst_12: -// rs1==x2, rd==x15, rs1_val == -524289, -// opcode: srai ; op1:x2; dest:x15; op1val:-0x80001; immval:0x7 -TEST_IMM_OP( srai, x15, x2, -0x1001, -0x80001, 0x7, x3, 20, x6) - -inst_13: -// rs1==x1, rd==x9, rs1_val == -262145, imm_val == 8 -// opcode: srai ; op1:x1; dest:x9; op1val:-0x40001; immval:0x8 -TEST_IMM_OP( srai, x9, x1, -0x401, -0x40001, 0x8, x3, 24, x6) - -inst_14: -// rs1==x6, rd==x1, rs1_val == -131073, -// opcode: srai ; op1:x6; dest:x1; op1val:-0x20001; immval:0x13 -TEST_IMM_OP( srai, x1, x6, -0x1, -0x20001, 0x13, x3, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rs1==x11, rd==x3, rs1_val == -65537, imm_val == 4 -// opcode: srai ; op1:x11; dest:x3; op1val:-0x10001; immval:0x4 -TEST_IMM_OP( srai, x3, x11, -0x1001, -0x10001, 0x4, x1, 0, x2) - -inst_16: -// rs1_val == -32769, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x8001; immval:0x7 -TEST_IMM_OP( srai, x11, x10, -0x101, -0x8001, 0x7, x1, 4, x2) - -inst_17: -// rs1_val == -16385, imm_val == 16 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x4001; immval:0x10 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x4001, 0x10, x1, 8, x2) - -inst_18: -// rs1_val == -8193, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x2001, 0x13, x1, 12, x2) - -inst_19: -// rs1_val == -4097, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1e -TEST_IMM_OP( srai, x11, x10, -0x1, -0x1001, 0x1e, x1, 16, x2) - -inst_20: -// rs1_val == -2049, imm_val == 15 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x801; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x1, -0x801, 0xf, x1, 20, x2) - -inst_21: -// rs1_val == -1025, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x401; immval:0x11 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x401, 0x11, x1, 24, x2) - -inst_22: -// rs1_val == -513, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x201; immval:0xb -TEST_IMM_OP( srai, x11, x10, -0x1, -0x201, 0xb, x1, 28, x2) - -inst_23: -// rs1_val == -257, imm_val == 1 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x101; immval:0x1 -TEST_IMM_OP( srai, x11, x10, -0x81, -0x101, 0x1, x1, 32, x2) - -inst_24: -// rs1_val == -129, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x81; immval:0xc -TEST_IMM_OP( srai, x11, x10, -0x1, -0x81, 0xc, x1, 36, x2) - -inst_25: -// rs1_val == -65, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x41; immval:0x13 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x41, 0x13, x1, 40, x2) - -inst_26: -// rs1_val == -33, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x21; immval:0xd -TEST_IMM_OP( srai, x11, x10, -0x1, -0x21, 0xd, x1, 44, x2) - -inst_27: -// rs1_val == -17, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x11; immval:0x1e -TEST_IMM_OP( srai, x11, x10, -0x1, -0x11, 0x1e, x1, 48, x2) - -inst_28: -// rs1_val == -9, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x9; immval:0x12 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x9, 0x12, x1, 52, x2) - -inst_29: -// rs1_val == -5, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x5; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x1, -0x5, 0xf, x1, 56, x2) - -inst_30: -// rs1_val == -3, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x3, 0x9, x1, 60, x2) - -inst_31: -// rs1_val == -2, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x2; immval:0x1f -TEST_IMM_OP( srai, x11, x10, -0x1, -0x2, 0x1f, x1, 64, x2) - -inst_32: -// imm_val == 23, rs1_val == 4096 -// opcode: srai ; op1:x10; dest:x11; op1val:0x1000; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x1000, 0x17, x1, 68, x2) - -inst_33: -// imm_val == 27, rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x1b -TEST_IMM_OP( srai, x11, x10, -0xb, -0x55555556, 0x1b, x1, 72, x2) - -inst_34: -// imm_val == 29, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 -// opcode: srai ; op1:x10; dest:x11; op1val:0x0; immval:0x1d -TEST_IMM_OP( srai, x11, x10, 0x0, 0x0, 0x1d, x1, 76, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x2 -TEST_IMM_OP( srai, x11, x10, -0x20000000, -0x80000000, 0x2, x1, 80, x2) - -inst_36: -// rs1_val == 1073741824, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40000000; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x10000000, 0x40000000, 0x2, x1, 84, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20000000; immval:0x4 -TEST_IMM_OP( srai, x11, x10, 0x2000000, 0x20000000, 0x4, x1, 88, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10000000; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x4000, 0x10000000, 0xe, x1, 92, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: srai ; op1:x10; dest:x11; op1val:0x8000000; immval:0x15 -TEST_IMM_OP( srai, x11, x10, 0x40, 0x8000000, 0x15, x1, 96, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: srai ; op1:x10; dest:x11; op1val:0x4000000; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x4000, 0x4000000, 0xc, x1, 100, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2000000; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x10000, 0x2000000, 0x9, x1, 104, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: srai ; op1:x10; dest:x11; op1val:0x1000000; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x400, 0x1000000, 0xe, x1, 108, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: srai ; op1:x10; dest:x11; op1val:0x800000; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x1000, 0x800000, 0xb, x1, 112, x2) - -inst_44: -// rs1_val == 4194304, -// opcode: srai ; op1:x10; dest:x11; op1val:0x400000; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x400000, 0x11, x1, 116, x2) - -inst_45: -// rs1_val == 2097152, -// opcode: srai ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 -TEST_IMM_OP( srai, x11, x10, 0x20000, 0x200000, 0x4, x1, 120, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: srai ; op1:x10; dest:x11; op1val:0x100000; immval:0x1b -TEST_IMM_OP( srai, x11, x10, 0x0, 0x100000, 0x1b, x1, 124, x2) - -inst_47: -// rs1_val == 524288, -// opcode: srai ; op1:x10; dest:x11; op1val:0x80000; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x80000, 0x17, x1, 128, x2) - -inst_48: -// rs1_val == 262144, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40000; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x2, 0x40000, 0x11, x1, 132, x2) - -inst_49: -// rs1_val == 131072, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20000; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x100, 0x20000, 0x9, x1, 136, x2) - -inst_50: -// rs1_val == 65536, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10000; immval:0x10 -TEST_IMM_OP( srai, x11, x10, 0x1, 0x10000, 0x10, x1, 140, x2) - -inst_51: -// rs1_val == 32768, -// opcode: srai ; op1:x10; dest:x11; op1val:0x8000; immval:0xa -TEST_IMM_OP( srai, x11, x10, 0x20, 0x8000, 0xa, x1, 144, x2) - -inst_52: -// rs1_val == 16384, -// opcode: srai ; op1:x10; dest:x11; op1val:0x4000; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x4000, 0x12, x1, 148, x2) - -inst_53: -// rs1_val == 8192, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2000; immval:0x5 -TEST_IMM_OP( srai, x11, x10, 0x100, 0x2000, 0x5, x1, 152, x2) - -inst_54: -// rs1_val == 2048, -// opcode: srai ; op1:x10; dest:x11; op1val:0x800; immval:0x6 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x800, 0x6, x1, 156, x2) - -inst_55: -// rs1_val == 1024, -// opcode: srai ; op1:x10; dest:x11; op1val:0x400; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x400, 0x17, x1, 160, x2) - -inst_56: -// rs1_val == 512, -// opcode: srai ; op1:x10; dest:x11; op1val:0x200; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x0, 0x200, 0xb, x1, 164, x2) - -inst_57: -// rs1_val == 256, -// opcode: srai ; op1:x10; dest:x11; op1val:0x100; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x100, 0x9, x1, 168, x2) - -inst_58: -// rs1_val == 128, -// opcode: srai ; op1:x10; dest:x11; op1val:0x80; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x80, 0x2, x1, 172, x2) - -inst_59: -// rs1_val == 64, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x40, 0x11, x1, 176, x2) - -inst_60: -// rs1_val == 32, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x0, 0x20, 0xb, x1, 180, x2) - -inst_61: -// rs1_val == 16, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10; immval:0xd -TEST_IMM_OP( srai, x11, x10, 0x0, 0x10, 0xd, x1, 184, x2) - -inst_62: -// rs1_val == 8, rs1_val > 0 and imm_val == (xlen-1) -// opcode: srai ; op1:x10; dest:x11; op1val:0x8; immval:0x1f -TEST_IMM_OP( srai, x11, x10, 0x0, 0x8, 0x1f, x1, 188, x2) - -inst_63: -// rs1_val == 4, rs1_val==4 -// opcode: srai ; op1:x10; dest:x11; op1val:0x4; immval:0x1f -TEST_IMM_OP( srai, x11, x10, 0x0, 0x4, 0x1f, x1, 192, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x0, 0x2, 0xc, x1, 196, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:0x1; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x1, 0x9, x1, 200, x2) - -inst_66: -// rs1_val==46341, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb505; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x16, 0xb505, 0xb, x1, 204, x2) - -inst_67: -// rs1_val==-46339, -// opcode: srai ; op1:x10; dest:x11; op1val:-0xb503; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x2, -0xb503, 0xf, x1, 208, x2) - -inst_68: -// rs1_val==1717986919, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666667; immval:0x8 -TEST_IMM_OP( srai, x11, x10, 0x666666, 0x66666667, 0x8, x1, 212, x2) - -inst_69: -// rs1_val==858993460, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333334; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x33333, 0x33333334, 0xc, x1, 216, x2) - -inst_70: -// rs1_val==6, -// opcode: srai ; op1:x10; dest:x11; op1val:0x6; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x6, 0x17, x1, 220, x2) - -inst_71: -// rs1_val==-1431655765, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555555; immval:0xb -TEST_IMM_OP( srai, x11, x10, -0xaaaab, -0x55555555, 0xb, x1, 224, x2) - -inst_72: -// rs1_val==3, -// opcode: srai ; op1:x10; dest:x11; op1val:0x3; immval:0x8 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x3, 0x8, x1, 228, x2) - -inst_73: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1e -TEST_IMM_OP( srai, x11, x10, 0x1, 0x55555555, 0x1e, x1, 232, x2) - -inst_74: -// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, rs1_val==5 -// opcode: srai ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x5, 0x5, x1, 236, x2) - -inst_75: -// rs1_val > 0 and imm_val == 0, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( srai, x11, x10, 0x2, 0x2, 0x0, x1, 240, x2) - -inst_76: -// rs1_val==1431655766, -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x15555555, 0x55555556, 0x2, x1, 244, x2) - -inst_77: -// rs1_val==46339, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 -TEST_IMM_OP( srai, x11, x10, 0x5a81, 0xb503, 0x1, x1, 248, x2) - -inst_78: -// rs1_val==1717986917, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666665; immval:0x10 -TEST_IMM_OP( srai, x11, x10, 0x6666, 0x66666665, 0x10, x1, 252, x2) - -inst_79: -// rs1_val==858993458, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333332; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333332, 0x12, x1, 256, x2) - -inst_80: -// rs1_val==1431655764, -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1b -TEST_IMM_OP( srai, x11, x10, 0xa, 0x55555554, 0x1b, x1, 260, x2) - -inst_81: -// rs1_val==46340, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb504; immval:0x1e -TEST_IMM_OP( srai, x11, x10, 0x0, 0xb504, 0x1e, x1, 264, x2) - -inst_82: -// rs1_val==-46340, -// opcode: srai ; op1:x10; dest:x11; op1val:-0xb504; immval:0x11 -TEST_IMM_OP( srai, x11, x10, -0x1, -0xb504, 0x11, x1, 268, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666666; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x19999, 0x66666666, 0xe, x1, 272, x2) - -inst_84: -// rs1_val==858993459, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333333; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333333, 0x12, x1, 276, x2) - -inst_85: -// rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:-0x20000001; immval:0x1f -TEST_IMM_OP( srai, x11, x10, -0x1, -0x20000001, 0x1f, x1, 280, x2) - -inst_86: -// rs1_val == -16777217, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x12 -TEST_IMM_OP( srai, x11, x10, -0x41, -0x1000001, 0x12, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S deleted file mode 100644 index d8121944f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S +++ /dev/null @@ -1,529 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srl instruction of the RISC-V E extension for the srl covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srl) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x4, rd==x7, rs1_val < 0 and rs2_val == 0, rs1_val == -9 -// opcode: srl ; op1:x10; op2:x4; dest:x7; op1val:-0x9; op2val:0x0 -TEST_RR_OP(srl, x7, x10, x4, 0xfffffff7, -0x9, 0x0, x1, 0, x6) - -inst_1: -// rs1 == rd != rs2, rs1==x15, rs2==x0, rd==x15, rs2_val == 15, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: srl ; op1:x15; op2:x0; dest:x15; op1val:-0x6; op2val:0x0 -TEST_RR_OP(srl, x15, x15, x0, 0xfffffffa, -0x6, 0x0, x1, 4, x6) - -inst_2: -// rs2 == rd != rs1, rs1==x2, rs2==x3, rd==x3, rs2_val == 23, rs1_val == -2049 -// opcode: srl ; op1:x2; op2:x3; dest:x3; op1val:-0x801; op2val:0x17 -TEST_RR_OP(srl, x3, x2, x3, 0x1ff, -0x801, 0x17, x1, 8, x6) - -inst_3: -// rs1 == rs2 != rd, rs1==x5, rs2==x5, rd==x12, rs2_val == 27, rs1_val == -1048577 -// opcode: srl ; op1:x5; op2:x5; dest:x12; op1val:-0x100001; op2val:-0x100001 -TEST_RR_OP(srl, x12, x5, x5, 0x1, -0x100001, -0x100001, x1, 12, x6) - -inst_4: -// rs1 == rs2 == rd, rs1==x14, rs2==x14, rd==x14, rs2_val == 29, rs1_val == -16777217 -// opcode: srl ; op1:x14; op2:x14; dest:x14; op1val:-0x1000001; op2val:-0x1000001 -TEST_RR_OP(srl, x14, x14, x14, 0x1, -0x1000001, -0x1000001, x1, 16, x6) - -inst_5: -// rs1==x12, rs2==x10, rd==x2, rs2_val == 30, rs1_val == -1073741825 -// opcode: srl ; op1:x12; op2:x10; dest:x2; op1val:-0x40000001; op2val:0x1e -TEST_RR_OP(srl, x2, x12, x10, 0x2, -0x40000001, 0x1e, x1, 20, x6) - -inst_6: -// rs1==x11, rs2==x15, rd==x9, rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x11; op2:x15; dest:x9; op1val:0x7fffffff; op2val:0x1b -TEST_RR_OP(srl, x9, x11, x15, 0xf, 0x7fffffff, 0x1b, x1, 24, x6) - -inst_7: -// rs1==x4, rs2==x6, rd==x10, rs1_val == -536870913, -// opcode: srl ; op1:x4; op2:x6; dest:x10; op1val:-0x20000001; op2val:0x13 -TEST_RR_OP(srl, x10, x4, x6, 0x1bff, -0x20000001, 0x13, x1, 28, x2) -RVTEST_SIGBASE( x10,signature_x10_0) - -inst_8: -// rs1==x0, rs2==x9, rd==x8, rs1_val == -268435457, -// opcode: srl ; op1:x0; op2:x9; dest:x8; op1val:0x0; op2val:0xe -TEST_RR_OP(srl, x8, x0, x9, 0x0, 0x0, 0xe, x10, 0, x2) - -inst_9: -// rs1==x1, rs2==x7, rd==x11, rs1_val == -134217729, -// opcode: srl ; op1:x1; op2:x7; dest:x11; op1val:-0x8000001; op2val:0x13 -TEST_RR_OP(srl, x11, x1, x7, 0x1eff, -0x8000001, 0x13, x10, 4, x2) - -inst_10: -// rs1==x7, rs2==x12, rd==x5, rs1_val == -67108865, -// opcode: srl ; op1:x7; op2:x12; dest:x5; op1val:-0x4000001; op2val:0x1b -TEST_RR_OP(srl, x5, x7, x12, 0x1f, -0x4000001, 0x1b, x10, 8, x2) - -inst_11: -// rs1==x6, rs2==x13, rd==x1, rs1_val == -33554433, -// opcode: srl ; op1:x6; op2:x13; dest:x1; op1val:-0x2000001; op2val:0x6 -TEST_RR_OP(srl, x1, x6, x13, 0x3f7ffff, -0x2000001, 0x6, x10, 12, x2) - -inst_12: -// rs1==x9, rs2==x8, rd==x4, rs1_val == -8388609, -// opcode: srl ; op1:x9; op2:x8; dest:x4; op1val:-0x800001; op2val:0xe -TEST_RR_OP(srl, x4, x9, x8, 0x3fdff, -0x800001, 0xe, x10, 16, x2) - -inst_13: -// rs1==x3, rs2==x11, rd==x6, rs1_val == -4194305, rs2_val == 8 -// opcode: srl ; op1:x3; op2:x11; dest:x6; op1val:-0x400001; op2val:0x8 -TEST_RR_OP(srl, x6, x3, x11, 0xffbfff, -0x400001, 0x8, x10, 20, x2) - -inst_14: -// rs1==x13, rs2==x1, rd==x0, rs1_val == -2097153, rs2_val == 4 -// opcode: srl ; op1:x13; op2:x1; dest:x0; op1val:-0x200001; op2val:0x4 -TEST_RR_OP(srl, x0, x13, x1, 0, -0x200001, 0x4, x10, 24, x3) - -inst_15: -// rs1==x8, rs2==x2, rd==x13, rs1_val == -524289, -// opcode: srl ; op1:x8; op2:x2; dest:x13; op1val:-0x80001; op2val:0x1d -TEST_RR_OP(srl, x13, x8, x2, 0x7, -0x80001, 0x1d, x10, 28, x3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs1_val == -262145, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xfffbfff, -0x40001, 0x4, x1, 0, x3) - -inst_17: -// rs1_val == -131073, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0xfffdff, -0x20001, 0x8, x1, 4, x3) - -inst_18: -// rs1_val == -65537, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x10001, 0x1e, x1, 8, x3) - -inst_19: -// rs1_val == -32769, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x8001, 0x1d, x1, 12, x3) - -inst_20: -// rs1_val == -16385, rs2_val == 10 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x3fffef, -0x4001, 0xa, x1, 16, x3) - -inst_21: -// rs1_val == -8193, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x2001, 0xe, x1, 20, x3) - -inst_22: -// rs1_val == -4097, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x1001, 0x1f, x1, 24, x3) - -inst_23: -// rs1_val == -1025, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x401, 0x1e, x1, 28, x3) - -inst_24: -// rs1_val == -513, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x7fff, -0x201, 0x11, x1, 32, x3) - -inst_25: -// rs1_val == -257, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x101, 0x1d, x1, 36, x3) - -inst_26: -// rs1_val == -129, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x7ffff, -0x81, 0xd, x1, 40, x3) - -inst_27: -// rs1_val == -65, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x9 -TEST_RR_OP(srl, x12, x10, x11, 0x7fffff, -0x41, 0x9, x1, 44, x3) - -inst_28: -// rs1_val == -33, rs2_val == 21 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x15 -TEST_RR_OP(srl, x12, x10, x11, 0x7ff, -0x21, 0x15, x1, 48, x3) - -inst_29: -// rs1_val == -17, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x11, 0xe, x1, 52, x3) - -inst_30: -// rs1_val == -5, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x0 -TEST_RR_OP(srl, x12, x10, x11, 0xfffffffb, -0x5, 0x0, x1, 56, x3) - -inst_31: -// rs1_val == -3, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x3, 0x1f, x1, 60, x3) - -inst_32: -// rs1_val == -2, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x5 -TEST_RR_OP(srl, x12, x10, x11, 0x7ffffff, -0x2, 0x5, x1, 64, x3) - -inst_33: -// rs2_val == 16, rs1_val == 524288 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x80000, 0x10, x1, 68, x3) - -inst_34: -// rs2_val == 2, rs1_val==46341 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(srl, x12, x10, x11, 0x2d41, 0xb505, 0x2, x1, 72, x3) - -inst_35: -// rs2_val == 1, rs1_val == 268435456 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 -TEST_RR_OP(srl, x12, x10, x11, 0x8000000, 0x10000000, 0x1, x1, 76, x3) - -inst_36: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x17 -TEST_RR_OP(srl, x12, x10, x11, 0x100, -0x80000000, 0x17, x1, 80, x3) - -inst_37: -// rs1_val == 1073741824, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x1000000, 0x40000000, 0x6, x1, 84, x3) - -inst_38: -// rs1_val == 536870912, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x80000, 0x20000000, 0xa, x1, 88, x3) - -inst_39: -// rs1_val == 134217728, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x400, 0x8000000, 0x11, x1, 92, x3) - -inst_40: -// rs1_val == 67108864, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x1000, 0x4000000, 0xe, x1, 96, x3) - -inst_41: -// rs1_val == 33554432, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x80, 0x2000000, 0x12, x1, 100, x3) - -inst_42: -// rs1_val == 16777216, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x4000, 0x1000000, 0xa, x1, 104, x3) - -inst_43: -// rs1_val == 8388608, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x10, 0x800000, 0x13, x1, 108, x3) - -inst_44: -// rs1_val == 4194304, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb -TEST_RR_OP(srl, x12, x10, x11, 0x800, 0x400000, 0xb, x1, 112, x3) - -inst_45: -// rs1_val == 2097152, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0x2000, 0x200000, 0x8, x1, 116, x3) - -inst_46: -// rs1_val == 1048576, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x100000, 0xe, x1, 120, x3) - -inst_47: -// rs1_val == 262144, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x2, 0x40000, 0x11, x1, 124, x3) - -inst_48: -// rs1_val == 131072, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x20000, 0xe, x1, 128, x3) - -inst_49: -// rs1_val == 65536, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10000, 0x13, x1, 132, x3) - -inst_50: -// rs1_val == 32768, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xf -TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x8000, 0xf, x1, 136, x3) - -inst_51: -// rs1_val == 16384, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x4000, 0xe, x1, 140, x3) - -inst_52: -// rs1_val == 8192, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0x20, 0x2000, 0x8, x1, 144, x3) - -inst_53: -// rs1_val == 4096, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x1000, 0x6, x1, 148, x3) - -inst_54: -// rs1_val == 2048, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x800, 0x1b, x1, 152, x3) - -inst_55: -// rs1_val == 1024, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x400, 0x13, x1, 156, x3) - -inst_56: -// rs1_val == 512, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x3 -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x200, 0x3, x1, 160, x3) - -inst_57: -// rs1_val == 256, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x100, 0x1e, x1, 164, x3) - -inst_58: -// rs1_val == 128, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x80, 0xa, x1, 168, x3) - -inst_59: -// rs1_val == 64, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0x4, 0x40, 0x4, x1, 172, x3) - -inst_60: -// rs1_val == 32, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x20, 0xd, x1, 176, x3) - -inst_61: -// rs1_val == 16, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10, 0x11, x1, 180, x3) - -inst_62: -// rs1_val == 8, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x8, 0x12, x1, 184, x3) - -inst_63: -// rs1_val == 4, rs1_val==4 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x4, 0x10, x1, 188, x3) - -inst_64: -// rs1_val == 2, rs1_val==2, rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x2, 0x2, x1, 192, x3) - -inst_65: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x1, 0x1f, x1, 196, x3) - -inst_66: -// rs1_val==-46339, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x3fffd2b, -0xb503, 0x6, x1, 200, x3) - -inst_67: -// rs1_val==1717986919, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x19999, 0x66666667, 0xe, x1, 204, x3) - -inst_68: -// rs1_val==858993460, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x9 -TEST_RR_OP(srl, x12, x10, x11, 0x199999, 0x33333334, 0x9, x1, 208, x3) - -inst_69: -// rs1_val==6, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x6, 0xd, x1, 212, x3) - -inst_70: -// rs1_val==-1431655765, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x2aaaa, -0x55555555, 0xe, x1, 216, x3) - -inst_71: -// rs1_val==1431655766, rs1_val > 0 and rs2_val == 0 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(srl, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 220, x3) - -inst_72: -// rs1_val==46339, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x5, 0xb503, 0xd, x1, 224, x3) - -inst_73: -// rs1_val==3, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xf -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x3, 0xf, x1, 228, x3) - -inst_74: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaaa, -0x55555556, 0x4, x1, 232, x3) - -inst_75: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x7 -TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaa, 0x55555555, 0x7, x1, 236, x3) - -inst_76: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x0, 0x7, x1, 240, x3) - -inst_77: -// rs1_val==1717986917, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666665, 0x1b, x1, 244, x3) - -inst_78: -// rs1_val==858993458, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x1999, 0x33333332, 0x11, x1, 248, x3) - -inst_79: -// rs1_val==1431655764, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0xaaa, 0x55555554, 0x13, x1, 252, x3) - -inst_80: -// rs1_val==46340, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0xb504, 0x12, x1, 256, x3) - -inst_81: -// rs1_val==-46340, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xffff4af, -0xb504, 0x4, x1, 260, x3) - -inst_82: -// rs1_val==1717986918, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666666, 0x1b, x1, 264, x3) - -inst_83: -// rs1_val==858993459, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x15 -TEST_RR_OP(srl, x12, x10, x11, 0x199, 0x33333333, 0x15, x1, 268, x3) - -inst_84: -// rs1_val==5, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x5, 0x10, x1, 272, x3) - -inst_85: -// rs2_val == 27, rs1_val == -1048577 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0x1f, -0x100001, 0x1b, x1, 276, x3) - -inst_86: -// rs2_val == 29, rs1_val == -16777217 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x1000001, 0x1d, x1, 280, x3) - -inst_87: -// rs1_val == -268435457, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3bfff, -0x10000001, 0xe, x1, 284, x3) - -inst_88: -// rs1_val == -2097153, rs2_val == 4 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xffdffff, -0x200001, 0x4, x1, 288, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x10_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 73*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S deleted file mode 100644 index d45ea9d47..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S +++ /dev/null @@ -1,524 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srli instruction of the RISC-V E extension for the srli covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srli) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x4, rd==x5, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -65, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srli ; op1:x4; dest:x5; op1val:-0x41; immval:0x1f -TEST_IMM_OP( srli, x5, x4, 0x1, -0x41, 0x1f, x1, 0, x10) - -inst_1: -// rs1 == rd, rs1==x9, rd==x9, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen, imm_val == 8 -// opcode: srli ; op1:x9; dest:x9; op1val:0x7fffffff; immval:0x8 -TEST_IMM_OP( srli, x9, x9, 0x7fffff, 0x7fffffff, 0x8, x1, 4, x10) - -inst_2: -// rs1==x0, rd==x6, rs1_val == -1073741825, imm_val == 23 -// opcode: srli ; op1:x0; dest:x6; op1val:0x0; immval:0x17 -TEST_IMM_OP( srli, x6, x0, 0x0, 0x0, 0x17, x1, 8, x10) - -inst_3: -// rs1==x12, rd==x4, rs1_val == -536870913, -// opcode: srli ; op1:x12; dest:x4; op1val:-0x20000001; immval:0x6 -TEST_IMM_OP( srli, x4, x12, 0x37fffff, -0x20000001, 0x6, x1, 12, x10) - -inst_4: -// rs1==x8, rd==x14, rs1_val == -268435457, imm_val == 10 -// opcode: srli ; op1:x8; dest:x14; op1val:-0x10000001; immval:0xa -TEST_IMM_OP( srli, x14, x8, 0x3bffff, -0x10000001, 0xa, x1, 16, x10) - -inst_5: -// rs1==x7, rd==x3, rs1_val == -134217729, imm_val == 2 -// opcode: srli ; op1:x7; dest:x3; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( srli, x3, x7, 0x3dffffff, -0x8000001, 0x2, x1, 20, x10) - -inst_6: -// rs1==x6, rd==x2, rs1_val == -67108865, -// opcode: srli ; op1:x6; dest:x2; op1val:-0x4000001; immval:0x12 -TEST_IMM_OP( srli, x2, x6, 0x3eff, -0x4000001, 0x12, x1, 24, x10) - -inst_7: -// rs1==x11, rd==x15, rs1_val == -33554433, imm_val == 16 -// opcode: srli ; op1:x11; dest:x15; op1val:-0x2000001; immval:0x10 -TEST_IMM_OP( srli, x15, x11, 0xfdff, -0x2000001, 0x10, x1, 28, x10) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_8: -// rs1==x5, rd==x13, rs1_val == -16777217, imm_val == 1 -// opcode: srli ; op1:x5; dest:x13; op1val:-0x1000001; immval:0x1 -TEST_IMM_OP( srli, x13, x5, 0x7f7fffff, -0x1000001, 0x1, x4, 0, x6) - -inst_9: -// rs1==x15, rd==x11, rs1_val == -8388609, -// opcode: srli ; op1:x15; dest:x11; op1val:-0x800001; immval:0x11 -TEST_IMM_OP( srli, x11, x15, 0x7fbf, -0x800001, 0x11, x4, 4, x6) - -inst_10: -// rs1==x2, rd==x12, rs1_val == -4194305, imm_val == 15 -// opcode: srli ; op1:x2; dest:x12; op1val:-0x400001; immval:0xf -TEST_IMM_OP( srli, x12, x2, 0x1ff7f, -0x400001, 0xf, x4, 8, x6) - -inst_11: -// rs1==x14, rd==x7, rs1_val == -2097153, -// opcode: srli ; op1:x14; dest:x7; op1val:-0x200001; immval:0x7 -TEST_IMM_OP( srli, x7, x14, 0x1ffbfff, -0x200001, 0x7, x4, 12, x6) - -inst_12: -// rs1==x13, rd==x0, rs1_val == -1048577, -// opcode: srli ; op1:x13; dest:x0; op1val:-0x100001; immval:0xc -TEST_IMM_OP( srli, x0, x13, 0, -0x100001, 0xc, x4, 16, x6) - -inst_13: -// rs1==x3, rd==x10, rs1_val == -524289, imm_val == 21 -// opcode: srli ; op1:x3; dest:x10; op1val:-0x80001; immval:0x15 -TEST_IMM_OP( srli, x10, x3, 0x7ff, -0x80001, 0x15, x4, 20, x6) - -inst_14: -// rs1==x10, rd==x1, rs1_val == -262145, -// opcode: srli ; op1:x10; dest:x1; op1val:-0x40001; immval:0x10 -TEST_IMM_OP( srli, x1, x10, 0xfffb, -0x40001, 0x10, x4, 24, x6) - -inst_15: -// rs1==x1, rd==x8, rs1_val == -131073, -// opcode: srli ; op1:x1; dest:x8; op1val:-0x20001; immval:0x11 -TEST_IMM_OP( srli, x8, x1, 0x7ffe, -0x20001, 0x11, x4, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs1_val == -65537, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x1fffdf, -0x10001, 0xb, x1, 0, x2) - -inst_17: -// rs1_val == -32769, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x8001, 0x12, x1, 4, x2) - -inst_18: -// rs1_val == -16385, rs1_val < 0 and imm_val == 0 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0xffffbfff, -0x4001, 0x0, x1, 8, x2) - -inst_19: -// rs1_val == -8193, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x2001, 0x13, x1, 12, x2) - -inst_20: -// rs1_val == -4097, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa -TEST_IMM_OP( srli, x11, x10, 0x3ffffb, -0x1001, 0xa, x1, 16, x2) - -inst_21: -// rs1_val == -2049, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x801; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x7ff, -0x801, 0x15, x1, 20, x2) - -inst_22: -// rs1_val == -1025, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x3ffffef, -0x401, 0x6, x1, 24, x2) - -inst_23: -// rs1_val == -513, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x201; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x201, 0x13, x1, 28, x2) - -inst_24: -// rs1_val == -257, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x101; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xfffffe, -0x101, 0x8, x1, 32, x2) - -inst_25: -// rs1_val == -129, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x81; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xffffff, -0x81, 0x8, x1, 36, x2) - -inst_26: -// rs1_val == -33, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x3ffffff7, -0x21, 0x2, x1, 40, x2) - -inst_27: -// rs1_val == -17, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x11; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x11, 0x12, x1, 44, x2) - -inst_28: -// rs1_val == -9, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x9; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x3ffffffd, -0x9, 0x2, x1, 48, x2) - -inst_29: -// rs1_val == -5, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x5; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x3ffffff, -0x5, 0x6, x1, 52, x2) - -inst_30: -// rs1_val == -3, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x3; immval:0xf -TEST_IMM_OP( srli, x11, x10, 0x1ffff, -0x3, 0xf, x1, 56, x2) - -inst_31: -// rs1_val == -2, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x2; immval:0xc -TEST_IMM_OP( srli, x11, x10, 0xfffff, -0x2, 0xc, x1, 60, x2) - -inst_32: -// imm_val == 27, rs1_val == 262144 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40000; immval:0x1b -TEST_IMM_OP( srli, x11, x10, 0x0, 0x40000, 0x1b, x1, 64, x2) - -inst_33: -// imm_val == 29, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000000; immval:0x1d -TEST_IMM_OP( srli, x11, x10, 0x6, -0x40000000, 0x1d, x1, 68, x2) - -inst_34: -// imm_val == 30, rs1_val == 64 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x40, 0x1e, x1, 72, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: srli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0xa -TEST_IMM_OP( srli, x11, x10, 0x200000, -0x80000000, 0xa, x1, 76, x2) - -inst_36: -// rs1_val == 1073741824, rs1_val > 0 and imm_val == 0 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0x40000000, 0x40000000, 0x0, x1, 80, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x1000, 0x20000000, 0x11, x1, 84, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x800, 0x10000000, 0x11, x1, 88, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8000000; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x8000000, 0xb, x1, 92, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4000000; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4000000, 0x1e, x1, 96, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: srli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x40, 0x2000000, 0x13, x1, 100, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: srli ; op1:x10; dest:x11; op1val:0x1000000; immval:0xd -TEST_IMM_OP( srli, x11, x10, 0x800, 0x1000000, 0xd, x1, 104, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: srli ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x800000, 0x7, x1, 108, x2) - -inst_44: -// rs1_val == 4194304, -// opcode: srli ; op1:x10; dest:x11; op1val:0x400000; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x400000, 0x6, x1, 112, x2) - -inst_45: -// rs1_val == 2097152, imm_val == 4 -// opcode: srli ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x20000, 0x200000, 0x4, x1, 116, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: srli ; op1:x10; dest:x11; op1val:0x100000; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x800, 0x100000, 0x9, x1, 120, x2) - -inst_47: -// rs1_val == 524288, -// opcode: srli ; op1:x10; dest:x11; op1val:0x80000; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x100, 0x80000, 0xb, x1, 124, x2) - -inst_48: -// rs1_val == 131072, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20000; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x2, 0x20000, 0x10, x1, 128, x2) - -inst_49: -// rs1_val == 65536, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x10000, 0x0, x1, 132, x2) - -inst_50: -// rs1_val == 32768, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x8000, 0x10, x1, 136, x2) - -inst_51: -// rs1_val == 16384, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4000; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x100, 0x4000, 0x6, x1, 140, x2) - -inst_52: -// rs1_val == 8192, -// opcode: srli ; op1:x10; dest:x11; op1val:0x2000; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x200, 0x2000, 0x4, x1, 144, x2) - -inst_53: -// rs1_val == 4096, -// opcode: srli ; op1:x10; dest:x11; op1val:0x1000; immval:0xf -TEST_IMM_OP( srli, x11, x10, 0x0, 0x1000, 0xf, x1, 148, x2) - -inst_54: -// rs1_val == 2048, -// opcode: srli ; op1:x10; dest:x11; op1val:0x800; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x8, 0x800, 0x8, x1, 152, x2) - -inst_55: -// rs1_val == 1024, -// opcode: srli ; op1:x10; dest:x11; op1val:0x400; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x400, 0x12, x1, 156, x2) - -inst_56: -// rs1_val == 512, -// opcode: srli ; op1:x10; dest:x11; op1val:0x200; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0x0, 0x200, 0xe, x1, 160, x2) - -inst_57: -// rs1_val == 256, -// opcode: srli ; op1:x10; dest:x11; op1val:0x100; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x100, 0x13, x1, 164, x2) - -inst_58: -// rs1_val == 128, -// opcode: srli ; op1:x10; dest:x11; op1val:0x80; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x80, 0x8, x1, 168, x2) - -inst_59: -// rs1_val == 32, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x0, 0x20, 0xb, x1, 172, x2) - -inst_60: -// rs1_val == 16, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x10, 0x17, x1, 176, x2) - -inst_61: -// rs1_val == 8, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8; immval:0x1d -TEST_IMM_OP( srli, x11, x10, 0x0, 0x8, 0x1d, x1, 180, x2) - -inst_62: -// rs1_val == 4, rs1_val==4 -// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x9, x1, 184, x2) - -inst_63: -// rs1_val == 2, rs1_val==2 -// opcode: srli ; op1:x10; dest:x11; op1val:0x2; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x2, 0x11, x1, 188, x2) - -inst_64: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val == (xlen-1) -// opcode: srli ; op1:x10; dest:x11; op1val:0x1; immval:0x1f -TEST_IMM_OP( srli, x11, x10, 0x0, 0x1, 0x1f, x1, 192, x2) - -inst_65: -// rs1_val==46341, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb505; immval:0xd -TEST_IMM_OP( srli, x11, x10, 0x5, 0xb505, 0xd, x1, 196, x2) - -inst_66: -// rs1_val==-46339, -// opcode: srli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x7 -TEST_IMM_OP( srli, x11, x10, 0x1fffe95, -0xb503, 0x7, x1, 200, x2) - -inst_67: -// rs1_val==1717986919, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666667; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0xccccc, 0x66666667, 0xb, x1, 204, x2) - -inst_68: -// rs1_val==858993460, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333334; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0xcccc, 0x33333334, 0xe, x1, 208, x2) - -inst_69: -// rs1_val==6, -// opcode: srli ; op1:x10; dest:x11; op1val:0x6; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0x0, 0x6, 0xe, x1, 212, x2) - -inst_70: -// rs1_val==-1431655765, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x1 -TEST_IMM_OP( srli, x11, x10, 0x55555555, -0x55555555, 0x1, x1, 216, x2) - -inst_71: -// rs1_val==1431655766, -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x5555, 0x55555556, 0x10, x1, 220, x2) - -inst_72: -// rs1_val==46339, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb503; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x0, 0xb503, 0x15, x1, 224, x2) - -inst_73: -// rs1_val==0, rs1_val == 0 and imm_val >= 0 and imm_val < xlen -// opcode: srli ; op1:x10; dest:x11; op1val:0x0; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x0, 0x17, x1, 228, x2) - -inst_74: -// rs1_val==3, -// opcode: srli ; op1:x10; dest:x11; op1val:0x3; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x3, 0x8, x1, 232, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x2aaaaaaa, -0x55555556, 0x2, x1, 236, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555555; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0xaaaaa, 0x55555555, 0xb, x1, 240, x2) - -inst_77: -// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x4, x1, 244, x2) - -inst_78: -// rs1_val==1717986917, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666665; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x333, 0x66666665, 0x15, x1, 248, x2) - -inst_79: -// rs1_val==858993458, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0xcccccc, 0x33333332, 0x6, x1, 252, x2) - -inst_80: -// rs1_val==1431655764, -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x1555555, 0x55555554, 0x6, x1, 256, x2) - -inst_81: -// rs1_val==46340, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb504; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0xb504, 0x17, x1, 260, x2) - -inst_82: -// rs1_val==-46340, -// opcode: srli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xffff4a, -0xb504, 0x8, x1, 264, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x333333, 0x66666666, 0x9, x1, 268, x2) - -inst_84: -// rs1_val==858993459, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x33333333, 0x1e, x1, 272, x2) - -inst_85: -// rs1_val==5, -// opcode: srli ; op1:x10; dest:x11; op1val:0x5; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x0, 0x5, 0xb, x1, 276, x2) - -inst_86: -// rs1_val == -1073741825, imm_val == 23 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x17f, -0x40000001, 0x17, x1, 280, x2) - -inst_87: -// rs1_val == -1048577, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x100001; immval:0xc -TEST_IMM_OP( srli, x11, x10, 0xffeff, -0x100001, 0xc, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S deleted file mode 100644 index e8ef3b8b3..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S +++ /dev/null @@ -1,3009 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sub instruction of the RISC-V E extension for the sub covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sub) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x14, rs2==x9, rd==x4, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs2_val == 4194304, rs1_val == 33554432 -// opcode: sub ; op1:x14; op2:x9; dest:x4; op1val:0x2000000; op2val:0x400000 -TEST_RR_OP(sub, x4, x14, x9, 0x1c00000, 0x2000000, 0x400000, x3, 0, x5) - -inst_1: -// rs1 == rd != rs2, rs1==x1, rs2==x0, rd==x1, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: sub ; op1:x1; op2:x0; dest:x1; op1val:-0x400001; op2val:0x0 -TEST_RR_OP(sub, x1, x1, x0, 0xffbfffff, -0x400001, 0x0, x3, 4, x5) - -inst_2: -// rs2 == rd != rs1, rs1==x11, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 -// opcode: sub ; op1:x11; op2:x6; dest:x6; op1val:-0x6; op2val:-0x40000001 -TEST_RR_OP(sub, x6, x11, x6, 0x3ffffffb, -0x6, -0x40000001, x3, 8, x5) - -inst_3: -// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x15, rs2_val == -536870913, rs1_val == -8388609 -// opcode: sub ; op1:x12; op2:x12; dest:x15; op1val:-0x800001; op2val:-0x800001 -TEST_RR_OP(sub, x15, x12, x12, 0x0, -0x800001, -0x800001, x3, 12, x5) - -inst_4: -// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == -268435457, rs1_val == -33554433 -// opcode: sub ; op1:x13; op2:x13; dest:x13; op1val:-0x2000001; op2val:-0x2000001 -TEST_RR_OP(sub, x13, x13, x13, 0x0, -0x2000001, -0x2000001, x3, 16, x5) - -inst_5: -// rs1==x10, rs2==x1, rd==x12, rs2_val == -134217729, rs1_val == -5 -// opcode: sub ; op1:x10; op2:x1; dest:x12; op1val:-0x5; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x1, 0x7fffffc, -0x5, -0x8000001, x3, 20, x5) - -inst_6: -// rs1==x7, rs2==x2, rd==x8, rs2_val == -67108865, rs1_val == 0 -// opcode: sub ; op1:x7; op2:x2; dest:x8; op1val:0x0; op2val:-0x4000001 -TEST_RR_OP(sub, x8, x7, x2, 0x4000001, 0x0, -0x4000001, x3, 24, x5) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_7: -// rs1==x5, rs2==x11, rd==x9, rs2_val == -33554433, rs1_val == -1025 -// opcode: sub ; op1:x5; op2:x11; dest:x9; op1val:-0x401; op2val:-0x2000001 -TEST_RR_OP(sub, x9, x5, x11, 0x1fffc00, -0x401, -0x2000001, x1, 0, x10) - -inst_8: -// rs1==x8, rs2==x3, rd==x14, rs2_val == -16777217, rs1_val == 16, rs1_val > 0 and rs2_val < 0 -// opcode: sub ; op1:x8; op2:x3; dest:x14; op1val:0x10; op2val:-0x1000001 -TEST_RR_OP(sub, x14, x8, x3, 0x1000011, 0x10, -0x1000001, x1, 4, x10) - -inst_9: -// rs1==x4, rs2==x15, rd==x5, rs2_val == -8388609, rs1_val == 128 -// opcode: sub ; op1:x4; op2:x15; dest:x5; op1val:0x80; op2val:-0x800001 -TEST_RR_OP(sub, x5, x4, x15, 0x800081, 0x80, -0x800001, x1, 8, x10) - -inst_10: -// rs1==x15, rs2==x4, rd==x2, rs2_val == -4194305, rs1_val == 2 -// opcode: sub ; op1:x15; op2:x4; dest:x2; op1val:0x2; op2val:-0x400001 -TEST_RR_OP(sub, x2, x15, x4, 0x400003, 0x2, -0x400001, x1, 12, x10) - -inst_11: -// rs1==x2, rs2==x14, rd==x7, rs2_val == -2097153, rs1_val == 4 -// opcode: sub ; op1:x2; op2:x14; dest:x7; op1val:0x4; op2val:-0x200001 -TEST_RR_OP(sub, x7, x2, x14, 0x200005, 0x4, -0x200001, x1, 16, x10) - -inst_12: -// rs1==x6, rs2==x7, rd==x3, rs2_val == -1048577, rs1_val == -513 -// opcode: sub ; op1:x6; op2:x7; dest:x3; op1val:-0x201; op2val:-0x100001 -TEST_RR_OP(sub, x3, x6, x7, 0xffe00, -0x201, -0x100001, x1, 20, x10) - -inst_13: -// rs1==x3, rs2==x8, rd==x0, rs2_val == -524289, rs1_val == -2 -// opcode: sub ; op1:x3; op2:x8; dest:x0; op1val:-0x2; op2val:-0x80001 -TEST_RR_OP(sub, x0, x3, x8, 0, -0x2, -0x80001, x1, 24, x2) - -inst_14: -// rs1==x0, rs2==x10, rd==x11, rs2_val == -262145, rs1_val == rs2_val, rs1_val == -262145 -// opcode: sub ; op1:x0; op2:x10; dest:x11; op1val:0x0; op2val:-0x40001 -TEST_RR_OP(sub, x11, x0, x10, 0x40001, 0x0, -0x40001, x1, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_15: -// rs1==x9, rs2==x5, rd==x10, rs2_val == -131073, rs1_val == -2097153 -// opcode: sub ; op1:x9; op2:x5; dest:x10; op1val:-0x200001; op2val:-0x20001 -TEST_RR_OP(sub, x10, x9, x5, 0xffe20000, -0x200001, -0x20001, x1, 0, x2) - -inst_16: -// rs2_val == -65537, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x10001 -TEST_RR_OP(sub, x12, x10, x11, 0xfffc, -0x5, -0x10001, x1, 4, x2) - -inst_17: -// rs2_val == -32769, rs1_val == 64 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x8001 -TEST_RR_OP(sub, x12, x10, x11, 0x8041, 0x40, -0x8001, x1, 8, x2) - -inst_18: -// rs2_val == -16385, rs1_val == -268435457 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x4001 -TEST_RR_OP(sub, x12, x10, x11, 0xf0004000, -0x10000001, -0x4001, x1, 12, x2) - -inst_19: -// rs2_val == -8193, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x2001 -TEST_RR_OP(sub, x12, x10, x11, 0x33335333, 0x33333332, -0x2001, x1, 16, x2) - -inst_20: -// rs2_val == -4097, rs1_val == 536870912 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x1001 -TEST_RR_OP(sub, x12, x10, x11, 0x20001001, 0x20000000, -0x1001, x1, 20, x2) - -inst_21: -// rs2_val == -2049, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x801 -TEST_RR_OP(sub, x12, x10, x11, 0xffc00800, -0x400001, -0x801, x1, 24, x2) - -inst_22: -// rs2_val == -1025, rs1_val == 32 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x401 -TEST_RR_OP(sub, x12, x10, x11, 0x421, 0x20, -0x401, x1, 28, x2) - -inst_23: -// rs2_val == -513, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x201 -TEST_RR_OP(sub, x12, x10, x11, 0xfffc0200, -0x40001, -0x201, x1, 32, x2) - -inst_24: -// rs2_val == -257, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x101 -TEST_RR_OP(sub, x12, x10, x11, 0xffe00100, -0x200001, -0x101, x1, 36, x2) - -inst_25: -// rs2_val == -129, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x81 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b7e, -0xb503, -0x81, x1, 40, x2) - -inst_26: -// rs2_val == -65, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x41 -TEST_RR_OP(sub, x12, x10, x11, 0x55555597, 0x55555556, -0x41, x1, 44, x2) - -inst_27: -// rs2_val == -33, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x21 -TEST_RR_OP(sub, x12, x10, x11, 0x23, 0x2, -0x21, x1, 48, x2) - -inst_28: -// rs2_val == -17, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x11 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaabc, -0x55555555, -0x11, x1, 52, x2) - -inst_29: -// rs2_val == -9, rs1_val == 65536 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:-0x9 -TEST_RR_OP(sub, x12, x10, x11, 0x10009, 0x10000, -0x9, x1, 56, x2) - -inst_30: -// rs2_val == -5, rs1_val == 262144 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x40005, 0x40000, -0x5, x1, 60, x2) - -inst_31: -// rs2_val == -3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0xb505, -0x3, x1, 64, x2) - -inst_32: -// rs2_val == -2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, -0xb503, -0x2, x1, 68, x2) - -inst_33: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1), rs2_val == -1431655766 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xd5555555, 0x7fffffff, -0x55555556, x1, 72, x2) - -inst_34: -// rs1_val == -1073741825, rs2_val == 67108864 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x4000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbbffffff, -0x40000001, 0x4000000, x1, 76, x2) - -inst_35: -// rs1_val == -536870913, rs2_val == 536870912 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x20000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbfffffff, -0x20000001, 0x20000000, x1, 80, x2) - -inst_36: -// rs1_val == -134217729, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x8001 -TEST_RR_OP(sub, x12, x10, x11, 0xf8008000, -0x8000001, -0x8001, x1, 84, x2) - -inst_37: -// rs1_val == -67108865, rs2_val == 0 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xfbffffff, -0x4000001, 0x0, x1, 88, x2) - -inst_38: -// rs1_val == -16777217, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcbcccccb, -0x1000001, 0x33333334, x1, 92, x2) - -inst_39: -// rs1_val == -1048577, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccbccccb, -0x100001, 0x33333334, x1, 96, x2) - -inst_40: -// rs1_val == -524289, rs2_val == 8388608 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x800000 -TEST_RR_OP(sub, x12, x10, x11, 0xff77ffff, -0x80001, 0x800000, x1, 100, x2) - -inst_41: -// rs1_val == -131073, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffdfff9, -0x20001, 0x6, x1, 104, x2) - -inst_42: -// rs1_val == -65537, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x81 -TEST_RR_OP(sub, x12, x10, x11, 0xffff0080, -0x10001, -0x81, x1, 108, x2) - -inst_43: -// rs1_val == -32769, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffecafc, -0x8001, 0xb503, x1, 112, x2) - -inst_44: -// rs1_val == -16385, rs2_val == 8 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x8 -TEST_RR_OP(sub, x12, x10, x11, 0xffffbff7, -0x4001, 0x8, x1, 116, x2) - -inst_45: -// rs1_val == -8193, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffffe003, -0x2001, -0x4, x1, 120, x2) - -inst_46: -// rs1_val == -4097, rs2_val == 262144 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 -TEST_RR_OP(sub, x12, x10, x11, 0xfffbefff, -0x1001, 0x40000, x1, 124, x2) - -inst_47: -// rs1_val == -2049, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x20000001 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff800, -0x801, -0x20000001, x1, 128, x2) - -inst_48: -// rs1_val == -257, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffff00, -0x101, -0x8000001, x1, 132, x2) - -inst_49: -// rs1_val == -129, rs2_val == 2048 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x800 -TEST_RR_OP(sub, x12, x10, x11, 0xfffff77f, -0x81, 0x800, x1, 136, x2) - -inst_50: -// rs1_val == -65, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x10001 -TEST_RR_OP(sub, x12, x10, x11, 0xffc0, -0x41, -0x10001, x1, 140, x2) - -inst_51: -// rs1_val == -33, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffe0, -0x21, -0x80001, x1, 144, x2) - -inst_52: -// rs1_val == -17, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x200001 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff0, -0x11, -0x200001, x1, 148, x2) - -inst_53: -// rs1_val == -9, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x7fffff8, -0x9, -0x8000001, x1, 152, x2) - -inst_54: -// rs1_val == -3, rs2_val == 8192 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x2000 -TEST_RR_OP(sub, x12, x10, x11, 0xffffdffd, -0x3, 0x2000, x1, 156, x2) - -inst_55: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x2aaaaaab, -0x55555555, -0x80000000, x1, 160, x2) - -inst_56: -// rs2_val == 1073741824, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x40000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbfff7fff, -0x8001, 0x40000000, x1, 164, x2) - -inst_57: -// rs2_val == 268435456, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x10000000 -TEST_RR_OP(sub, x12, x10, x11, 0xf2000000, 0x2000000, 0x10000000, x1, 168, x2) - -inst_58: -// rs2_val == 134217728, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x8000000 -TEST_RR_OP(sub, x12, x10, x11, 0xa2aaaaab, -0x55555555, 0x8000000, x1, 172, x2) - -inst_59: -// rs2_val == 33554432, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2000000 -TEST_RR_OP(sub, x12, x10, x11, 0xfdffdfff, -0x2001, 0x2000000, x1, 176, x2) - -inst_60: -// rs2_val == 16777216, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000000 -TEST_RR_OP(sub, x12, x10, x11, 0xfeffffef, -0x11, 0x1000000, x1, 180, x2) - -inst_61: -// rs2_val == 2097152, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x200000 -TEST_RR_OP(sub, x12, x10, x11, 0xffe00010, 0x10, 0x200000, x1, 184, x2) - -inst_62: -// rs2_val == 1048576, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x100000 -TEST_RR_OP(sub, x12, x10, x11, 0x33233333, 0x33333333, 0x100000, x1, 188, x2) - -inst_63: -// rs2_val == 524288, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x80000 -TEST_RR_OP(sub, x12, x10, x11, 0xfef7ffff, -0x1000001, 0x80000, x1, 192, x2) - -inst_64: -// rs2_val == 131072, rs1_val == 524288 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x20000 -TEST_RR_OP(sub, x12, x10, x11, 0x60000, 0x80000, 0x20000, x1, 196, x2) - -inst_65: -// rs2_val == 65536, rs1_val == 134217728 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x10000 -TEST_RR_OP(sub, x12, x10, x11, 0x7ff0000, 0x8000000, 0x10000, x1, 200, x2) - -inst_66: -// rs2_val == 32768, rs1_val == 2097152 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8000 -TEST_RR_OP(sub, x12, x10, x11, 0x1f8000, 0x200000, 0x8000, x1, 204, x2) - -inst_67: -// rs2_val == 16384, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x4000 -TEST_RR_OP(sub, x12, x10, x11, 0xf7ffbfff, -0x8000001, 0x4000, x1, 208, x2) - -inst_68: -// rs2_val == 4096, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1000 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff000, 0x20000000, 0x1000, x1, 212, x2) - -inst_69: -// rs2_val == 1024, rs1_val == 1073741824 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x400 -TEST_RR_OP(sub, x12, x10, x11, 0x3ffffc00, 0x40000000, 0x400, x1, 216, x2) - -inst_70: -// rs2_val == 512, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x200 -TEST_RR_OP(sub, x12, x10, x11, 0xffff7dff, -0x8001, 0x200, x1, 220, x2) - -inst_71: -// rs2_val == 256, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x100 -TEST_RR_OP(sub, x12, x10, x11, 0x55555454, 0x55555554, 0x100, x1, 224, x2) - -inst_72: -// rs2_val == 128, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x80 -TEST_RR_OP(sub, x12, x10, x11, 0x333332b3, 0x33333333, 0x80, x1, 228, x2) - -inst_73: -// rs2_val == 64, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffc4, 0x4, 0x40, x1, 232, x2) - -inst_74: -// rs2_val == 32, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x20 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffbdf, -0x401, 0x20, x1, 236, x2) - -inst_75: -// rs2_val == 16, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffff2, 0x2, 0x10, x1, 240, x2) - -inst_76: -// rs2_val == 4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffbffffb, -0x400001, 0x4, x1, 244, x2) - -inst_77: -// rs2_val == 2, rs1_val==2 and rs2_val==2 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x2, 0x2, x1, 248, x2) - -inst_78: -// rs2_val == 1, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1 -TEST_RR_OP(sub, x12, x10, x11, 0x1fffffff, 0x20000000, 0x1, x1, 252, x2) - -inst_79: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x400 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffffc00, -0x80000000, 0x400, x1, 256, x2) - -inst_80: -// rs1_val == 268435456, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffe, 0x10000000, 0x2, x1, 260, x2) - -inst_81: -// rs1_val == 67108864, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x4000000 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4000000, 0x4000000, x1, 264, x2) - -inst_82: -// rs1_val == 16777216, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x40001 -TEST_RR_OP(sub, x12, x10, x11, 0x1040001, 0x1000000, -0x40001, x1, 268, x2) - -inst_83: -// rs1_val == 8388608, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x8800001, 0x800000, -0x8000001, x1, 272, x2) - -inst_84: -// rs1_val == 4194304, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x99d9999a, 0x400000, 0x66666666, x1, 276, x2) - -inst_85: -// rs1_val == 1048576, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x80100000, 0x100000, -0x80000000, x1, 280, x2) - -inst_86: -// rs1_val == 131072, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x2000001 -TEST_RR_OP(sub, x12, x10, x11, 0x2020001, 0x20000, -0x2000001, x1, 284, x2) - -inst_87: -// rs1_val == 32768, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x8000, 0x8000, 0x0, x1, 288, x2) - -inst_88: -// rs1_val == 16384, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3ffb, 0x4000, 0x5, x1, 292, x2) - -inst_89: -// rs1_val == 8192, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff6afb, 0x2000, 0xb505, x1, 296, x2) - -inst_90: -// rs1_val == 4096, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x80 -TEST_RR_OP(sub, x12, x10, x11, 0xf80, 0x1000, 0x80, x1, 300, x2) - -inst_91: -// rs1_val == 2048, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x80000800, 0x800, -0x80000000, x1, 304, x2) - -inst_92: -// rs1_val == 1024, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x800000 -TEST_RR_OP(sub, x12, x10, x11, 0xff800400, 0x400, 0x800000, x1, 308, x2) - -inst_93: -// rs1_val == 512, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x204, 0x200, -0x4, x1, 312, x2) - -inst_94: -// rs1_val == 256, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x20000000 -TEST_RR_OP(sub, x12, x10, x11, 0xe0000100, 0x100, 0x20000000, x1, 316, x2) - -inst_95: -// rs1_val == 8, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4000 -TEST_RR_OP(sub, x12, x10, x11, 0xffffc008, 0x8, 0x4000, x1, 320, x2) - -inst_96: -// rs1_val == 1, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x20001 -TEST_RR_OP(sub, x12, x10, x11, 0x20002, 0x1, -0x20001, x1, 324, x2) - -inst_97: -// rs1_val==46341 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 328, x2) - -inst_98: -// rs1_val==46341 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb505, -0xb503, x1, 332, x2) - -inst_99: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb505, 0x66666667, x1, 336, x2) - -inst_100: -// rs1_val==46341 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb505, 0x33333334, x1, 340, x2) - -inst_101: -// rs1_val==46341 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb505, 0x6, x1, 344, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb505, -0x55555555, x1, 348, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb505, 0x55555556, x1, 352, x2) - -inst_104: -// rs1_val==46341 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 356, x2) - -inst_105: -// rs1_val==46341 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0xb505, 0xb503, x1, 360, x2) - -inst_106: -// rs1_val==46341 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 364, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4ea0, 0xb505, 0x66666665, x1, 368, x2) - -inst_108: -// rs1_val==46341 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d3, 0xb505, 0x33333332, x1, 372, x2) - -inst_109: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb1, 0xb505, 0x55555554, x1, 376, x2) - -inst_110: -// rs1_val==46341 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb505, 0x2, x1, 380, x2) - -inst_111: -// rs1_val==46341 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 384, x2) - -inst_112: -// rs1_val==46341 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a09, 0xb505, -0xb504, x1, 388, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb505, 0x66666666, x1, 392, x2) - -inst_114: -// rs1_val==46341 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb505, 0x33333333, x1, 396, x2) - -inst_115: -// rs1_val==46341 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 400, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5b, 0xb505, -0x55555556, x1, 404, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb505, 0x55555555, x1, 408, x2) - -inst_118: -// rs1_val==46341 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb505, 0x3, x1, 412, x2) - -inst_119: -// rs1_val==-46339 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb503, 0xb505, x1, 416, x2) - -inst_120: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 420, x2) - -inst_121: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb503, 0x66666667, x1, 424, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb503, 0x33333334, x1, 428, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb503, 0x6, x1, 432, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb503, -0x55555555, x1, 436, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb503, 0x55555556, x1, 440, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 444, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95fa, -0xb503, 0xb503, x1, 448, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 452, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e498, -0xb503, 0x66666665, x1, 456, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17cb, -0xb503, 0x33333332, x1, 460, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a9, -0xb503, 0x55555554, x1, 464, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, -0xb503, 0x2, x1, 468, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb503, 0xb504, x1, 472, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 476, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb503, 0x66666666, x1, 480, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb503, 0x33333333, x1, 484, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 488, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, -0xb503, -0x55555556, x1, 492, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb503, 0x55555555, x1, 496, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb503, 0x3, x1, 500, x2) - -inst_141: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666667, 0xb505, x1, 504, x2) - -inst_142: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666667, -0xb503, x1, 508, x2) - -inst_143: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 512, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666667, 0x33333334, x1, 516, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 520, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666667, -0x55555555, x1, 524, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666667, 0x55555556, x1, 528, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 532, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b164, 0x66666667, 0xb503, x1, 536, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 540, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 544, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333335, 0x66666667, 0x33333332, x1, 548, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111113, 0x66666667, 0x55555554, x1, 552, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 556, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666667, 0xb504, x1, 560, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6b, 0x66666667, -0xb504, x1, 564, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 568, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666667, 0x33333333, x1, 572, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 576, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbd, 0x66666667, -0x55555556, x1, 580, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666667, 0x55555555, x1, 584, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 588, x2) - -inst_163: -// rs1_val==858993460 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333334, 0xb505, x1, 592, x2) - -inst_164: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333334, -0xb503, x1, 596, x2) - -inst_165: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333334, 0x66666667, x1, 600, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 604, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333334, 0x6, x1, 608, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333334, -0x55555555, x1, 612, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333334, 0x55555556, x1, 616, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 620, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e31, 0x33333334, 0xb503, x1, 624, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 628, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x33333334, 0x66666665, x1, 632, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x33333334, 0x33333332, x1, 636, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddde0, 0x33333334, 0x55555554, x1, 640, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333334, 0x2, x1, 644, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333334, 0xb504, x1, 648, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e838, 0x33333334, -0xb504, x1, 652, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333334, 0x66666666, x1, 656, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333334, 0x33333333, x1, 660, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333334, 0x5, x1, 664, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x8888888a, 0x33333334, -0x55555556, x1, 668, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333334, 0x55555555, x1, 672, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333334, 0x3, x1, 676, x2) - -inst_185: -// rs1_val==6 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x6, 0xb505, x1, 680, x2) - -inst_186: -// rs1_val==6 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x6, -0xb503, x1, 684, x2) - -inst_187: -// rs1_val==6 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x6, 0x66666667, x1, 688, x2) - -inst_188: -// rs1_val==6 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x6, 0x33333334, x1, 692, x2) - -inst_189: -// rs1_val==6 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x6, 0x6, x1, 696, x2) - -inst_190: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x6, -0x55555555, x1, 700, x2) - -inst_191: -// rs1_val==6 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x6, 0x55555556, x1, 704, x2) - -inst_192: -// rs1_val==6 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x6, 0x4, x1, 708, x2) - -inst_193: -// rs1_val==6 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b03, 0x6, 0xb503, x1, 712, x2) - -inst_194: -// rs1_val==6 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x6, 0x6, 0x0, x1, 716, x2) - -inst_195: -// rs1_val==6 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a1, 0x6, 0x66666665, x1, 720, x2) - -inst_196: -// rs1_val==6 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd4, 0x6, 0x33333332, x1, 724, x2) - -inst_197: -// rs1_val==6 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab2, 0x6, 0x55555554, x1, 728, x2) - -inst_198: -// rs1_val==6 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x6, 0x2, x1, 732, x2) - -inst_199: -// rs1_val==6 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x6, 0xb504, x1, 736, x2) - -inst_200: -// rs1_val==6 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb50a, 0x6, -0xb504, x1, 740, x2) - -inst_201: -// rs1_val==6 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x6, 0x66666666, x1, 744, x2) - -inst_202: -// rs1_val==6 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x6, 0x33333333, x1, 748, x2) - -inst_203: -// rs1_val==6 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x6, 0x5, x1, 752, x2) - -inst_204: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555c, 0x6, -0x55555556, x1, 756, x2) - -inst_205: -// rs1_val==6 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x6, 0x55555555, x1, 760, x2) - -inst_206: -// rs1_val==6 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x6, 0x3, x1, 764, x2) - -inst_207: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555555, 0xb505, x1, 768, x2) - -inst_208: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555555, -0xb503, x1, 772, x2) - -inst_209: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555555, 0x66666667, x1, 776, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555555, 0x33333334, x1, 780, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555555, 0x6, x1, 784, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 788, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555555, 0x55555556, x1, 792, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555555, 0x4, x1, 796, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0x55555555, 0xb503, x1, 800, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 804, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x44444446, -0x55555555, 0x66666665, x1, 808, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x77777779, -0x55555555, 0x33333332, x1, 812, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x55555557, -0x55555555, 0x55555554, x1, 816, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 820, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555555, 0xb504, x1, 824, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, -0x55555555, -0xb504, x1, 828, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555555, 0x66666666, x1, 832, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555555, 0x33333333, x1, 836, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555555, 0x5, x1, 840, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 844, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555555, 0x55555555, x1, 848, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 852, x2) - -inst_229: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555556, 0xb505, x1, 856, x2) - -inst_230: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555556, -0xb503, x1, 860, x2) - -inst_231: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555556, 0x66666667, x1, 864, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555556, 0x33333334, x1, 868, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 872, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555556, -0x55555555, x1, 876, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 880, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 884, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, 0x55555556, 0xb503, x1, 888, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 892, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef1, 0x55555556, 0x66666665, x1, 896, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222224, 0x55555556, 0x33333332, x1, 900, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 904, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 908, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555556, 0xb504, x1, 912, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0x55555556, -0xb504, x1, 916, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555556, 0x66666666, x1, 920, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555556, 0x33333333, x1, 924, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555556, 0x5, x1, 928, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x55555556, -0x55555556, x1, 932, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555556, 0x55555555, x1, 936, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555556, 0x3, x1, 940, x2) - -inst_251: -// rs1_val==4 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x4, 0xb505, x1, 944, x2) - -inst_252: -// rs1_val==4 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x4, -0xb503, x1, 948, x2) - -inst_253: -// rs1_val==4 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x4, 0x66666667, x1, 952, x2) - -inst_254: -// rs1_val==4 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x4, 0x33333334, x1, 956, x2) - -inst_255: -// rs1_val==4 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x4, 0x6, x1, 960, x2) - -inst_256: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x4, -0x55555555, x1, 964, x2) - -inst_257: -// rs1_val==4 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x4, 0x55555556, x1, 968, x2) - -inst_258: -// rs1_val==4 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4, 0x4, x1, 972, x2) - -inst_259: -// rs1_val==4 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x4, 0xb503, x1, 976, x2) - -inst_260: -// rs1_val==4 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x4, 0x0, x1, 980, x2) - -inst_261: -// rs1_val==4 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x4, 0x66666665, x1, 984, x2) - -inst_262: -// rs1_val==4 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x4, 0x33333332, x1, 988, x2) - -inst_263: -// rs1_val==4 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x4, 0x55555554, x1, 992, x2) - -inst_264: -// rs1_val==4 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x4, 0x2, x1, 996, x2) - -inst_265: -// rs1_val==4 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x4, 0xb504, x1, 1000, x2) - -inst_266: -// rs1_val==4 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x4, -0xb504, x1, 1004, x2) - -inst_267: -// rs1_val==4 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x4, 0x66666666, x1, 1008, x2) - -inst_268: -// rs1_val==4 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x4, 0x33333333, x1, 1012, x2) - -inst_269: -// rs1_val==4 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x4, 0x5, x1, 1016, x2) - -inst_270: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x4, -0x55555556, x1, 1020, x2) - -inst_271: -// rs1_val==4 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x4, 0x55555555, x1, 1024, x2) - -inst_272: -// rs1_val==4 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x4, 0x3, x1, 1028, x2) - -inst_273: -// rs1_val==46339 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0xb503, 0xb505, x1, 1032, x2) - -inst_274: -// rs1_val==46339 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a06, 0xb503, -0xb503, x1, 1036, x2) - -inst_275: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9c, 0xb503, 0x66666667, x1, 1040, x2) - -inst_276: -// rs1_val==46339 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81cf, 0xb503, 0x33333334, x1, 1044, x2) - -inst_277: -// rs1_val==46339 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fd, 0xb503, 0x6, x1, 1048, x2) - -inst_278: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0xb503, -0x55555555, x1, 1052, x2) - -inst_279: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, 0xb503, 0x55555556, x1, 1056, x2) - -inst_280: -// rs1_val==46339 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb503, 0x4, x1, 1060, x2) - -inst_281: -// rs1_val==46339 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1064, x2) - -inst_282: -// rs1_val==46339 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1068, x2) - -inst_283: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb503, 0x66666665, x1, 1072, x2) - -inst_284: -// rs1_val==46339 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb503, 0x33333332, x1, 1076, x2) - -inst_285: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb503, 0x55555554, x1, 1080, x2) - -inst_286: -// rs1_val==46339 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1084, x2) - -inst_287: -// rs1_val==46339 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb503, 0xb504, x1, 1088, x2) - -inst_288: -// rs1_val==46339 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb503, -0xb504, x1, 1092, x2) - -inst_289: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb503, 0x66666666, x1, 1096, x2) - -inst_290: -// rs1_val==46339 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb503, 0x33333333, x1, 1100, x2) - -inst_291: -// rs1_val==46339 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb503, 0x5, x1, 1104, x2) - -inst_292: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb503, -0x55555556, x1, 1108, x2) - -inst_293: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb503, 0x55555555, x1, 1112, x2) - -inst_294: -// rs1_val==46339 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1116, x2) - -inst_295: -// rs1_val==0 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, 0x0, 0xb505, x1, 1120, x2) - -inst_296: -// rs1_val==0 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0x0, -0xb503, x1, 1124, x2) - -inst_297: -// rs1_val==0 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x99999999, 0x0, 0x66666667, x1, 1128, x2) - -inst_298: -// rs1_val==0 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x0, 0x33333334, x1, 1132, x2) - -inst_299: -// rs1_val==0 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffa, 0x0, 0x6, x1, 1136, x2) - -inst_300: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x0, -0x55555555, x1, 1140, x2) - -inst_301: -// rs1_val==0 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x0, 0x55555556, x1, 1144, x2) - -inst_302: -// rs1_val==0 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x0, 0x4, x1, 1148, x2) - -inst_303: -// rs1_val==0 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x0, 0xb503, x1, 1152, x2) - -inst_304: -// rs1_val==0 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1156, x2) - -inst_305: -// rs1_val==0 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x0, 0x66666665, x1, 1160, x2) - -inst_306: -// rs1_val==0 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x0, 0x33333332, x1, 1164, x2) - -inst_307: -// rs1_val==0 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x0, 0x55555554, x1, 1168, x2) - -inst_308: -// rs1_val==0 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x0, 0x2, x1, 1172, x2) - -inst_309: -// rs1_val==0 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, 0x0, 0xb504, x1, 1176, x2) - -inst_310: -// rs1_val==0 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0x0, -0xb504, x1, 1180, x2) - -inst_311: -// rs1_val==0 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999a, 0x0, 0x66666666, x1, 1184, x2) - -inst_312: -// rs1_val==0 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x0, 0x33333333, x1, 1188, x2) - -inst_313: -// rs1_val==0 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffb, 0x0, 0x5, x1, 1192, x2) - -inst_314: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x0, -0x55555556, x1, 1196, x2) - -inst_315: -// rs1_val==0 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x0, 0x55555555, x1, 1200, x2) - -inst_316: -// rs1_val==0 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x0, 0x3, x1, 1204, x2) - -inst_317: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b160, 0x66666665, 0xb505, x1, 1208, x2) - -inst_318: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b68, 0x66666665, -0xb503, x1, 1212, x2) - -inst_319: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x66666665, 0x66666667, x1, 1216, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x66666665, 0x33333334, x1, 1220, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x6666665f, 0x66666665, 0x6, x1, 1224, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbba, 0x66666665, -0x55555555, x1, 1228, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x1111110f, 0x66666665, 0x55555556, x1, 1232, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1236, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666665, 0xb503, x1, 1240, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1244, x2) - -inst_327: -// rs1_val==858993459 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) - -inst_328: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333333, 0x66666665, x1, 1252, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1256, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333333, 0x55555554, x1, 1260, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1264, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333333, 0xb504, x1, 1268, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333333, -0xb504, x1, 1272, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333333, 0x66666666, x1, 1276, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1280, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333333, 0x5, x1, 1284, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333333, -0x55555556, x1, 1288, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333333, 0x55555555, x1, 1292, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1296, x2) - -inst_340: -// rs1_val==5 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x5, 0xb505, x1, 1300, x2) - -inst_341: -// rs1_val==5 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x5, -0xb503, x1, 1304, x2) - -inst_342: -// rs1_val==5 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x5, 0x66666667, x1, 1308, x2) - -inst_343: -// rs1_val==5 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x5, 0x33333334, x1, 1312, x2) - -inst_344: -// rs1_val==5 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x5, 0x6, x1, 1316, x2) - -inst_345: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x5, -0x55555555, x1, 1320, x2) - -inst_346: -// rs1_val==5 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x5, 0x55555556, x1, 1324, x2) - -inst_347: -// rs1_val==5 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1328, x2) - -inst_348: -// rs1_val==5 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x5, 0xb503, x1, 1332, x2) - -inst_349: -// rs1_val==5 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) - -inst_350: -// rs1_val==5 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x5, 0x66666665, x1, 1340, x2) - -inst_351: -// rs1_val==5 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x5, 0x33333332, x1, 1344, x2) - -inst_352: -// rs1_val==5 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x5, 0x55555554, x1, 1348, x2) - -inst_353: -// rs1_val==5 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x5, 0x2, x1, 1352, x2) - -inst_354: -// rs1_val==5 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x5, 0xb504, x1, 1356, x2) - -inst_355: -// rs1_val==5 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x5, -0xb504, x1, 1360, x2) - -inst_356: -// rs1_val==5 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x5, 0x66666666, x1, 1364, x2) - -inst_357: -// rs1_val==5 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x5, 0x33333333, x1, 1368, x2) - -inst_358: -// rs1_val==5 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1372, x2) - -inst_359: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x5, -0x55555556, x1, 1376, x2) - -inst_360: -// rs1_val==5 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x5, 0x55555555, x1, 1380, x2) - -inst_361: -// rs1_val==5 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x5, 0x3, x1, 1384, x2) - -inst_362: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a5, -0x55555556, 0xb505, x1, 1388, x2) - -inst_363: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, -0x55555556, -0xb503, x1, 1392, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x44444443, -0x55555556, 0x66666667, x1, 1396, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x77777776, -0x55555556, 0x33333334, x1, 1400, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa4, -0x55555556, 0x6, x1, 1404, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0x55555556, -0x55555555, x1, 1408, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, -0x55555556, 0x55555556, x1, 1412, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555556, 0x4, x1, 1416, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555556, 0xb503, x1, 1420, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555556, 0x66666665, x1, 1428, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555556, 0x33333332, x1, 1432, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555556, 0x55555554, x1, 1436, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1440, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555556, 0xb504, x1, 1444, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555556, -0xb504, x1, 1448, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555556, 0x66666666, x1, 1452, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555556, 0x33333333, x1, 1456, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555556, 0x5, x1, 1460, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1464, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555556, 0x55555555, x1, 1468, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555556, 0x3, x1, 1472, x2) - -inst_384: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555555, 0xb505, x1, 1476, x2) - -inst_385: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555555, -0xb503, x1, 1480, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555555, 0x66666667, x1, 1484, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555555, 0x33333334, x1, 1488, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555555, 0x6, x1, 1492, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555555, -0x55555555, x1, 1496, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555555, 0x55555556, x1, 1500, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1504, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555555, 0xb503, x1, 1508, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555555, 0x66666665, x1, 1516, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555555, 0x33333332, x1, 1520, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1524, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555555, 0x2, x1, 1528, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555555, 0xb504, x1, 1532, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555555, -0xb504, x1, 1536, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555555, 0x66666666, x1, 1540, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555555, 0x33333333, x1, 1544, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1548, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555555, -0x55555556, x1, 1552, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1556, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555555, 0x3, x1, 1560, x2) - -inst_406: -// rs1_val==3 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x3, 0xb505, x1, 1564, x2) - -inst_407: -// rs1_val==3 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x3, -0xb503, x1, 1568, x2) - -inst_408: -// rs1_val==3 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x3, 0x66666667, x1, 1572, x2) - -inst_409: -// rs1_val==3 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x3, 0x33333334, x1, 1576, x2) - -inst_410: -// rs1_val==3 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x3, 0x6, x1, 1580, x2) - -inst_411: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x3, -0x55555555, x1, 1584, x2) - -inst_412: -// rs1_val==3 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x3, 0x55555556, x1, 1588, x2) - -inst_413: -// rs1_val==3 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x3, 0x4, x1, 1592, x2) - -inst_414: -// rs1_val==3 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x3, 0xb503, x1, 1596, x2) - -inst_415: -// rs1_val==3 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) - -inst_416: -// rs1_val==3 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x3, 0x66666665, x1, 1604, x2) - -inst_417: -// rs1_val==3 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x3, 0x33333332, x1, 1608, x2) - -inst_418: -// rs1_val==3 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x3, 0x55555554, x1, 1612, x2) - -inst_419: -// rs1_val==3 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1616, x2) - -inst_420: -// rs1_val==3 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x3, 0xb504, x1, 1620, x2) - -inst_421: -// rs1_val==3 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x3, -0xb504, x1, 1624, x2) - -inst_422: -// rs1_val==3 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x3, 0x66666666, x1, 1628, x2) - -inst_423: -// rs1_val==3 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x3, 0x33333333, x1, 1632, x2) - -inst_424: -// rs1_val==3 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x3, 0x5, x1, 1636, x2) - -inst_425: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x3, -0x55555556, x1, 1640, x2) - -inst_426: -// rs1_val==3 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x3, 0x55555555, x1, 1644, x2) - -inst_427: -// rs1_val==3 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1648, x2) - -inst_428: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1652, x2) - -inst_429: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666665, 0x33333332, x1, 1656, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666665, 0x55555554, x1, 1660, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666665, 0x2, x1, 1664, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666665, 0xb504, x1, 1668, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666665, -0xb504, x1, 1672, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666665, 0x66666666, x1, 1676, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666665, 0x33333333, x1, 1680, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1684, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666665, -0x55555556, x1, 1688, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666665, 0x55555555, x1, 1692, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666665, 0x3, x1, 1696, x2) - -inst_440: -// rs1_val==858993458 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2d, 0x33333332, 0xb505, x1, 1700, x2) - -inst_441: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e835, 0x33333332, -0xb503, x1, 1704, x2) - -inst_442: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccb, 0x33333332, 0x66666667, x1, 1708, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x33333332, 0x33333334, x1, 1712, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332c, 0x33333332, 0x6, x1, 1716, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888887, 0x33333332, -0x55555555, x1, 1720, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddc, 0x33333332, 0x55555556, x1, 1724, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333332, 0x4, x1, 1728, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333332, 0xb503, x1, 1732, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1736, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333332, 0x66666665, x1, 1740, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1744, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333332, 0x55555554, x1, 1748, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1752, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333332, 0xb504, x1, 1756, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333332, -0xb504, x1, 1760, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333332, 0x66666666, x1, 1764, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333332, 0x33333333, x1, 1768, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333332, 0x5, x1, 1772, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333332, -0x55555556, x1, 1776, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333332, 0x55555555, x1, 1780, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333332, 0x3, x1, 1784, x2) - -inst_462: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a04f, 0x55555554, 0xb505, x1, 1788, x2) - -inst_463: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a57, 0x55555554, -0xb503, x1, 1792, x2) - -inst_464: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeed, 0x55555554, 0x66666667, x1, 1796, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222220, 0x55555554, 0x33333334, x1, 1800, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554e, 0x55555554, 0x6, x1, 1804, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, 0x55555554, -0x55555555, x1, 1808, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x55555554, 0x55555556, x1, 1812, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1816, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555554, 0xb503, x1, 1820, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1824, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555554, 0x66666665, x1, 1828, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555554, 0x33333332, x1, 1832, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1836, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555554, 0x2, x1, 1840, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555554, 0xb504, x1, 1844, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555554, -0xb504, x1, 1848, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555554, 0x66666666, x1, 1852, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555554, 0x33333333, x1, 1856, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555554, 0x5, x1, 1860, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555554, -0x55555556, x1, 1864, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555554, 0x55555555, x1, 1868, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555554, 0x3, x1, 1872, x2) - -inst_484: -// rs1_val==2 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x2, 0xb505, x1, 1876, x2) - -inst_485: -// rs1_val==2 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0x2, -0xb503, x1, 1880, x2) - -inst_486: -// rs1_val==2 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x2, 0x66666667, x1, 1884, x2) - -inst_487: -// rs1_val==2 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x2, 0x33333334, x1, 1888, x2) - -inst_488: -// rs1_val==2 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x2, 0x6, x1, 1892, x2) - -inst_489: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555557, 0x2, -0x55555555, x1, 1896, x2) - -inst_490: -// rs1_val==2 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x2, 0x55555556, x1, 1900, x2) - -inst_491: -// rs1_val==2 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x2, 0x4, x1, 1904, x2) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x2, 0xb503, x1, 1908, x2) - -inst_493: -// rs1_val==2 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1912, x2) - -inst_494: -// rs1_val==2 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x2, 0x66666665, x1, 1916, x2) - -inst_495: -// rs1_val==2 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x2, 0x33333332, x1, 1920, x2) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x2, 0x55555554, x1, 1924, x2) - -inst_497: -// rs1_val==2 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x2, 0xb504, x1, 1928, x2) - -inst_498: -// rs1_val==2 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x2, -0xb504, x1, 1932, x2) - -inst_499: -// rs1_val==2 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x2, 0x66666666, x1, 1936, x2) - -inst_500: -// rs1_val==2 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x2, 0x33333333, x1, 1940, x2) - -inst_501: -// rs1_val==2 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x2, 0x5, x1, 1944, x2) - -inst_502: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x2, -0x55555556, x1, 1948, x2) - -inst_503: -// rs1_val==2 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x2, 0x55555555, x1, 1952, x2) - -inst_504: -// rs1_val==2 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x2, 0x3, x1, 1956, x2) - -inst_505: -// rs1_val==46340 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb504, 0xb505, x1, 1960, x2) - -inst_506: -// rs1_val==46340 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb504, -0xb503, x1, 1964, x2) - -inst_507: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb504, 0x66666667, x1, 1968, x2) - -inst_508: -// rs1_val==46340 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb504, 0x33333334, x1, 1972, x2) - -inst_509: -// rs1_val==46340 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb504, 0x6, x1, 1976, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb504, -0x55555555, x1, 1980, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb504, 0x55555556, x1, 1984, x2) - -inst_512: -// rs1_val==46340 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1988, x2) - -inst_513: -// rs1_val==46340 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb504, 0xb503, x1, 1992, x2) - -inst_514: -// rs1_val==46340 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb504, 0x66666665, x1, 2000, x2) - -inst_516: -// rs1_val==46340 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb504, 0x33333332, x1, 2004, x2) - -inst_517: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb504, 0x55555554, x1, 2008, x2) - -inst_518: -// rs1_val==46340 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb504, 0x2, x1, 2012, x2) - -inst_519: -// rs1_val==46340 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2016, x2) - -inst_520: -// rs1_val==46340 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb504, -0xb504, x1, 2020, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb504, 0x66666666, x1, 2024, x2) - -inst_522: -// rs1_val==46340 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb504, 0x33333333, x1, 2028, x2) - -inst_523: -// rs1_val==46340 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb504, 0x5, x1, 2032, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb504, -0x55555556, x1, 2036, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb504, 0x55555555, x1, 2040, x2) - -inst_526: -// rs1_val==46340 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb504, 0x3, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_527: -// rs1_val==-46340 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f7, -0xb504, 0xb505, x1, 0, x2) - -inst_528: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0xb504, -0xb503, x1, 4, x2) - -inst_529: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e495, -0xb504, 0x66666667, x1, 8, x2) - -inst_530: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c8, -0xb504, 0x33333334, x1, 12, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af6, -0xb504, 0x6, x1, 16, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, -0xb504, -0x55555555, x1, 20, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0xb504, 0x55555556, x1, 24, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 28, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb504, 0xb503, x1, 32, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb504, 0x66666665, x1, 40, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb504, 0x33333332, x1, 44, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb504, 0x55555554, x1, 48, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb504, 0x2, x1, 52, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb504, 0xb504, x1, 56, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 60, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb504, 0x66666666, x1, 64, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb504, 0x33333333, x1, 68, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb504, 0x5, x1, 72, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb504, -0x55555556, x1, 76, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb504, 0x55555555, x1, 80, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb504, 0x3, x1, 84, x2) - -inst_549: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666666, 0xb505, x1, 88, x2) - -inst_550: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666666, -0xb503, x1, 92, x2) - -inst_551: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666666, 0x66666667, x1, 96, x2) - -inst_552: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666666, 0x33333334, x1, 100, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 104, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666666, -0x55555555, x1, 108, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666666, 0x55555556, x1, 112, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 116, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666666, 0xb503, x1, 120, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666666, 0x66666665, x1, 128, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666666, 0x33333332, x1, 132, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666666, 0x55555554, x1, 136, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 140, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666666, 0xb504, x1, 144, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666666, -0xb504, x1, 148, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 152, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666666, 0x33333333, x1, 156, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666666, 0x5, x1, 160, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666666, -0x55555556, x1, 164, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666666, 0x55555555, x1, 168, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666666, 0x3, x1, 172, x2) - -inst_571: -// rs1_val==858993459 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333333, 0xb505, x1, 176, x2) - -inst_572: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333333, -0xb503, x1, 180, x2) - -inst_573: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333333, 0x66666667, x1, 184, x2) - -inst_574: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333333, 0x33333334, x1, 188, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333333, 0x6, x1, 192, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333333, -0x55555555, x1, 196, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333333, 0x55555556, x1, 200, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333333, 0x4, x1, 204, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333333, 0xb503, x1, 208, x2) - -inst_580: -// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff -TEST_RR_OP(sub, x12, x10, x11, 0x7fc00000, -0x400001, 0x7fffffff, x1, 212, x2) - -inst_581: -// rs2_val == -536870913, rs1_val == -8388609 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x20000001 -TEST_RR_OP(sub, x12, x10, x11, 0x1f800000, -0x800001, -0x20000001, x1, 216, x2) - -inst_582: -// rs2_val == -268435457, rs1_val == -33554433 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x10000001 -TEST_RR_OP(sub, x12, x10, x11, 0xe000000, -0x2000001, -0x10000001, x1, 220, x2) - -inst_583: -// rs2_val == -524289, rs1_val == -2 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x80001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffff, -0x2, -0x80001, x1, 224, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S deleted file mode 100644 index 52e2eb629..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S +++ /dev/null @@ -1,419 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sw instruction of the RISC-V E extension for the sw-align covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sw-align) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rs2, rs1==x13, rs2==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0, rs2_val == 67108864 -// opcode: sw; op1:x13; op2:x1; op2val:0x4000000; immval:0x4; align:0 -TEST_STORE(x2,x9,0,x13,x1,0x4000000,0x4,0,sw,0) - -inst_1: -// rs1==x7, rs2==x6, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) -// opcode: sw; op1:x7; op2:x6; op2val:0x7fffffff; immval:0x20; align:0 -TEST_STORE(x2,x9,0,x7,x6,0x7fffffff,0x20,4,sw,0) - -inst_2: -// rs1==x5, rs2==x0, rs2_val == -1073741825, imm_val < 0 -// opcode: sw; op1:x5; op2:x0; op2val:0x0; immval:-0x4; align:0 -TEST_STORE(x2,x9,0,x5,x0,0x0,-0x4,8,sw,0) - -inst_3: -// rs1==x4, rs2==x5, rs2_val == -536870913, ea_align == 0 and (imm_val % 4) == 3 -// opcode: sw; op1:x4; op2:x5; op2val:-0x20000001; immval:-0x11; align:0 -TEST_STORE(x2,x9,0,x4,x5,-0x20000001,-0x11,12,sw,0) - -inst_4: -// rs1==x12, rs2==x3, rs2_val == -268435457, -// opcode: sw; op1:x12; op2:x3; op2val:-0x10000001; immval:-0x101; align:0 -TEST_STORE(x2,x9,0,x12,x3,-0x10000001,-0x101,16,sw,0) - -inst_5: -// rs1==x8, rs2==x10, rs2_val == -134217729, -// opcode: sw; op1:x8; op2:x10; op2val:-0x8000001; immval:-0x1; align:0 -TEST_STORE(x2,x9,0,x8,x10,-0x8000001,-0x1,20,sw,0) - -inst_6: -// rs1==x1, rs2==x8, rs2_val == -67108865, -// opcode: sw; op1:x1; op2:x8; op2val:-0x4000001; immval:-0x401; align:0 -TEST_STORE(x2,x9,0,x1,x8,-0x4000001,-0x401,24,sw,0) - -inst_7: -// rs1==x6, rs2==x15, rs2_val == -33554433, -// opcode: sw; op1:x6; op2:x15; op2val:-0x2000001; immval:0x40; align:0 -TEST_STORE(x2,x9,0,x6,x15,-0x2000001,0x40,28,sw,0) - -inst_8: -// rs1==x11, rs2==x14, rs2_val == -16777217, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sw; op1:x11; op2:x14; op2val:-0x1000001; immval:0x555; align:0 -TEST_STORE(x2,x5,0,x11,x14,-0x1000001,0x555,32,sw,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_9: -// rs1==x15, rs2==x7, rs2_val == -8388609, -// opcode: sw; op1:x15; op2:x7; op2val:-0x800001; immval:-0x201; align:0 -TEST_STORE(x1,x5,0,x15,x7,-0x800001,-0x201,0,sw,0) - -inst_10: -// rs1==x3, rs2==x12, rs2_val == -4194305, -// opcode: sw; op1:x3; op2:x12; op2val:-0x400001; immval:-0x8; align:0 -TEST_STORE(x1,x5,0,x3,x12,-0x400001,-0x8,4,sw,0) - -inst_11: -// rs1==x14, rs2==x9, rs2_val == -2097153, -// opcode: sw; op1:x14; op2:x9; op2val:-0x200001; immval:-0x800; align:0 -TEST_STORE(x1,x5,0,x14,x9,-0x200001,-0x800,8,sw,0) - -inst_12: -// rs1==x9, rs2==x13, rs2_val == -1048577, -// opcode: sw; op1:x9; op2:x13; op2val:-0x100001; immval:0x9; align:0 -TEST_STORE(x1,x5,0,x9,x13,-0x100001,0x9,12,sw,0) - -inst_13: -// rs1==x10, rs2==x4, rs2_val == -524289, -// opcode: sw; op1:x10; op2:x4; op2val:-0x80001; immval:0x7; align:0 -TEST_STORE(x1,x5,0,x10,x4,-0x80001,0x7,16,sw,0) - -inst_14: -// rs1==x2, rs2==x11, rs2_val == -262145, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sw; op1:x2; op2:x11; op2val:-0x40001; immval:0x6; align:0 -TEST_STORE(x1,x3,0,x2,x11,-0x40001,0x6,20,sw,0) - -inst_15: -// rs2==x2, rs2_val == -131073, -// opcode: sw; op1:x9; op2:x2; op2val:-0x20001; immval:0x20; align:0 -TEST_STORE(x1,x3,0,x9,x2,-0x20001,0x20,24,sw,0) - -inst_16: -// rs2_val == -65537, -// opcode: sw; op1:x10; op2:x11; op2val:-0x10001; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x10001,-0x81,28,sw,0) - -inst_17: -// rs2_val == -32769, -// opcode: sw; op1:x10; op2:x11; op2val:-0x8001; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x11,32,sw,0) - -inst_18: -// rs2_val == -16385, imm_val == 0 -// opcode: sw; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,36,sw,0) - -inst_19: -// rs2_val == -8193, -// opcode: sw; op1:x10; op2:x11; op2val:-0x2001; immval:0x3ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2001,0x3ff,40,sw,0) - -inst_20: -// rs2_val == -4097, -// opcode: sw; op1:x10; op2:x11; op2val:-0x1001; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x200,44,sw,0) - -inst_21: -// rs2_val == -2049, -// opcode: sw; op1:x10; op2:x11; op2val:-0x801; immval:0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x801,0x4,48,sw,0) - -inst_22: -// rs2_val == -1025, -// opcode: sw; op1:x10; op2:x11; op2val:-0x401; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x401,52,sw,0) - -inst_23: -// rs2_val == -513, -// opcode: sw; op1:x10; op2:x11; op2val:-0x201; immval:0x3ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x201,0x3ff,56,sw,0) - -inst_24: -// rs2_val == -257, -// opcode: sw; op1:x10; op2:x11; op2val:-0x101; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x81,60,sw,0) - -inst_25: -// rs2_val == -129, -// opcode: sw; op1:x10; op2:x11; op2val:-0x81; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x81,0x1,64,sw,0) - -inst_26: -// rs2_val == -65, -// opcode: sw; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x41,-0xa,68,sw,0) - -inst_27: -// rs2_val == -33, -// opcode: sw; op1:x10; op2:x11; op2val:-0x21; immval:0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x21,0x3,72,sw,0) - -inst_28: -// rs2_val == -17, -// opcode: sw; op1:x10; op2:x11; op2val:-0x11; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x11,0x2,76,sw,0) - -inst_29: -// rs2_val == -9, -// opcode: sw; op1:x10; op2:x11; op2val:-0x9; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x9,-0xa,80,sw,0) - -inst_30: -// rs2_val == -5, -// opcode: sw; op1:x10; op2:x11; op2val:-0x5; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x5,0x40,84,sw,0) - -inst_31: -// rs2_val == -3, -// opcode: sw; op1:x10; op2:x11; op2val:-0x3; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x3,0x1,88,sw,0) - -inst_32: -// rs2_val == -2, -// opcode: sw; op1:x10; op2:x11; op2val:-0x2; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x201,92,sw,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sw; op1:x10; op2:x11; op2val:-0x80000000; immval:0x20; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x20,96,sw,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sw; op1:x10; op2:x11; op2val:0x40000000; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x401,100,sw,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sw; op1:x10; op2:x11; op2val:0x20000000; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000000,-0x8,104,sw,0) - -inst_36: -// rs2_val == 1, -// opcode: sw; op1:x10; op2:x11; op2val:0x1; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1,-0x2,108,sw,0) - -inst_37: -// rs2_val == -1431655766, -// opcode: sw; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,112,sw,0) - -inst_38: -// rs2_val == 1431655765, -// opcode: sw; op1:x10; op2:x11; op2val:0x55555555; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x55555555,0x40,116,sw,0) - -inst_39: -// rs2_val == 0, -// opcode: sw; op1:x10; op2:x11; op2val:0x0; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x0,-0xa,120,sw,0) - -inst_40: -// rs2_val == 268435456, -// opcode: sw; op1:x10; op2:x11; op2val:0x10000000; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x4,124,sw,0) - -inst_41: -// rs2_val == 134217728, -// opcode: sw; op1:x10; op2:x11; op2val:0x8000000; immval:0x7ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000000,0x7ff,128,sw,0) - -inst_42: -// rs2_val == 33554432, -// opcode: sw; op1:x10; op2:x11; op2val:0x2000000; immval:0x555; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000000,0x555,132,sw,0) - -inst_43: -// rs2_val == 16777216, -// opcode: sw; op1:x10; op2:x11; op2val:0x1000000; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000000,0x1,136,sw,0) - -inst_44: -// rs2_val == 8388608, -// opcode: sw; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x5,140,sw,0) - -inst_45: -// rs2_val == 4194304, -// opcode: sw; op1:x10; op2:x11; op2val:0x400000; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400000,0x2,144,sw,0) - -inst_46: -// rs2_val == 2097152, -// opcode: sw; op1:x10; op2:x11; op2val:0x200000; immval:-0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200000,-0x1,148,sw,0) - -inst_47: -// rs2_val == 1048576, -// opcode: sw; op1:x10; op2:x11; op2val:0x100000; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100000,-0x9,152,sw,0) - -inst_48: -// rs2_val == 524288, -// opcode: sw; op1:x10; op2:x11; op2val:0x80000; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80000,0x400,156,sw,0) - -inst_49: -// rs2_val == 262144, -// opcode: sw; op1:x10; op2:x11; op2val:0x40000; immval:0x555; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000,0x555,160,sw,0) - -inst_50: -// rs2_val == 131072, -// opcode: sw; op1:x10; op2:x11; op2val:0x20000; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x8,164,sw,0) - -inst_51: -// rs2_val == 65536, -// opcode: sw; op1:x10; op2:x11; op2val:0x10000; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x2,168,sw,0) - -inst_52: -// rs2_val == 32768, -// opcode: sw; op1:x10; op2:x11; op2val:0x8000; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000,-0xa,172,sw,0) - -inst_53: -// rs2_val == 16384, -// opcode: sw; op1:x10; op2:x11; op2val:0x4000; immval:-0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x1,176,sw,0) - -inst_54: -// rs2_val == 8192, -// opcode: sw; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,180,sw,0) - -inst_55: -// rs2_val == 4096, -// opcode: sw; op1:x10; op2:x11; op2val:0x1000; immval:0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000,0x7,184,sw,0) - -inst_56: -// rs2_val == 2048, -// opcode: sw; op1:x10; op2:x11; op2val:0x800; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800,-0x81,188,sw,0) - -inst_57: -// rs2_val == 1024, -// opcode: sw; op1:x10; op2:x11; op2val:0x400; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400,-0x401,192,sw,0) - -inst_58: -// rs2_val == 512, -// opcode: sw; op1:x10; op2:x11; op2val:0x200; immval:0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200,0x7,196,sw,0) - -inst_59: -// rs2_val == 256, -// opcode: sw; op1:x10; op2:x11; op2val:0x100; immval:-0x101; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100,-0x101,200,sw,0) - -inst_60: -// rs2_val == 128, -// opcode: sw; op1:x10; op2:x11; op2val:0x80; immval:-0x21; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80,-0x21,204,sw,0) - -inst_61: -// rs2_val == 64, -// opcode: sw; op1:x10; op2:x11; op2val:0x40; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40,0x40,208,sw,0) - -inst_62: -// rs2_val == 32, -// opcode: sw; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,212,sw,0) - -inst_63: -// rs2_val == 16, -// opcode: sw; op1:x10; op2:x11; op2val:0x10; immval:0x10; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10,0x10,216,sw,0) - -inst_64: -// rs2_val == 8, -// opcode: sw; op1:x10; op2:x11; op2val:0x8; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8,0x400,220,sw,0) - -inst_65: -// rs2_val == 4, -// opcode: sw; op1:x10; op2:x11; op2val:0x4; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4,-0x401,224,sw,0) - -inst_66: -// rs2_val == 2, -// opcode: sw; op1:x10; op2:x11; op2val:0x2; immval:-0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2,-0x400,228,sw,0) - -inst_67: -// rs2_val == -1073741825, imm_val < 0 -// opcode: sw; op1:x10; op2:x11; op2val:-0x40000001; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x40000001,-0x4,232,sw,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 59*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S deleted file mode 100644 index cd622faac..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S +++ /dev/null @@ -1,3004 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xor instruction of the RISC-V E extension for the xor covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xor) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x14, rd==x1, rs1_val != rs2_val, rs2_val == -1048577, rs1_val > 0 and rs2_val < 0, rs1_val == 131072 -// opcode: xor ; op1:x5; op2:x14; dest:x1; op1val:0x20000; op2val:-0x100001 -TEST_RR_OP(xor, x1, x5, x14, 0xffedffff, 0x20000, -0x100001, x7, 0, x10) - -inst_1: -// rs1 == rd != rs2, rs1==x3, rs2==x15, rd==x3, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs1_val == -1048577, rs2_val == (2**(xlen-1)-1) -// opcode: xor ; op1:x3; op2:x15; dest:x3; op1val:-0x100001; op2val:0x7fffffff -TEST_RR_OP(xor, x3, x3, x15, 0x80100000, -0x100001, 0x7fffffff, x7, 4, x10) - -inst_2: -// rs2 == rd != rs1, rs1==x4, rs2==x0, rd==x0, rs2_val == -1073741825, rs1_val == 1024 -// opcode: xor ; op1:x4; op2:x0; dest:x0; op1val:0x400; op2val:0x0 -TEST_RR_OP(xor, x0, x4, x0, 0, 0x400, 0x0, x7, 8, x10) - -inst_3: -// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x6, rs2_val == -536870913, rs1_val == 8192 -// opcode: xor ; op1:x8; op2:x8; dest:x6; op1val:0x2000; op2val:0x2000 -TEST_RR_OP(xor, x6, x8, x8, 0x0, 0x2000, 0x2000, x7, 12, x10) - -inst_4: -// rs1 == rs2 == rd, rs1==x12, rs2==x12, rd==x12, rs2_val == -268435457, rs1_val == 1 -// opcode: xor ; op1:x12; op2:x12; dest:x12; op1val:0x1; op2val:0x1 -TEST_RR_OP(xor, x12, x12, x12, 0x0, 0x1, 0x1, x7, 16, x10) - -inst_5: -// rs1==x1, rs2==x4, rd==x15, rs2_val == -134217729, -// opcode: xor ; op1:x1; op2:x4; dest:x15; op1val:0x66666667; op2val:-0x8000001 -TEST_RR_OP(xor, x15, x1, x4, 0x91999998, 0x66666667, -0x8000001, x7, 20, x10) - -inst_6: -// rs1==x9, rs2==x1, rd==x14, rs2_val == -67108865, rs1_val < 0 and rs2_val < 0, rs1_val == -65 -// opcode: xor ; op1:x9; op2:x1; dest:x14; op1val:-0x41; op2val:-0x4000001 -TEST_RR_OP(xor, x14, x9, x1, 0x4000040, -0x41, -0x4000001, x7, 24, x10) - -inst_7: -// rs1==x15, rs2==x13, rd==x2, rs2_val == -33554433, rs1_val == 32768 -// opcode: xor ; op1:x15; op2:x13; dest:x2; op1val:0x8000; op2val:-0x2000001 -TEST_RR_OP(xor, x2, x15, x13, 0xfdff7fff, 0x8000, -0x2000001, x7, 28, x10) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_8: -// rs1==x10, rs2==x9, rd==x11, rs2_val == -16777217, -// opcode: xor ; op1:x10; op2:x9; dest:x11; op1val:0xb503; op2val:-0x1000001 -TEST_RR_OP(xor, x11, x10, x9, 0xfeff4afc, 0xb503, -0x1000001, x1, 0, x2) - -inst_9: -// rs1==x7, rs2==x5, rd==x10, rs2_val == -8388609, rs1_val == -17 -// opcode: xor ; op1:x7; op2:x5; dest:x10; op1val:-0x11; op2val:-0x800001 -TEST_RR_OP(xor, x10, x7, x5, 0x800010, -0x11, -0x800001, x1, 4, x2) - -inst_10: -// rs1==x6, rs2==x7, rd==x8, rs2_val == -4194305, rs1_val == 262144 -// opcode: xor ; op1:x6; op2:x7; dest:x8; op1val:0x40000; op2val:-0x400001 -TEST_RR_OP(xor, x8, x6, x7, 0xffbbffff, 0x40000, -0x400001, x1, 8, x2) - -inst_11: -// rs1==x13, rs2==x11, rd==x4, rs2_val == -2097153, rs1_val == 2097152 -// opcode: xor ; op1:x13; op2:x11; dest:x4; op1val:0x200000; op2val:-0x200001 -TEST_RR_OP(xor, x4, x13, x11, 0xffffffff, 0x200000, -0x200001, x1, 12, x2) - -inst_12: -// rs1==x14, rs2==x6, rd==x9, rs2_val == -524289, rs1_val == 524288 -// opcode: xor ; op1:x14; op2:x6; dest:x9; op1val:0x80000; op2val:-0x80001 -TEST_RR_OP(xor, x9, x14, x6, 0xffffffff, 0x80000, -0x80001, x1, 16, x2) - -inst_13: -// rs1==x0, rs2==x3, rd==x7, rs2_val == -262145, rs1_val == -65537 -// opcode: xor ; op1:x0; op2:x3; dest:x7; op1val:0x0; op2val:-0x40001 -TEST_RR_OP(xor, x7, x0, x3, 0xfffbffff, 0x0, -0x40001, x1, 20, x2) - -inst_14: -// rs1==x11, rs2==x10, rd==x5, rs2_val == -131073, -// opcode: xor ; op1:x11; op2:x10; dest:x5; op1val:-0x8; op2val:-0x20001 -TEST_RR_OP(xor, x5, x11, x10, 0x20007, -0x8, -0x20001, x1, 24, x3) - -inst_15: -// rs1==x2, rs2_val == -65537, rs1_val == 2 -// opcode: xor ; op1:x2; op2:x9; dest:x14; op1val:0x2; op2val:-0x10001 -TEST_RR_OP(xor, x14, x2, x9, 0xfffefffd, 0x2, -0x10001, x1, 28, x3) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_16: -// rs2==x2, rs2_val == -32769, rs1_val == -262145 -// opcode: xor ; op1:x10; op2:x2; dest:x14; op1val:-0x40001; op2val:-0x8001 -TEST_RR_OP(xor, x14, x10, x2, 0x48000, -0x40001, -0x8001, x1, 0, x3) - -inst_17: -// rd==x13, rs2_val == -16385, -// opcode: xor ; op1:x7; op2:x11; dest:x13; op1val:0x2; op2val:-0x4001 -TEST_RR_OP(xor, x13, x7, x11, 0xffffbffd, 0x2, -0x4001, x1, 4, x3) - -inst_18: -// rs2_val == -8193, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x2001 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdffa, 0x5, -0x2001, x1, 8, x3) - -inst_19: -// rs2_val == -4097, rs1_val == -67108865 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x1001 -TEST_RR_OP(xor, x12, x10, x11, 0x4001000, -0x4000001, -0x1001, x1, 12, x3) - -inst_20: -// rs2_val == -2049, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x801 -TEST_RR_OP(xor, x12, x10, x11, 0xfffff7fd, 0x2, -0x801, x1, 16, x3) - -inst_21: -// rs2_val == -1025, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x401 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffbfd, 0x2, -0x401, x1, 20, x3) - -inst_22: -// rs2_val == -513, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x201 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffdfc, 0x3, -0x201, x1, 24, x3) - -inst_23: -// rs2_val == -257, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:-0x101 -TEST_RR_OP(xor, x12, x10, x11, 0xffff7eff, 0x8000, -0x101, x1, 28, x3) - -inst_24: -// rs2_val == -129, rs1_val == -1073741825 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x81 -TEST_RR_OP(xor, x12, x10, x11, 0x40000080, -0x40000001, -0x81, x1, 32, x3) - -inst_25: -// rs2_val == -65, rs1_val == -134217729 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x41 -TEST_RR_OP(xor, x12, x10, x11, 0x8000040, -0x8000001, -0x41, x1, 36, x3) - -inst_26: -// rs2_val == -33, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffd8, 0x7, -0x21, x1, 40, x3) - -inst_27: -// rs2_val == -17, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x11 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffec, 0x3, -0x11, x1, 44, x3) - -inst_28: -// rs2_val == -9, rs1_val == 0 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x9 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff7, 0x0, -0x9, x1, 48, x3) - -inst_29: -// rs2_val == -5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:-0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x6, -0x5, x1, 52, x3) - -inst_30: -// rs2_val == -3, rs1_val == 1431655765 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x55555555, -0x3, x1, 56, x3) - -inst_31: -// rs2_val == -2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x0, -0x2, x1, 60, x3) - -inst_32: -// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 1431655765 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x2aaaaaaa, 0x7fffffff, 0x55555555, x1, 64, x3) - -inst_33: -// rs1_val == -536870913, rs2_val == 2097152 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x200000 -TEST_RR_OP(xor, x12, x10, x11, 0xdfdfffff, -0x20000001, 0x200000, x1, 68, x3) - -inst_34: -// rs1_val == -268435457, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1000b503, -0x10000001, -0xb504, x1, 72, x3) - -inst_35: -// rs1_val == -33554433, rs2_val == 2 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xfdfffffd, -0x2000001, 0x2, x1, 76, x3) - -inst_36: -// rs1_val == -16777217, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x1000005, -0x1000001, -0x6, x1, 80, x3) - -inst_37: -// rs1_val == -8388609, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0x800020, -0x800001, -0x21, x1, 84, x3) - -inst_38: -// rs1_val == -4194305, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x400002, -0x400001, -0x3, x1, 88, x3) - -inst_39: -// rs1_val == -2097153, rs2_val == -1431655766 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55755555, -0x200001, -0x55555556, x1, 92, x3) - -inst_40: -// rs1_val == -524289, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x40000000 -TEST_RR_OP(xor, x12, x10, x11, 0x3ff7ffff, -0x80001, -0x40000000, x1, 96, x3) - -inst_41: -// rs1_val == -131073, rs2_val == 1048576 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x100000 -TEST_RR_OP(xor, x12, x10, x11, 0xffedffff, -0x20001, 0x100000, x1, 100, x3) - -inst_42: -// rs1_val == -32769, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffffcafb, -0x8001, 0xb504, x1, 104, x3) - -inst_43: -// rs1_val == -16385, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x4005, -0x4001, -0x6, x1, 108, x3) - -inst_44: -// rs1_val == -8193, rs2_val == 1024 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x400 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdbff, -0x2001, 0x400, x1, 112, x3) - -inst_45: -// rs1_val == -4097, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x1000001 -TEST_RR_OP(xor, x12, x10, x11, 0x1001000, -0x1001, -0x1000001, x1, 116, x3) - -inst_46: -// rs1_val == -2049, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x9 -TEST_RR_OP(xor, x12, x10, x11, 0xfffff7f6, -0x801, 0x9, x1, 120, x3) - -inst_47: -// rs1_val == -1025, rs2_val == 16777216 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1000000 -TEST_RR_OP(xor, x12, x10, x11, 0xfefffbff, -0x401, 0x1000000, x1, 124, x3) - -inst_48: -// rs1_val == -513, rs2_val == 67108864 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x4000000 -TEST_RR_OP(xor, x12, x10, x11, 0xfbfffdff, -0x201, 0x4000000, x1, 128, x3) - -inst_49: -// rs1_val == -257, rs2_val == 268435456 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x10000000 -TEST_RR_OP(xor, x12, x10, x11, 0xeffffeff, -0x101, 0x10000000, x1, 132, x3) - -inst_50: -// rs1_val == -129, rs2_val == 131072 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x20000 -TEST_RR_OP(xor, x12, x10, x11, 0xfffdff7f, -0x81, 0x20000, x1, 136, x3) - -inst_51: -// rs1_val == -33, rs2_val == 0 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffdf, -0x21, 0x0, x1, 140, x3) - -inst_52: -// rs1_val == -9, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccc4, -0x9, 0x33333333, x1, 144, x3) - -inst_53: -// rs1_val == -5, rs2_val == 536870912 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 -TEST_RR_OP(xor, x12, x10, x11, 0xdffffffb, -0x5, 0x20000000, x1, 148, x3) - -inst_54: -// rs1_val == -3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0x3, 0xb503, x1, 152, x3) - -inst_55: -// rs1_val == -2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x400001 -TEST_RR_OP(xor, x12, x10, x11, 0x400001, -0x2, -0x400001, x1, 156, x3) - -inst_56: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x80000000 -TEST_RR_OP(xor, x12, x10, x11, 0x80000009, 0x9, -0x80000000, x1, 160, x3) - -inst_57: -// rs2_val == 1073741824, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x40000000 -TEST_RR_OP(xor, x12, x10, x11, 0x80000000, -0x40000000, 0x40000000, x1, 164, x3) - -inst_58: -// rs2_val == 134217728, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x8000000 -TEST_RR_OP(xor, x12, x10, x11, 0x8000007, 0x7, 0x8000000, x1, 168, x3) - -inst_59: -// rs2_val == 33554432, rs1_val == 4 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2000000 -TEST_RR_OP(xor, x12, x10, x11, 0x2000004, 0x4, 0x2000000, x1, 172, x3) - -inst_60: -// rs2_val == 8388608, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x800000 -TEST_RR_OP(xor, x12, x10, x11, 0x33b33333, 0x33333333, 0x800000, x1, 176, x3) - -inst_61: -// rs2_val == 4194304, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x400000 -TEST_RR_OP(xor, x12, x10, x11, 0xfdbfffff, -0x2000001, 0x400000, x1, 180, x3) - -inst_62: -// rs2_val == 524288, rs1_val == 256 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x80000 -TEST_RR_OP(xor, x12, x10, x11, 0x80100, 0x100, 0x80000, x1, 184, x3) - -inst_63: -// rs2_val == 262144, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x40000 -TEST_RR_OP(xor, x12, x10, x11, 0x33373333, 0x33333333, 0x40000, x1, 188, x3) - -inst_64: -// rs2_val == 65536, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x10000 -TEST_RR_OP(xor, x12, x10, x11, 0xfffeffff, -0x1, 0x10000, x1, 192, x3) - -inst_65: -// rs2_val == 32768, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x8000 -TEST_RR_OP(xor, x12, x10, x11, 0xffffcafc, -0xb504, 0x8000, x1, 196, x3) - -inst_66: -// rs2_val == 16384, rs1_val == 32 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x4000 -TEST_RR_OP(xor, x12, x10, x11, 0x4020, 0x20, 0x4000, x1, 200, x3) - -inst_67: -// rs2_val == 8192, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdff6, -0xa, 0x2000, x1, 204, x3) - -inst_68: -// rs2_val == 4096, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1000 -TEST_RR_OP(xor, x12, x10, x11, 0x33332333, 0x33333333, 0x1000, x1, 208, x3) - -inst_69: -// rs2_val == 2048, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x800 -TEST_RR_OP(xor, x12, x10, x11, 0x33333b34, 0x33333334, 0x800, x1, 212, x3) - -inst_70: -// rs2_val == 512, rs1_val == 2048 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x200 -TEST_RR_OP(xor, x12, x10, x11, 0xa00, 0x800, 0x200, x1, 216, x3) - -inst_71: -// rs2_val == 256, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x100 -TEST_RR_OP(xor, x12, x10, x11, 0x100, 0x0, 0x100, x1, 220, x3) - -inst_72: -// rs2_val == 128, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x80 -TEST_RR_OP(xor, x12, x10, x11, 0x20080, 0x20000, 0x80, x1, 224, x3) - -inst_73: -// rs2_val == 64, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x40 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaeb, -0x55555555, 0x40, x1, 228, x3) - -inst_74: -// rs2_val == 32, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x20 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffcf, -0x11, 0x20, x1, 232, x3) - -inst_75: -// rs2_val == 16, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x10 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffdef, -0x201, 0x10, x1, 236, x3) - -inst_76: -// rs2_val == 8, rs1_val == 16777216 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x8 -TEST_RR_OP(xor, x12, x10, x11, 0x1000008, 0x1000000, 0x8, x1, 240, x3) - -inst_77: -// rs2_val == 4, rs1_val == 134217728 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x8000004, 0x8000000, 0x4, x1, 244, x3) - -inst_78: -// rs2_val == 1, rs1_val == 4194304 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x1 -TEST_RR_OP(xor, x12, x10, x11, 0x400001, 0x400000, 0x1, x1, 248, x3) - -inst_79: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x7fff4afd, -0x80000000, -0xb503, x1, 252, x3) - -inst_80: -// rs1_val == 1073741824, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x10000001 -TEST_RR_OP(xor, x12, x10, x11, 0xafffffff, 0x40000000, -0x10000001, x1, 256, x3) - -inst_81: -// rs1_val == 536870912, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0xdfffffdf, 0x20000000, -0x21, x1, 260, x3) - -inst_82: -// rs1_val == 268435456, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x20000 -TEST_RR_OP(xor, x12, x10, x11, 0x10020000, 0x10000000, 0x20000, x1, 264, x3) - -inst_83: -// rs1_val == 67108864, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x4000000, 0x4000000, 0x0, x1, 268, x3) - -inst_84: -// rs1_val == 33554432, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x801 -TEST_RR_OP(xor, x12, x10, x11, 0xfdfff7ff, 0x2000000, -0x801, x1, 272, x3) - -inst_85: -// rs1_val == 8388608, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x80b503, 0x800000, 0xb503, x1, 276, x3) - -inst_86: -// rs1_val == 1048576, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x100003, 0x100000, 0x3, x1, 280, x3) - -inst_87: -// rs1_val == 65536, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66676667, 0x10000, 0x66666667, x1, 284, x3) - -inst_88: -// rs1_val == 16384, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x1001 -TEST_RR_OP(xor, x12, x10, x11, 0xffffafff, 0x4000, -0x1001, x1, 288, x3) - -inst_89: -// rs1_val == 4096, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55554555, 0x1000, 0x55555555, x1, 292, x3) - -inst_90: -// rs1_val == 512, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x8000000 -TEST_RR_OP(xor, x12, x10, x11, 0x8000200, 0x200, 0x8000000, x1, 296, x3) - -inst_91: -// rs1_val == 128, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x86, 0x80, 0x6, x1, 300, x3) - -inst_92: -// rs1_val == 64, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x401 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffbbf, 0x40, -0x401, x1, 304, x3) - -inst_93: -// rs1_val == 16, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:-0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffeb, 0x10, -0x5, x1, 308, x3) - -inst_94: -// rs1_val == 8, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x11 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffe7, 0x8, -0x11, x1, 312, x3) - -inst_95: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 316, x3) - -inst_96: -// rs1_val==46341 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb505, -0xb503, x1, 320, x3) - -inst_97: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb505, 0x66666667, x1, 324, x3) - -inst_98: -// rs1_val==46341 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb505, 0x33333334, x1, 328, x3) - -inst_99: -// rs1_val==46341 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb505, 0x6, x1, 332, x3) - -inst_100: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb505, -0x55555555, x1, 336, x3) - -inst_101: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0xb505, 0x55555556, x1, 340, x3) - -inst_102: -// rs1_val==46341 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 344, x3) - -inst_103: -// rs1_val==46341 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb505, 0xb503, x1, 348, x3) - -inst_104: -// rs1_val==46341 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 352, x3) - -inst_105: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0xb505, 0x66666665, x1, 356, x3) - -inst_106: -// rs1_val==46341 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb505, 0x33333332, x1, 360, x3) - -inst_107: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb505, 0x55555554, x1, 364, x3) - -inst_108: -// rs1_val==46341 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 368, x3) - -inst_109: -// rs1_val==46341 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 372, x3) - -inst_110: -// rs1_val==46341 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb505, -0xb504, x1, 376, x3) - -inst_111: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb505, 0x66666666, x1, 380, x3) - -inst_112: -// rs1_val==46341 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb505, 0x33333333, x1, 384, x3) - -inst_113: -// rs1_val==46341 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 388, x3) - -inst_114: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb505, -0x55555556, x1, 392, x3) - -inst_115: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb505, 0x55555555, x1, 396, x3) - -inst_116: -// rs1_val==46341 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb505, 0x3, x1, 400, x3) - -inst_117: -// rs1_val==-46339 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb503, 0xb505, x1, 404, x3) - -inst_118: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 408, x3) - -inst_119: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb503, 0x66666667, x1, 412, x3) - -inst_120: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, -0xb503, 0x33333334, x1, 416, x3) - -inst_121: -// rs1_val==-46339 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, -0xb503, 0x6, x1, 420, x3) - -inst_122: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb503, -0x55555555, x1, 424, x3) - -inst_123: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, -0xb503, 0x55555556, x1, 428, x3) - -inst_124: -// rs1_val==-46339 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 432, x3) - -inst_125: -// rs1_val==-46339 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0xb503, 0xb503, x1, 436, x3) - -inst_126: -// rs1_val==-46339 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 440, x3) - -inst_127: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, -0xb503, 0x66666665, x1, 444, x3) - -inst_128: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb503, 0x33333332, x1, 448, x3) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb503, 0x55555554, x1, 452, x3) - -inst_130: -// rs1_val==-46339 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 456, x3) - -inst_131: -// rs1_val==-46339 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb503, 0xb504, x1, 460, x3) - -inst_132: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 464, x3) - -inst_133: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb503, 0x66666666, x1, 468, x3) - -inst_134: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb503, 0x33333333, x1, 472, x3) - -inst_135: -// rs1_val==-46339 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 476, x3) - -inst_136: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb503, -0x55555556, x1, 480, x3) - -inst_137: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb503, 0x55555555, x1, 484, x3) - -inst_138: -// rs1_val==-46339 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb503, 0x3, x1, 488, x3) - -inst_139: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666667, 0xb505, x1, 492, x3) - -inst_140: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666667, -0xb503, x1, 496, x3) - -inst_141: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 500, x3) - -inst_142: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x66666667, 0x33333334, x1, 504, x3) - -inst_143: -// rs1_val==1717986919 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 508, x3) - -inst_144: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666667, -0x55555555, x1, 512, x3) - -inst_145: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666667, 0x55555556, x1, 516, x3) - -inst_146: -// rs1_val==1717986919 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 520, x3) - -inst_147: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0x66666667, 0xb503, x1, 524, x3) - -inst_148: -// rs1_val==1717986919 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 528, x3) - -inst_149: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 532, x3) - -inst_150: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666667, 0x33333332, x1, 536, x3) - -inst_151: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666667, 0x55555554, x1, 540, x3) - -inst_152: -// rs1_val==1717986919 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 544, x3) - -inst_153: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666667, 0xb504, x1, 548, x3) - -inst_154: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666667, -0xb504, x1, 552, x3) - -inst_155: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 556, x3) - -inst_156: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666667, 0x33333333, x1, 560, x3) - -inst_157: -// rs1_val==1717986919 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 564, x3) - -inst_158: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666667, -0x55555556, x1, 568, x3) - -inst_159: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666667, 0x55555555, x1, 572, x3) - -inst_160: -// rs1_val==1717986919 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 576, x3) - -inst_161: -// rs1_val==858993460 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333334, 0xb505, x1, 580, x3) - -inst_162: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, 0x33333334, -0xb503, x1, 584, x3) - -inst_163: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x33333334, 0x66666667, x1, 588, x3) - -inst_164: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 592, x3) - -inst_165: -// rs1_val==858993460 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333334, 0x6, x1, 596, x3) - -inst_166: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, 0x33333334, -0x55555555, x1, 600, x3) - -inst_167: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x33333334, 0x55555556, x1, 604, x3) - -inst_168: -// rs1_val==858993460 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 608, x3) - -inst_169: -// rs1_val==858993460 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333334, 0xb503, x1, 612, x3) - -inst_170: -// rs1_val==858993460 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 616, x3) - -inst_171: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x33333334, 0x66666665, x1, 620, x3) - -inst_172: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333334, 0x33333332, x1, 624, x3) - -inst_173: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x33333334, 0x55555554, x1, 628, x3) - -inst_174: -// rs1_val==858993460 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 632, x3) - -inst_175: -// rs1_val==858993460 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333334, 0xb504, x1, 636, x3) - -inst_176: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, 0x33333334, -0xb504, x1, 640, x3) - -inst_177: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x33333334, 0x66666666, x1, 644, x3) - -inst_178: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333334, 0x33333333, x1, 648, x3) - -inst_179: -// rs1_val==858993460 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333334, 0x5, x1, 652, x3) - -inst_180: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, 0x33333334, -0x55555556, x1, 656, x3) - -inst_181: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x33333334, 0x55555555, x1, 660, x3) - -inst_182: -// rs1_val==858993460 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 664, x3) - -inst_183: -// rs1_val==6 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x6, 0xb505, x1, 668, x3) - -inst_184: -// rs1_val==6 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, 0x6, -0xb503, x1, 672, x3) - -inst_185: -// rs1_val==6 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x6, 0x66666667, x1, 676, x3) - -inst_186: -// rs1_val==6 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x6, 0x33333334, x1, 680, x3) - -inst_187: -// rs1_val==6 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x6, 0x6, x1, 684, x3) - -inst_188: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, 0x6, -0x55555555, x1, 688, x3) - -inst_189: -// rs1_val==6 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x6, 0x55555556, x1, 692, x3) - -inst_190: -// rs1_val==6 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x6, 0x4, x1, 696, x3) - -inst_191: -// rs1_val==6 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x6, 0xb503, x1, 700, x3) - -inst_192: -// rs1_val==6 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x6, 0x0, x1, 704, x3) - -inst_193: -// rs1_val==6 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x6, 0x66666665, x1, 708, x3) - -inst_194: -// rs1_val==6 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x6, 0x33333332, x1, 712, x3) - -inst_195: -// rs1_val==6 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x6, 0x55555554, x1, 716, x3) - -inst_196: -// rs1_val==6 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x6, 0x2, x1, 720, x3) - -inst_197: -// rs1_val==6 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0x6, 0xb504, x1, 724, x3) - -inst_198: -// rs1_val==6 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, 0x6, -0xb504, x1, 728, x3) - -inst_199: -// rs1_val==6 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x6, 0x66666666, x1, 732, x3) - -inst_200: -// rs1_val==6 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x6, 0x33333333, x1, 736, x3) - -inst_201: -// rs1_val==6 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x6, 0x5, x1, 740, x3) - -inst_202: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, 0x6, -0x55555556, x1, 744, x3) - -inst_203: -// rs1_val==6 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x6, 0x55555555, x1, 748, x3) - -inst_204: -// rs1_val==6 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x6, 0x3, x1, 752, x3) - -inst_205: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555555, 0xb505, x1, 756, x3) - -inst_206: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555555, -0xb503, x1, 760, x3) - -inst_207: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555555, 0x66666667, x1, 764, x3) - -inst_208: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, -0x55555555, 0x33333334, x1, 768, x3) - -inst_209: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x6, x1, 772, x3) - -inst_210: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 776, x3) - -inst_211: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, -0x55555555, 0x55555556, x1, 780, x3) - -inst_212: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 784, x3) - -inst_213: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0x55555555, 0xb503, x1, 788, x3) - -inst_214: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 792, x3) - -inst_215: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, -0x55555555, 0x66666665, x1, 796, x3) - -inst_216: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555555, 0x33333332, x1, 800, x3) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 804, x3) - -inst_218: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 808, x3) - -inst_219: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555555, 0xb504, x1, 812, x3) - -inst_220: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555555, -0xb504, x1, 816, x3) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555555, 0x66666666, x1, 820, x3) - -inst_222: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555555, 0x33333333, x1, 824, x3) - -inst_223: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x5, x1, 828, x3) - -inst_224: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 832, x3) - -inst_225: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555555, 0x55555555, x1, 836, x3) - -inst_226: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 840, x3) - -inst_227: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0x55555556, 0xb505, x1, 844, x3) - -inst_228: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, 0x55555556, -0xb503, x1, 848, x3) - -inst_229: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555556, 0x66666667, x1, 852, x3) - -inst_230: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x55555556, 0x33333334, x1, 856, x3) - -inst_231: -// rs1_val==1431655766 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 860, x3) - -inst_232: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, 0x55555556, -0x55555555, x1, 864, x3) - -inst_233: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 868, x3) - -inst_234: -// rs1_val==1431655766 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 872, x3) - -inst_235: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0x55555556, 0xb503, x1, 876, x3) - -inst_236: -// rs1_val==1431655766 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 880, x3) - -inst_237: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555556, 0x66666665, x1, 884, x3) - -inst_238: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x55555556, 0x33333332, x1, 888, x3) - -inst_239: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 892, x3) - -inst_240: -// rs1_val==1431655766 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 896, x3) - -inst_241: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0x55555556, 0xb504, x1, 900, x3) - -inst_242: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, 0x55555556, -0xb504, x1, 904, x3) - -inst_243: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555556, 0x66666666, x1, 908, x3) - -inst_244: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x55555556, 0x33333333, x1, 912, x3) - -inst_245: -// rs1_val==1431655766 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555556, 0x5, x1, 916, x3) - -inst_246: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, 0x55555556, -0x55555556, x1, 920, x3) - -inst_247: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555556, 0x55555555, x1, 924, x3) - -inst_248: -// rs1_val==1431655766 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555556, 0x3, x1, 928, x3) - -inst_249: -// rs1_val==4 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x4, 0xb505, x1, 932, x3) - -inst_250: -// rs1_val==4 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x4, -0xb503, x1, 936, x3) - -inst_251: -// rs1_val==4 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x4, 0x66666667, x1, 940, x3) - -inst_252: -// rs1_val==4 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x4, 0x33333334, x1, 944, x3) - -inst_253: -// rs1_val==4 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x4, 0x6, x1, 948, x3) - -inst_254: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 952, x3) - -inst_255: -// rs1_val==4 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x4, 0x55555556, x1, 956, x3) - -inst_256: -// rs1_val==4 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x4, 0x4, x1, 960, x3) - -inst_257: -// rs1_val==4 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 964, x3) - -inst_258: -// rs1_val==4 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x4, 0x0, x1, 968, x3) - -inst_259: -// rs1_val==4 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x4, 0x66666665, x1, 972, x3) - -inst_260: -// rs1_val==4 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 976, x3) - -inst_261: -// rs1_val==4 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x4, 0x55555554, x1, 980, x3) - -inst_262: -// rs1_val==4 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x4, 0x2, x1, 984, x3) - -inst_263: -// rs1_val==4 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x4, 0xb504, x1, 988, x3) - -inst_264: -// rs1_val==4 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x4, -0xb504, x1, 992, x3) - -inst_265: -// rs1_val==4 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x4, 0x66666666, x1, 996, x3) - -inst_266: -// rs1_val==4 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1000, x3) - -inst_267: -// rs1_val==4 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x4, 0x5, x1, 1004, x3) - -inst_268: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1008, x3) - -inst_269: -// rs1_val==4 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x4, 0x55555555, x1, 1012, x3) - -inst_270: -// rs1_val==4 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1016, x3) - -inst_271: -// rs1_val==46339 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb503, 0xb505, x1, 1020, x3) - -inst_272: -// rs1_val==46339 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0xb503, -0xb503, x1, 1024, x3) - -inst_273: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0xb503, 0x66666667, x1, 1028, x3) - -inst_274: -// rs1_val==46339 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb503, 0x33333334, x1, 1032, x3) - -inst_275: -// rs1_val==46339 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb503, 0x6, x1, 1036, x3) - -inst_276: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0xb503, -0x55555555, x1, 1040, x3) - -inst_277: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0xb503, 0x55555556, x1, 1044, x3) - -inst_278: -// rs1_val==46339 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1048, x3) - -inst_279: -// rs1_val==46339 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1052, x3) - -inst_280: -// rs1_val==46339 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1056, x3) - -inst_281: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0xb503, 0x66666665, x1, 1060, x3) - -inst_282: -// rs1_val==46339 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb503, 0x33333332, x1, 1064, x3) - -inst_283: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0xb503, 0x55555554, x1, 1068, x3) - -inst_284: -// rs1_val==46339 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1072, x3) - -inst_285: -// rs1_val==46339 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb503, 0xb504, x1, 1076, x3) - -inst_286: -// rs1_val==46339 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1080, x3) - -inst_287: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0xb503, 0x66666666, x1, 1084, x3) - -inst_288: -// rs1_val==46339 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb503, 0x33333333, x1, 1088, x3) - -inst_289: -// rs1_val==46339 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb503, 0x5, x1, 1092, x3) - -inst_290: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0xb503, -0x55555556, x1, 1096, x3) - -inst_291: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0xb503, 0x55555555, x1, 1100, x3) - -inst_292: -// rs1_val==46339 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1104, x3) - -inst_293: -// rs1_val==0 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1108, x3) - -inst_294: -// rs1_val==0 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1112, x3) - -inst_295: -// rs1_val==0 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1116, x3) - -inst_296: -// rs1_val==0 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1120, x3) - -inst_297: -// rs1_val==0 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1124, x3) - -inst_298: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1128, x3) - -inst_299: -// rs1_val==0 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1132, x3) - -inst_300: -// rs1_val==0 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1136, x3) - -inst_301: -// rs1_val==0 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1140, x3) - -inst_302: -// rs1_val==0 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1144, x3) - -inst_303: -// rs1_val==0 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1148, x3) - -inst_304: -// rs1_val==0 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1152, x3) - -inst_305: -// rs1_val==0 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1156, x3) - -inst_306: -// rs1_val==0 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1160, x3) - -inst_307: -// rs1_val==0 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1164, x3) - -inst_308: -// rs1_val==0 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1168, x3) - -inst_309: -// rs1_val==0 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1172, x3) - -inst_310: -// rs1_val==0 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1176, x3) - -inst_311: -// rs1_val==0 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1180, x3) - -inst_312: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1184, x3) - -inst_313: -// rs1_val==0 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1188, x3) - -inst_314: -// rs1_val==0 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1192, x3) - -inst_315: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0x66666665, 0xb505, x1, 1196, x3) - -inst_316: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, 0x66666665, -0xb503, x1, 1200, x3) - -inst_317: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666665, 0x66666667, x1, 1204, x3) - -inst_318: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x66666665, 0x33333334, x1, 1208, x3) - -inst_319: -// rs1_val==1717986917 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666665, 0x6, x1, 1212, x3) - -inst_320: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, 0x66666665, -0x55555555, x1, 1216, x3) - -inst_321: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666665, 0x55555556, x1, 1220, x3) - -inst_322: -// rs1_val==1717986917 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1224, x3) - -inst_323: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0x66666665, 0xb503, x1, 1228, x3) - -inst_324: -// rs1_val==1717986917 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1232, x3) - -inst_325: -// rs1_val==858993459 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1236, x3) - -inst_326: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x33333333, 0x66666665, x1, 1240, x3) - -inst_327: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1244, x3) - -inst_328: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333333, 0x55555554, x1, 1248, x3) - -inst_329: -// rs1_val==858993459 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1252, x3) - -inst_330: -// rs1_val==858993459 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333333, 0xb504, x1, 1256, x3) - -inst_331: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333333, -0xb504, x1, 1260, x3) - -inst_332: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333333, 0x66666666, x1, 1264, x3) - -inst_333: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1268, x3) - -inst_334: -// rs1_val==858993459 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333333, 0x5, x1, 1272, x3) - -inst_335: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333333, -0x55555556, x1, 1276, x3) - -inst_336: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333333, 0x55555555, x1, 1280, x3) - -inst_337: -// rs1_val==858993459 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1284, x3) - -inst_338: -// rs1_val==5 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x5, 0xb505, x1, 1288, x3) - -inst_339: -// rs1_val==5 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x5, -0xb503, x1, 1292, x3) - -inst_340: -// rs1_val==5 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x5, 0x66666667, x1, 1296, x3) - -inst_341: -// rs1_val==5 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x5, 0x33333334, x1, 1300, x3) - -inst_342: -// rs1_val==5 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x5, 0x6, x1, 1304, x3) - -inst_343: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x5, -0x55555555, x1, 1308, x3) - -inst_344: -// rs1_val==5 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x5, 0x55555556, x1, 1312, x3) - -inst_345: -// rs1_val==5 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1316, x3) - -inst_346: -// rs1_val==5 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x5, 0xb503, x1, 1320, x3) - -inst_347: -// rs1_val==5 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1324, x3) - -inst_348: -// rs1_val==5 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x5, 0x66666665, x1, 1328, x3) - -inst_349: -// rs1_val==5 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1332, x3) - -inst_350: -// rs1_val==5 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x5, 0x55555554, x1, 1336, x3) - -inst_351: -// rs1_val==5 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1340, x3) - -inst_352: -// rs1_val==5 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x5, 0xb504, x1, 1344, x3) - -inst_353: -// rs1_val==5 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x5, -0xb504, x1, 1348, x3) - -inst_354: -// rs1_val==5 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x5, 0x66666666, x1, 1352, x3) - -inst_355: -// rs1_val==5 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x5, 0x33333333, x1, 1356, x3) - -inst_356: -// rs1_val==5 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1360, x3) - -inst_357: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1364, x3) - -inst_358: -// rs1_val==5 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x5, 0x55555555, x1, 1368, x3) - -inst_359: -// rs1_val==5 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x5, 0x3, x1, 1372, x3) - -inst_360: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555556, 0xb505, x1, 1376, x3) - -inst_361: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555556, -0xb503, x1, 1380, x3) - -inst_362: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555556, 0x66666667, x1, 1384, x3) - -inst_363: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, -0x55555556, 0x33333334, x1, 1388, x3) - -inst_364: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x6, x1, 1392, x3) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x1, 1396, x3) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, -0x55555556, 0x55555556, x1, 1400, x3) - -inst_367: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1404, x3) - -inst_368: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0x55555556, 0xb503, x1, 1408, x3) - -inst_369: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1412, x3) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, -0x55555556, 0x66666665, x1, 1416, x3) - -inst_371: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555556, 0x33333332, x1, 1420, x3) - -inst_372: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1424, x3) - -inst_373: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1428, x3) - -inst_374: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555556, 0xb504, x1, 1432, x3) - -inst_375: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555556, -0xb504, x1, 1436, x3) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555556, 0x66666666, x1, 1440, x3) - -inst_377: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555556, 0x33333333, x1, 1444, x3) - -inst_378: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1448, x3) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1452, x3) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1456, x3) - -inst_381: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555556, 0x3, x1, 1460, x3) - -inst_382: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555555, 0xb505, x1, 1464, x3) - -inst_383: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555555, -0xb503, x1, 1468, x3) - -inst_384: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555555, 0x66666667, x1, 1472, x3) - -inst_385: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x55555555, 0x33333334, x1, 1476, x3) - -inst_386: -// rs1_val==1431655765 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555555, 0x6, x1, 1480, x3) - -inst_387: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555555, -0x55555555, x1, 1484, x3) - -inst_388: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555555, 0x55555556, x1, 1488, x3) - -inst_389: -// rs1_val==1431655765 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1492, x3) - -inst_390: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0x55555555, 0xb503, x1, 1496, x3) - -inst_391: -// rs1_val==1431655765 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1500, x3) - -inst_392: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555555, 0x66666665, x1, 1504, x3) - -inst_393: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555555, 0x33333332, x1, 1508, x3) - -inst_394: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1512, x3) - -inst_395: -// rs1_val==1431655765 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1516, x3) - -inst_396: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555555, 0xb504, x1, 1520, x3) - -inst_397: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555555, -0xb504, x1, 1524, x3) - -inst_398: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555555, 0x66666666, x1, 1528, x3) - -inst_399: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555555, 0x33333333, x1, 1532, x3) - -inst_400: -// rs1_val==1431655765 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1536, x3) - -inst_401: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1540, x3) - -inst_402: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1544, x3) - -inst_403: -// rs1_val==1431655765 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555555, 0x3, x1, 1548, x3) - -inst_404: -// rs1_val==3 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x3, 0xb505, x1, 1552, x3) - -inst_405: -// rs1_val==3 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x3, -0xb503, x1, 1556, x3) - -inst_406: -// rs1_val==3 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x3, 0x66666667, x1, 1560, x3) - -inst_407: -// rs1_val==3 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1564, x3) - -inst_408: -// rs1_val==3 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x3, 0x6, x1, 1568, x3) - -inst_409: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x3, -0x55555555, x1, 1572, x3) - -inst_410: -// rs1_val==3 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x3, 0x55555556, x1, 1576, x3) - -inst_411: -// rs1_val==3 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1580, x3) - -inst_412: -// rs1_val==3 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x3, 0xb503, x1, 1584, x3) - -inst_413: -// rs1_val==3 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1588, x3) - -inst_414: -// rs1_val==3 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x3, 0x66666665, x1, 1592, x3) - -inst_415: -// rs1_val==3 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x3, 0x33333332, x1, 1596, x3) - -inst_416: -// rs1_val==3 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1600, x3) - -inst_417: -// rs1_val==3 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1604, x3) - -inst_418: -// rs1_val==3 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1608, x3) - -inst_419: -// rs1_val==3 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1612, x3) - -inst_420: -// rs1_val==3 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x3, 0x66666666, x1, 1616, x3) - -inst_421: -// rs1_val==3 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x3, 0x33333333, x1, 1620, x3) - -inst_422: -// rs1_val==3 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x3, 0x5, x1, 1624, x3) - -inst_423: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x3, -0x55555556, x1, 1628, x3) - -inst_424: -// rs1_val==3 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x3, 0x55555555, x1, 1632, x3) - -inst_425: -// rs1_val==3 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1636, x3) - -inst_426: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1640, x3) - -inst_427: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x66666665, 0x33333332, x1, 1644, x3) - -inst_428: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666665, 0x55555554, x1, 1648, x3) - -inst_429: -// rs1_val==1717986917 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1652, x3) - -inst_430: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0x66666665, 0xb504, x1, 1656, x3) - -inst_431: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, 0x66666665, -0xb504, x1, 1660, x3) - -inst_432: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666665, 0x66666666, x1, 1664, x3) - -inst_433: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x66666665, 0x33333333, x1, 1668, x3) - -inst_434: -// rs1_val==1717986917 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1672, x3) - -inst_435: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, 0x66666665, -0x55555556, x1, 1676, x3) - -inst_436: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666665, 0x55555555, x1, 1680, x3) - -inst_437: -// rs1_val==1717986917 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666665, 0x3, x1, 1684, x3) - -inst_438: -// rs1_val==858993458 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333332, 0xb505, x1, 1688, x3) - -inst_439: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333332, -0xb503, x1, 1692, x3) - -inst_440: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333332, 0x66666667, x1, 1696, x3) - -inst_441: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333332, 0x33333334, x1, 1700, x3) - -inst_442: -// rs1_val==858993458 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333332, 0x6, x1, 1704, x3) - -inst_443: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333332, -0x55555555, x1, 1708, x3) - -inst_444: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x33333332, 0x55555556, x1, 1712, x3) - -inst_445: -// rs1_val==858993458 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1716, x3) - -inst_446: -// rs1_val==858993458 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333332, 0xb503, x1, 1720, x3) - -inst_447: -// rs1_val==858993458 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1724, x3) - -inst_448: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x33333332, 0x66666665, x1, 1728, x3) - -inst_449: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1732, x3) - -inst_450: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333332, 0x55555554, x1, 1736, x3) - -inst_451: -// rs1_val==858993458 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1740, x3) - -inst_452: -// rs1_val==858993458 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333332, 0xb504, x1, 1744, x3) - -inst_453: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333332, -0xb504, x1, 1748, x3) - -inst_454: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333332, 0x66666666, x1, 1752, x3) - -inst_455: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x1, 1756, x3) - -inst_456: -// rs1_val==858993458 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1760, x3) - -inst_457: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333332, -0x55555556, x1, 1764, x3) - -inst_458: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333332, 0x55555555, x1, 1768, x3) - -inst_459: -// rs1_val==858993458 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333332, 0x3, x1, 1772, x3) - -inst_460: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555554, 0xb505, x1, 1776, x3) - -inst_461: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555554, -0xb503, x1, 1780, x3) - -inst_462: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555554, 0x66666667, x1, 1784, x3) - -inst_463: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x55555554, 0x33333334, x1, 1788, x3) - -inst_464: -// rs1_val==1431655764 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555554, 0x6, x1, 1792, x3) - -inst_465: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1796, x3) - -inst_466: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555554, 0x55555556, x1, 1800, x3) - -inst_467: -// rs1_val==1431655764 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1804, x3) - -inst_468: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0x55555554, 0xb503, x1, 1808, x3) - -inst_469: -// rs1_val==1431655764 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1812, x3) - -inst_470: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555554, 0x66666665, x1, 1816, x3) - -inst_471: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555554, 0x33333332, x1, 1820, x3) - -inst_472: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1824, x3) - -inst_473: -// rs1_val==1431655764 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1828, x3) - -inst_474: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555554, 0xb504, x1, 1832, x3) - -inst_475: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555554, -0xb504, x1, 1836, x3) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555554, 0x66666666, x1, 1840, x3) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555554, 0x33333333, x1, 1844, x3) - -inst_478: -// rs1_val==1431655764 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555554, 0x5, x1, 1848, x3) - -inst_479: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1852, x3) - -inst_480: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x1, 1856, x3) - -inst_481: -// rs1_val==1431655764 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1860, x3) - -inst_482: -// rs1_val==2 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1864, x3) - -inst_483: -// rs1_val==2 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1868, x3) - -inst_484: -// rs1_val==2 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x2, 0x66666667, x1, 1872, x3) - -inst_485: -// rs1_val==2 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1876, x3) - -inst_486: -// rs1_val==2 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x2, 0x6, x1, 1880, x3) - -inst_487: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x2, -0x55555555, x1, 1884, x3) - -inst_488: -// rs1_val==2 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x2, 0x55555556, x1, 1888, x3) - -inst_489: -// rs1_val==2 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1892, x3) - -inst_490: -// rs1_val==2 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x2, 0xb503, x1, 1896, x3) - -inst_491: -// rs1_val==2 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1900, x3) - -inst_492: -// rs1_val==2 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1904, x3) - -inst_493: -// rs1_val==2 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x2, 0x33333332, x1, 1908, x3) - -inst_494: -// rs1_val==2 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1912, x3) - -inst_495: -// rs1_val==2 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x2, 0x2, x1, 1916, x3) - -inst_496: -// rs1_val==2 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1920, x3) - -inst_497: -// rs1_val==2 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1924, x3) - -inst_498: -// rs1_val==2 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x2, 0x66666666, x1, 1928, x3) - -inst_499: -// rs1_val==2 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x2, 0x33333333, x1, 1932, x3) - -inst_500: -// rs1_val==2 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1936, x3) - -inst_501: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x2, -0x55555556, x1, 1940, x3) - -inst_502: -// rs1_val==2 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1944, x3) - -inst_503: -// rs1_val==2 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x2, 0x3, x1, 1948, x3) - -inst_504: -// rs1_val==46340 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb504, 0xb505, x1, 1952, x3) - -inst_505: -// rs1_val==46340 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb504, -0xb503, x1, 1956, x3) - -inst_506: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb504, 0x66666667, x1, 1960, x3) - -inst_507: -// rs1_val==46340 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb504, 0x33333334, x1, 1964, x3) - -inst_508: -// rs1_val==46340 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0xb504, 0x6, x1, 1968, x3) - -inst_509: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb504, -0x55555555, x1, 1972, x3) - -inst_510: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0xb504, 0x55555556, x1, 1976, x3) - -inst_511: -// rs1_val==46340 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1980, x3) - -inst_512: -// rs1_val==46340 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb504, 0xb503, x1, 1984, x3) - -inst_513: -// rs1_val==46340 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1988, x3) - -inst_514: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0xb504, 0x66666665, x1, 1992, x3) - -inst_515: -// rs1_val==46340 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb504, 0x33333332, x1, 1996, x3) - -inst_516: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb504, 0x55555554, x1, 2000, x3) - -inst_517: -// rs1_val==46340 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2004, x3) - -inst_518: -// rs1_val==46340 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2008, x3) - -inst_519: -// rs1_val==46340 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb504, -0xb504, x1, 2012, x3) - -inst_520: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb504, 0x66666666, x1, 2016, x3) - -inst_521: -// rs1_val==46340 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb504, 0x33333333, x1, 2020, x3) - -inst_522: -// rs1_val==46340 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb504, 0x5, x1, 2024, x3) - -inst_523: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb504, -0x55555556, x1, 2028, x3) - -inst_524: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb504, 0x55555555, x1, 2032, x3) - -inst_525: -// rs1_val==46340 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2036, x3) - -inst_526: -// rs1_val==-46340 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb504, 0xb505, x1, 2040, x3) - -inst_527: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb504, -0xb503, x1, 2044, x3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_528: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb504, 0x66666667, x1, 0, x3) - -inst_529: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, -0xb504, 0x33333334, x1, 4, x3) - -inst_530: -// rs1_val==-46340 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, -0xb504, 0x6, x1, 8, x3) - -inst_531: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb504, -0x55555555, x1, 12, x3) - -inst_532: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, -0xb504, 0x55555556, x1, 16, x3) - -inst_533: -// rs1_val==-46340 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 20, x3) - -inst_534: -// rs1_val==-46340 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 24, x3) - -inst_535: -// rs1_val==-46340 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 28, x3) - -inst_536: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, -0xb504, 0x66666665, x1, 32, x3) - -inst_537: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb504, 0x33333332, x1, 36, x3) - -inst_538: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb504, 0x55555554, x1, 40, x3) - -inst_539: -// rs1_val==-46340 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 44, x3) - -inst_540: -// rs1_val==-46340 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb504, 0xb504, x1, 48, x3) - -inst_541: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 52, x3) - -inst_542: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb504, 0x66666666, x1, 56, x3) - -inst_543: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb504, 0x33333333, x1, 60, x3) - -inst_544: -// rs1_val==-46340 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb504, 0x5, x1, 64, x3) - -inst_545: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb504, -0x55555556, x1, 68, x3) - -inst_546: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb504, 0x55555555, x1, 72, x3) - -inst_547: -// rs1_val==-46340 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 76, x3) - -inst_548: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666666, 0xb505, x1, 80, x3) - -inst_549: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666666, -0xb503, x1, 84, x3) - -inst_550: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x1, 88, x3) - -inst_551: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x66666666, 0x33333334, x1, 92, x3) - -inst_552: -// rs1_val==1717986918 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 96, x3) - -inst_553: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666666, -0x55555555, x1, 100, x3) - -inst_554: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666666, 0x55555556, x1, 104, x3) - -inst_555: -// rs1_val==1717986918 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 108, x3) - -inst_556: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0x66666666, 0xb503, x1, 112, x3) - -inst_557: -// rs1_val==1717986918 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 116, x3) - -inst_558: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666666, 0x66666665, x1, 120, x3) - -inst_559: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666666, 0x33333332, x1, 124, x3) - -inst_560: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666666, 0x55555554, x1, 128, x3) - -inst_561: -// rs1_val==1717986918 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 132, x3) - -inst_562: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666666, 0xb504, x1, 136, x3) - -inst_563: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666666, -0xb504, x1, 140, x3) - -inst_564: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 144, x3) - -inst_565: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666666, 0x33333333, x1, 148, x3) - -inst_566: -// rs1_val==1717986918 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666666, 0x5, x1, 152, x3) - -inst_567: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666666, -0x55555556, x1, 156, x3) - -inst_568: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666666, 0x55555555, x1, 160, x3) - -inst_569: -// rs1_val==1717986918 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666666, 0x3, x1, 164, x3) - -inst_570: -// rs1_val==858993459 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333333, 0xb505, x1, 168, x3) - -inst_571: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333333, -0xb503, x1, 172, x3) - -inst_572: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333333, 0x66666667, x1, 176, x3) - -inst_573: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333333, 0x33333334, x1, 180, x3) - -inst_574: -// rs1_val==858993459 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x33333333, 0x6, x1, 184, x3) - -inst_575: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333333, -0x55555555, x1, 188, x3) - -inst_576: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x33333333, 0x55555556, x1, 192, x3) - -inst_577: -// rs1_val==858993459 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 196, x3) - -inst_578: -// rs1_val==858993459 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333333, 0xb503, x1, 200, x3) - -inst_579: -// rs2_val == -1073741825, rs1_val == 1024 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000001 -TEST_RR_OP(xor, x12, x10, x11, 0xbffffbff, 0x400, -0x40000001, x1, 204, x3) - -inst_580: -// rs2_val == -536870913, rs1_val == 8192 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x20000001 -TEST_RR_OP(xor, x12, x10, x11, 0xdfffdfff, 0x2000, -0x20000001, x1, 208, x3) - -inst_581: -// rs2_val == -268435457, rs1_val == 1 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x10000001 -TEST_RR_OP(xor, x12, x10, x11, 0xeffffffe, 0x1, -0x10000001, x1, 212, x3) - -inst_582: -// rs2_val == -262145, rs1_val == -65537 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x40001 -TEST_RR_OP(xor, x12, x10, x11, 0x50000, -0x10001, -0x40001, x1, 216, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 55*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S deleted file mode 100644 index ecb6fac25..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S +++ /dev/null @@ -1,2884 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xori instruction of the RISC-V E extension for the xori covergroup. -// -#define RVTEST_E -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xori) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x12, rd==x13, imm_val == (-2**(12-1)), rs1_val != imm_val, rs1_val < 0 and imm_val < 0, imm_val == -2048, rs1_val == -131073 -// opcode: xori ; op1:x12; dest:x13; op1val:-0x20001; immval:-0x800 -TEST_IMM_OP( xori, x13, x12, 0x207ff, -0x20001, -0x800, x1, 0, x2) - -inst_1: -// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val < 0, rs1_val == (2**(xlen-1)-1), imm_val == -1366 -// opcode: xori ; op1:x10; dest:x10; op1val:0x7fffffff; immval:-0x556 -TEST_IMM_OP( xori, x10, x10, 0x80000555, 0x7fffffff, -0x556, x1, 4, x2) - -inst_2: -// rs1==x8, rd==x4, rs1_val == -1073741825, imm_val == -257 -// opcode: xori ; op1:x8; dest:x4; op1val:-0x40000001; immval:-0x101 -TEST_IMM_OP( xori, x4, x8, 0x40000100, -0x40000001, -0x101, x1, 8, x2) - -inst_3: -// rs1==x6, rd==x7, rs1_val == -536870913, rs1_val < 0 and imm_val > 0, imm_val == 2 -// opcode: xori ; op1:x6; dest:x7; op1val:-0x20000001; immval:0x2 -TEST_IMM_OP( xori, x7, x6, 0xdffffffd, -0x20000001, 0x2, x1, 12, x2) - -inst_4: -// rs1==x9, rd==x14, rs1_val == -268435457, -// opcode: xori ; op1:x9; dest:x14; op1val:-0x10000001; immval:0x6 -TEST_IMM_OP( xori, x14, x9, 0xeffffff9, -0x10000001, 0x6, x1, 16, x2) - -inst_5: -// rs1==x7, rd==x3, rs1_val == -134217729, -// opcode: xori ; op1:x7; dest:x3; op1val:-0x8000001; immval:-0x2c -TEST_IMM_OP( xori, x3, x7, 0x800002b, -0x8000001, -0x2c, x1, 20, x2) - -inst_6: -// rs1==x11, rd==x15, rs1_val == -67108865, imm_val == 16 -// opcode: xori ; op1:x11; dest:x15; op1val:-0x4000001; immval:0x10 -TEST_IMM_OP( xori, x15, x11, 0xfbffffef, -0x4000001, 0x10, x1, 24, x2) -RVTEST_SIGBASE( x6,signature_x6_0) - -inst_7: -// rs1==x13, rd==x1, rs1_val == -33554433, -// opcode: xori ; op1:x13; dest:x1; op1val:-0x2000001; immval:0x333 -TEST_IMM_OP( xori, x1, x13, 0xfdfffccc, -0x2000001, 0x333, x6, 0, x7) - -inst_8: -// rs1==x15, rd==x11, rs1_val == -16777217, -// opcode: xori ; op1:x15; dest:x11; op1val:-0x1000001; immval:0x5 -TEST_IMM_OP( xori, x11, x15, 0xfefffffa, -0x1000001, 0x5, x6, 4, x7) - -inst_9: -// rs1==x4, rd==x8, rs1_val == -8388609, imm_val == -65 -// opcode: xori ; op1:x4; dest:x8; op1val:-0x800001; immval:-0x41 -TEST_IMM_OP( xori, x8, x4, 0x800040, -0x800001, -0x41, x6, 8, x7) - -inst_10: -// rs1==x14, rd==x9, rs1_val == -4194305, -// opcode: xori ; op1:x14; dest:x9; op1val:-0x400001; immval:0x7 -TEST_IMM_OP( xori, x9, x14, 0xffbffff8, -0x400001, 0x7, x6, 12, x7) - -inst_11: -// rs1==x1, rd==x2, rs1_val == -2097153, -// opcode: xori ; op1:x1; dest:x2; op1val:-0x200001; immval:-0xa -TEST_IMM_OP( xori, x2, x1, 0x200009, -0x200001, -0xa, x6, 16, x7) - -inst_12: -// rs1==x3, rd==x5, rs1_val == -1048577, -// opcode: xori ; op1:x3; dest:x5; op1val:-0x100001; immval:0x665 -TEST_IMM_OP( xori, x5, x3, 0xffeff99a, -0x100001, 0x665, x6, 20, x7) - -inst_13: -// rs1==x5, rd==x12, rs1_val == -524289, -// opcode: xori ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x4 -TEST_IMM_OP( xori, x12, x5, 0x80003, -0x80001, -0x4, x6, 24, x4) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_14: -// rs1==x2, rd==x6, rs1_val == -262145, -// opcode: xori ; op1:x2; dest:x6; op1val:-0x40001; immval:0x2e -TEST_IMM_OP( xori, x6, x2, 0xfffbffd1, -0x40001, 0x2e, x3, 0, x4) - -inst_15: -// rs1==x0, rs1_val == -65537, imm_val == 512 -// opcode: xori ; op1:x0; dest:x1; op1val:0x0; immval:0x200 -TEST_IMM_OP( xori, x1, x0, 0x200, 0x0, 0x200, x3, 4, x4) - -inst_16: -// rd==x0, rs1_val == -32769, imm_val == 0 -// opcode: xori ; op1:x12; dest:x0; op1val:-0x8001; immval:0x0 -TEST_IMM_OP( xori, x0, x12, 0, -0x8001, 0x0, x3, 8, x4) - -inst_17: -// rs1_val == -16385, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x1 -TEST_IMM_OP( xori, x11, x10, 0x4000, -0x4001, -0x1, x3, 12, x4) - -inst_18: -// rs1_val == -8193, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x4 -TEST_IMM_OP( xori, x11, x10, 0x2003, -0x2001, -0x4, x3, 16, x4) - -inst_19: -// rs1_val == -4097, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffffefd1, -0x1001, 0x2e, x3, 20, x4) - -inst_20: -// rs1_val == -2049, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x801; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xfffff2ab, -0x801, 0x554, x3, 24, x4) - -inst_21: -// rs1_val == -1025, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xfffffbf9, -0x401, 0x6, x3, 28, x4) - -inst_22: -// rs1_val == -513, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 -TEST_IMM_OP( xori, x11, x10, 0x205, -0x201, -0x6, x3, 32, x4) - -inst_23: -// rs1_val == -257, rs1_val == imm_val -// opcode: xori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x101 -TEST_IMM_OP( xori, x11, x10, 0x0, -0x101, -0x101, x3, 36, x4) - -inst_24: -// rs1_val == -129, imm_val == -1025 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x401 -TEST_IMM_OP( xori, x11, x10, 0x480, -0x81, -0x401, x3, 40, x4) - -inst_25: -// rs1_val == -65, imm_val == 1 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x41; immval:0x1 -TEST_IMM_OP( xori, x11, x10, 0xffffffbe, -0x41, 0x1, x3, 44, x4) - -inst_26: -// rs1_val == -33, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x21; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffffffdc, -0x21, 0x3, x3, 48, x4) - -inst_27: -// rs1_val == -17, imm_val == -3 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x3 -TEST_IMM_OP( xori, x11, x10, 0x12, -0x11, -0x3, x3, 52, x4) - -inst_28: -// rs1_val == -9, imm_val == 1365 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x9; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa2, -0x9, 0x555, x3, 56, x4) - -inst_29: -// rs1_val == -5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x5; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, -0x5, 0x554, x3, 60, x4) - -inst_30: -// rs1_val == -3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x3; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaab, -0x3, 0x556, x3, 64, x4) - -inst_31: -// rs1_val == -2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd2, -0x2, 0x2c, x3, 68, x4) - -inst_32: -// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val > 0 and imm_val > 0 -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x7ff -TEST_IMM_OP( xori, x11, x10, 0x66666199, 0x66666666, 0x7ff, x3, 72, x4) - -inst_33: -// imm_val == -513, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x201 -TEST_IMM_OP( xori, x11, x10, 0x1200, -0x1001, -0x201, x3, 76, x4) - -inst_34: -// imm_val == -129, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x20001; immval:-0x81 -TEST_IMM_OP( xori, x11, x10, 0x20080, -0x20001, -0x81, x3, 80, x4) - -inst_35: -// imm_val == -33, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x21 -TEST_IMM_OP( xori, x11, x10, 0x100020, -0x100001, -0x21, x3, 84, x4) - -inst_36: -// imm_val == -17, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x11 -TEST_IMM_OP( xori, x11, x10, 0x11, -0x2, -0x11, x3, 88, x4) - -inst_37: -// imm_val == -9, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x9 -TEST_IMM_OP( xori, x11, x10, 0x100008, -0x100001, -0x9, x3, 92, x4) - -inst_38: -// imm_val == -5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x5 -TEST_IMM_OP( xori, x11, x10, 0x2004, -0x2001, -0x5, x3, 96, x4) - -inst_39: -// imm_val == -2, rs1_val == 262144 -// opcode: xori ; op1:x10; dest:x11; op1val:0x40000; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xfffbfffe, 0x40000, -0x2, x3, 100, x4) - -inst_40: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: xori ; op1:x10; dest:x11; op1val:-0x80000000; immval:-0x8 -TEST_IMM_OP( xori, x11, x10, 0x7ffffff8, -0x80000000, -0x8, x3, 104, x4) - -inst_41: -// rs1_val == 1073741824, imm_val == 64 -// opcode: xori ; op1:x10; dest:x11; op1val:0x40000000; immval:0x40 -TEST_IMM_OP( xori, x11, x10, 0x40000040, 0x40000000, 0x40, x3, 108, x4) - -inst_42: -// rs1_val == 536870912, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x1 -TEST_IMM_OP( xori, x11, x10, 0x20000001, 0x20000000, 0x1, x3, 112, x4) - -inst_43: -// rs1_val == 268435456, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10000000; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x10000006, 0x10000000, 0x6, x3, 116, x4) - -inst_44: -// rs1_val == 134217728, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8000000; immval:0x3ff -TEST_IMM_OP( xori, x11, x10, 0x80003ff, 0x8000000, 0x3ff, x3, 120, x4) - -inst_45: -// rs1_val == 67108864, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x4000005, 0x4000000, 0x5, x3, 124, x4) - -inst_46: -// rs1_val == 33554432, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xfdffffd3, 0x2000000, -0x2d, x3, 128, x4) - -inst_47: -// rs1_val == 16777216, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1000000; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x1000003, 0x1000000, 0x3, x3, 132, x4) - -inst_48: -// rs1_val == 8388608, -// opcode: xori ; op1:x10; dest:x11; op1val:0x800000; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x80002e, 0x800000, 0x2e, x3, 136, x4) - -inst_49: -// rs1_val == 4194304, -// opcode: xori ; op1:x10; dest:x11; op1val:0x400000; immval:-0x3 -TEST_IMM_OP( xori, x11, x10, 0xffbffffd, 0x400000, -0x3, x3, 140, x4) - -inst_50: -// rs1_val == 2097152, -// opcode: xori ; op1:x10; dest:x11; op1val:0x200000; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffdfffd4, 0x200000, -0x2c, x3, 144, x4) - -inst_51: -// rs1_val == 1048576, -// opcode: xori ; op1:x10; dest:x11; op1val:0x100000; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x100554, 0x100000, 0x554, x3, 148, x4) - -inst_52: -// rs1_val == 524288, -// opcode: xori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x201 -TEST_IMM_OP( xori, x11, x10, 0xfff7fdff, 0x80000, -0x201, x3, 152, x4) - -inst_53: -// rs1_val == 131072, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xfffdfffe, 0x20000, -0x2, x3, 156, x4) - -inst_54: -// rs1_val == 65536, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x10003, 0x10000, 0x3, x3, 160, x4) - -inst_55: -// rs1_val == 32768, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8000; immval:0x7ff -TEST_IMM_OP( xori, x11, x10, 0x87ff, 0x8000, 0x7ff, x3, 164, x4) - -inst_56: -// rs1_val == 16384, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x41 -TEST_IMM_OP( xori, x11, x10, 0xffffbfbf, 0x4000, -0x41, x3, 168, x4) - -inst_57: -// rs1_val == 8192, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7 -TEST_IMM_OP( xori, x11, x10, 0x2007, 0x2000, 0x7, x3, 172, x4) - -inst_58: -// rs1_val == 4096, imm_val == 4 -// opcode: xori ; op1:x10; dest:x11; op1val:0x1000; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x1004, 0x1000, 0x4, x3, 176, x4) - -inst_59: -// rs1_val == 2048, imm_val == 1024 -// opcode: xori ; op1:x10; dest:x11; op1val:0x800; immval:0x400 -TEST_IMM_OP( xori, x11, x10, 0xc00, 0x800, 0x400, x3, 180, x4) - -inst_60: -// rs1_val == 1024, -// opcode: xori ; op1:x10; dest:x11; op1val:0x400; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x267, 0x400, 0x667, x3, 184, x4) - -inst_61: -// rs1_val == 512, -// opcode: xori ; op1:x10; dest:x11; op1val:0x200; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x204, 0x200, 0x4, x3, 188, x4) - -inst_62: -// rs1_val == 256, -// opcode: xori ; op1:x10; dest:x11; op1val:0x100; immval:-0x8 -TEST_IMM_OP( xori, x11, x10, 0xfffffef8, 0x100, -0x8, x3, 192, x4) - -inst_63: -// rs1_val == 128, -// opcode: xori ; op1:x10; dest:x11; op1val:0x80; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xffffff7e, 0x80, -0x2, x3, 196, x4) - -inst_64: -// rs1_val == 64, -// opcode: xori ; op1:x10; dest:x11; op1val:0x40; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x40, 0x40, 0x0, x3, 200, x4) - -inst_65: -// rs1_val == 32, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x20, 0x20, 0x0, x3, 204, x4) - -inst_66: -// rs1_val == 16, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x15, 0x10, 0x5, x3, 208, x4) - -inst_67: -// rs1_val == 8, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb, 0x8, 0x3, x3, 212, x4) - -inst_68: -// rs1_val == 4, rs1_val==4 and imm_val==-45 -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 216, x4) - -inst_69: -// rs1_val == 2, rs1_val==2 and imm_val==1364 -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x2, 0x554, x3, 220, x4) - -inst_70: -// rs1_val == 1, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:-0x11 -TEST_IMM_OP( xori, x11, x10, 0xffffffee, 0x1, -0x11, x3, 224, x4) - -inst_71: -// imm_val == 256, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3fffffff; immval:0x100 -TEST_IMM_OP( xori, x11, x10, 0x3ffffeff, 0x3fffffff, 0x100, x3, 228, x4) - -inst_72: -// imm_val == 128, rs1_val == -1431655766 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x80 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa2a, -0x55555556, 0x80, x3, 232, x4) - -inst_73: -// imm_val == 32, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:0x20 -TEST_IMM_OP( xori, x11, x10, 0x21, 0x1, 0x20, x3, 236, x4) - -inst_74: -// imm_val == 8, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x7; immval:0x8 -TEST_IMM_OP( xori, x11, x10, 0xfffffff1, -0x7, 0x8, x3, 240, x4) - -inst_75: -// rs1_val==46341 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52b, 0xb505, 0x2e, x3, 244, x4) - -inst_76: -// rs1_val==46341 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, 0xb505, -0x2c, x3, 248, x4) - -inst_77: -// rs1_val==46341 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb505, 0x667, x3, 252, x4) - -inst_78: -// rs1_val==46341 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb505, 0x334, x3, 256, x4) - -inst_79: -// rs1_val==46341 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb505, 0x6, x3, 260, x4) - -inst_80: -// rs1_val==46341 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb505, -0x555, x3, 264, x4) - -inst_81: -// rs1_val==46341 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb053, 0xb505, 0x556, x3, 268, x4) - -inst_82: -// rs1_val==46341 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb505, 0x4, x3, 272, x4) - -inst_83: -// rs1_val==46341 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb505, 0x2c, x3, 276, x4) - -inst_84: -// rs1_val==46341 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb505, 0x0, x3, 280, x4) - -inst_85: -// rs1_val==46341 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb360, 0xb505, 0x665, x3, 284, x4) - -inst_86: -// rs1_val==46341 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb505, 0x332, x3, 288, x4) - -inst_87: -// rs1_val==46341 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb505, 0x554, x3, 292, x4) - -inst_88: -// rs1_val==46341 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb505, 0x2, x3, 296, x4) - -inst_89: -// rs1_val==46341 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb505, 0x2d, x3, 300, x4) - -inst_90: -// rs1_val==46341 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad6, 0xb505, -0x2d, x3, 304, x4) - -inst_91: -// rs1_val==46341 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb505, 0x666, x3, 308, x4) - -inst_92: -// rs1_val==46341 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb505, 0x333, x3, 312, x4) - -inst_93: -// rs1_val==46341 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb505, 0x5, x3, 316, x4) - -inst_94: -// rs1_val==46341 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb505, -0x556, x3, 320, x4) - -inst_95: -// rs1_val==46341 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb505, 0x555, x3, 324, x4) - -inst_96: -// rs1_val==46341 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb505, 0x3, x3, 328, x4) - -inst_97: -// rs1_val==-46339 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffff4ad3, -0xb503, 0x2e, x3, 332, x4) - -inst_98: -// rs1_val==-46339 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xb529, -0xb503, -0x2c, x3, 336, x4) - -inst_99: -// rs1_val==-46339 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb503, 0x667, x3, 340, x4) - -inst_100: -// rs1_val==-46339 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xffff49c9, -0xb503, 0x334, x3, 344, x4) - -inst_101: -// rs1_val==-46339 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xffff4afb, -0xb503, 0x6, x3, 348, x4) - -inst_102: -// rs1_val==-46339 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb503, -0x555, x3, 352, x4) - -inst_103: -// rs1_val==-46339 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fab, -0xb503, 0x556, x3, 356, x4) - -inst_104: -// rs1_val==-46339 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb503, 0x4, x3, 360, x4) - -inst_105: -// rs1_val==-46339 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb503, 0x2c, x3, 364, x4) - -inst_106: -// rs1_val==-46339 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 368, x4) - -inst_107: -// rs1_val==-46339 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xffff4c98, -0xb503, 0x665, x3, 372, x4) - -inst_108: -// rs1_val==-46339 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb503, 0x332, x3, 376, x4) - -inst_109: -// rs1_val==-46339 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb503, 0x554, x3, 380, x4) - -inst_110: -// rs1_val==-46339 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 384, x4) - -inst_111: -// rs1_val==-46339 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb503, 0x2d, x3, 388, x4) - -inst_112: -// rs1_val==-46339 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52e, -0xb503, -0x2d, x3, 392, x4) - -inst_113: -// rs1_val==-46339 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb503, 0x666, x3, 396, x4) - -inst_114: -// rs1_val==-46339 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb503, 0x333, x3, 400, x4) - -inst_115: -// rs1_val==-46339 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb503, 0x5, x3, 404, x4) - -inst_116: -// rs1_val==-46339 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb503, -0x556, x3, 408, x4) - -inst_117: -// rs1_val==-46339 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb503, 0x555, x3, 412, x4) - -inst_118: -// rs1_val==-46339 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb503, 0x3, x3, 416, x4) - -inst_119: -// rs1_val==1717986919 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666667, 0x2e, x3, 420, x4) - -inst_120: -// rs1_val==1717986919 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b3, 0x66666667, -0x2c, x3, 424, x4) - -inst_121: -// rs1_val==1717986919 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666667, 0x667, x3, 428, x4) - -inst_122: -// rs1_val==1717986919 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666553, 0x66666667, 0x334, x3, 432, x4) - -inst_123: -// rs1_val==1717986919 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666667, 0x6, x3, 436, x4) - -inst_124: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666667, -0x555, x3, 440, x4) - -inst_125: -// rs1_val==1717986919 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666667, 0x556, x3, 444, x4) - -inst_126: -// rs1_val==1717986919 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666667, 0x4, x3, 448, x4) - -inst_127: -// rs1_val==1717986919 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666667, 0x2c, x3, 452, x4) - -inst_128: -// rs1_val==1717986919 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 456, x4) - -inst_129: -// rs1_val==1717986919 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666667, 0x665, x3, 460, x4) - -inst_130: -// rs1_val==1717986919 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666667, 0x332, x3, 464, x4) - -inst_131: -// rs1_val==1717986919 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666667, 0x554, x3, 468, x4) - -inst_132: -// rs1_val==1717986919 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666667, 0x2, x3, 472, x4) - -inst_133: -// rs1_val==1717986919 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666667, 0x2d, x3, 476, x4) - -inst_134: -// rs1_val==1717986919 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b4, 0x66666667, -0x2d, x3, 480, x4) - -inst_135: -// rs1_val==1717986919 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666667, 0x666, x3, 484, x4) - -inst_136: -// rs1_val==1717986919 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666667, 0x333, x3, 488, x4) - -inst_137: -// rs1_val==1717986919 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666667, 0x5, x3, 492, x4) - -inst_138: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666667, -0x556, x3, 496, x4) - -inst_139: -// rs1_val==1717986919 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666667, 0x555, x3, 500, x4) - -inst_140: -// rs1_val==1717986919 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666667, 0x3, x3, 504, x4) - -inst_141: -// rs1_val==858993460 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331a, 0x33333334, 0x2e, x3, 508, x4) - -inst_142: -// rs1_val==858993460 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333334, -0x2c, x3, 512, x4) - -inst_143: -// rs1_val==858993460 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333553, 0x33333334, 0x667, x3, 516, x4) - -inst_144: -// rs1_val==858993460 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333334, 0x334, x3, 520, x4) - -inst_145: -// rs1_val==858993460 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333334, 0x6, x3, 524, x4) - -inst_146: -// rs1_val==858993460 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc99f, 0x33333334, -0x555, x3, 528, x4) - -inst_147: -// rs1_val==858993460 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333662, 0x33333334, 0x556, x3, 532, x4) - -inst_148: -// rs1_val==858993460 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333334, 0x4, x3, 536, x4) - -inst_149: -// rs1_val==858993460 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x33333318, 0x33333334, 0x2c, x3, 540, x4) - -inst_150: -// rs1_val==858993460 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 544, x4) - -inst_151: -// rs1_val==858993460 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333551, 0x33333334, 0x665, x3, 548, x4) - -inst_152: -// rs1_val==858993460 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333334, 0x332, x3, 552, x4) - -inst_153: -// rs1_val==858993460 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333660, 0x33333334, 0x554, x3, 556, x4) - -inst_154: -// rs1_val==858993460 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 560, x4) - -inst_155: -// rs1_val==858993460 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x33333319, 0x33333334, 0x2d, x3, 564, x4) - -inst_156: -// rs1_val==858993460 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333334, -0x2d, x3, 568, x4) - -inst_157: -// rs1_val==858993460 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333552, 0x33333334, 0x666, x3, 572, x4) - -inst_158: -// rs1_val==858993460 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333334, 0x333, x3, 576, x4) - -inst_159: -// rs1_val==858993460 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333334, 0x5, x3, 580, x4) - -inst_160: -// rs1_val==858993460 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc99e, 0x33333334, -0x556, x3, 584, x4) - -inst_161: -// rs1_val==858993460 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333661, 0x33333334, 0x555, x3, 588, x4) - -inst_162: -// rs1_val==858993460 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 592, x4) - -inst_163: -// rs1_val==6 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x28, 0x6, 0x2e, x3, 596, x4) - -inst_164: -// rs1_val==6 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd2, 0x6, -0x2c, x3, 600, x4) - -inst_165: -// rs1_val==6 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x661, 0x6, 0x667, x3, 604, x4) - -inst_166: -// rs1_val==6 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x332, 0x6, 0x334, x3, 608, x4) - -inst_167: -// rs1_val==6 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x6, 0x6, x3, 612, x4) - -inst_168: -// rs1_val==6 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaad, 0x6, -0x555, x3, 616, x4) - -inst_169: -// rs1_val==6 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x6, 0x556, x3, 620, x4) - -inst_170: -// rs1_val==6 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x6, 0x4, x3, 624, x4) - -inst_171: -// rs1_val==6 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2a, 0x6, 0x2c, x3, 628, x4) - -inst_172: -// rs1_val==6 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x6, 0x0, x3, 632, x4) - -inst_173: -// rs1_val==6 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x6, 0x665, x3, 636, x4) - -inst_174: -// rs1_val==6 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x334, 0x6, 0x332, x3, 640, x4) - -inst_175: -// rs1_val==6 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x552, 0x6, 0x554, x3, 644, x4) - -inst_176: -// rs1_val==6 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x6, 0x2, x3, 648, x4) - -inst_177: -// rs1_val==6 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2b, 0x6, 0x2d, x3, 652, x4) - -inst_178: -// rs1_val==6 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd5, 0x6, -0x2d, x3, 656, x4) - -inst_179: -// rs1_val==6 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x660, 0x6, 0x666, x3, 660, x4) - -inst_180: -// rs1_val==6 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x335, 0x6, 0x333, x3, 664, x4) - -inst_181: -// rs1_val==6 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x6, 0x5, x3, 668, x4) - -inst_182: -// rs1_val==6 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaac, 0x6, -0x556, x3, 672, x4) - -inst_183: -// rs1_val==6 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x553, 0x6, 0x555, x3, 676, x4) - -inst_184: -// rs1_val==6 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x6, 0x3, x3, 680, x4) - -inst_185: -// rs1_val==-1431655765 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, -0x55555555, 0x2e, x3, 684, x4) - -inst_186: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557f, -0x55555555, -0x2c, x3, 688, x4) - -inst_187: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555555, 0x667, x3, 692, x4) - -inst_188: -// rs1_val==-1431655765 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa99f, -0x55555555, 0x334, x3, 696, x4) - -inst_189: -// rs1_val==-1431655765 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaad, -0x55555555, 0x6, x3, 700, x4) - -inst_190: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555555, -0x555, x3, 704, x4) - -inst_191: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, -0x55555555, 0x556, x3, 708, x4) - -inst_192: -// rs1_val==-1431655765 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 712, x4) - -inst_193: -// rs1_val==-1431655765 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555555, 0x2c, x3, 716, x4) - -inst_194: -// rs1_val==-1431655765 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 720, x4) - -inst_195: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xaaaaacce, -0x55555555, 0x665, x3, 724, x4) - -inst_196: -// rs1_val==-1431655765 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555555, 0x332, x3, 728, x4) - -inst_197: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 732, x4) - -inst_198: -// rs1_val==-1431655765 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555555, 0x2, x3, 736, x4) - -inst_199: -// rs1_val==-1431655765 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555555, 0x2d, x3, 740, x4) - -inst_200: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555578, -0x55555555, -0x2d, x3, 744, x4) - -inst_201: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555555, 0x666, x3, 748, x4) - -inst_202: -// rs1_val==-1431655765 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555555, 0x333, x3, 752, x4) - -inst_203: -// rs1_val==-1431655765 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555555, 0x5, x3, 756, x4) - -inst_204: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555555, -0x556, x3, 760, x4) - -inst_205: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555555, 0x555, x3, 764, x4) - -inst_206: -// rs1_val==-1431655765 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555555, 0x3, x3, 768, x4) - -inst_207: -// rs1_val==1431655766 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555556, 0x2e, x3, 772, x4) - -inst_208: -// rs1_val==1431655766 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa82, 0x55555556, -0x2c, x3, 776, x4) - -inst_209: -// rs1_val==1431655766 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555556, 0x667, x3, 780, x4) - -inst_210: -// rs1_val==1431655766 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555662, 0x55555556, 0x334, x3, 784, x4) - -inst_211: -// rs1_val==1431655766 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555556, 0x6, x3, 788, x4) - -inst_212: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, 0x55555556, -0x555, x3, 792, x4) - -inst_213: -// rs1_val==1431655766 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555556, 0x556, x3, 796, x4) - -inst_214: -// rs1_val==1431655766 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555556, 0x4, x3, 800, x4) - -inst_215: -// rs1_val==1431655766 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555556, 0x2c, x3, 804, x4) - -inst_216: -// rs1_val==1431655766 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 808, x4) - -inst_217: -// rs1_val==1431655766 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555556, 0x665, x3, 812, x4) - -inst_218: -// rs1_val==1431655766 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555664, 0x55555556, 0x332, x3, 816, x4) - -inst_219: -// rs1_val==1431655766 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555556, 0x554, x3, 820, x4) - -inst_220: -// rs1_val==1431655766 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555556, 0x2, x3, 824, x4) - -inst_221: -// rs1_val==1431655766 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555556, 0x2d, x3, 828, x4) - -inst_222: -// rs1_val==1431655766 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, 0x55555556, -0x2d, x3, 832, x4) - -inst_223: -// rs1_val==1431655766 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555556, 0x666, x3, 836, x4) - -inst_224: -// rs1_val==1431655766 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555665, 0x55555556, 0x333, x3, 840, x4) - -inst_225: -// rs1_val==1431655766 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555556, 0x5, x3, 844, x4) - -inst_226: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, 0x55555556, -0x556, x3, 848, x4) - -inst_227: -// rs1_val==1431655766 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555556, 0x555, x3, 852, x4) - -inst_228: -// rs1_val==1431655766 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555556, 0x3, x3, 856, x4) - -inst_229: -// rs1_val==4 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2a, 0x4, 0x2e, x3, 860, x4) - -inst_230: -// rs1_val==4 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x4, -0x2c, x3, 864, x4) - -inst_231: -// rs1_val==4 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x4, 0x667, x3, 868, x4) - -inst_232: -// rs1_val==4 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x4, 0x334, x3, 872, x4) - -inst_233: -// rs1_val==4 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x4, 0x6, x3, 876, x4) - -inst_234: -// rs1_val==4 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 880, x4) - -inst_235: -// rs1_val==4 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x552, 0x4, 0x556, x3, 884, x4) - -inst_236: -// rs1_val==4 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x4, 0x4, x3, 888, x4) - -inst_237: -// rs1_val==4 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x28, 0x4, 0x2c, x3, 892, x4) - -inst_238: -// rs1_val==4 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x4, 0x0, x3, 896, x4) - -inst_239: -// rs1_val==4 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x661, 0x4, 0x665, x3, 900, x4) - -inst_240: -// rs1_val==4 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x4, 0x332, x3, 904, x4) - -inst_241: -// rs1_val==4 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x4, 0x554, x3, 908, x4) - -inst_242: -// rs1_val==4 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x4, 0x2, x3, 912, x4) - -inst_243: -// rs1_val==4 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x29, 0x4, 0x2d, x3, 916, x4) - -inst_244: -// rs1_val==4 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x662, 0x4, 0x666, x3, 920, x4) - -inst_245: -// rs1_val==4 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x4, 0x333, x3, 924, x4) - -inst_246: -// rs1_val==4 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x4, 0x5, x3, 928, x4) - -inst_247: -// rs1_val==4 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 932, x4) - -inst_248: -// rs1_val==4 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x551, 0x4, 0x555, x3, 936, x4) - -inst_249: -// rs1_val==4 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x4, 0x3, x3, 940, x4) - -inst_250: -// rs1_val==46339 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52d, 0xb503, 0x2e, x3, 944, x4) - -inst_251: -// rs1_val==46339 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb503, -0x2c, x3, 948, x4) - -inst_252: -// rs1_val==46339 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb364, 0xb503, 0x667, x3, 952, x4) - -inst_253: -// rs1_val==46339 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb503, 0x334, x3, 956, x4) - -inst_254: -// rs1_val==46339 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb503, 0x6, x3, 960, x4) - -inst_255: -// rs1_val==46339 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, 0xb503, -0x555, x3, 964, x4) - -inst_256: -// rs1_val==46339 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb055, 0xb503, 0x556, x3, 968, x4) - -inst_257: -// rs1_val==46339 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb503, 0x4, x3, 972, x4) - -inst_258: -// rs1_val==46339 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 976, x4) - -inst_259: -// rs1_val==46339 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb503, 0x0, x3, 980, x4) - -inst_260: -// rs1_val==46339 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb366, 0xb503, 0x665, x3, 984, x4) - -inst_261: -// rs1_val==46339 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb503, 0x332, x3, 988, x4) - -inst_262: -// rs1_val==46339 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb057, 0xb503, 0x554, x3, 992, x4) - -inst_263: -// rs1_val==46339 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb503, 0x2, x3, 996, x4) - -inst_264: -// rs1_val==46339 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52e, 0xb503, 0x2d, x3, 1000, x4) - -inst_265: -// rs1_val==46339 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb503, -0x2d, x3, 1004, x4) - -inst_266: -// rs1_val==46339 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb365, 0xb503, 0x666, x3, 1008, x4) - -inst_267: -// rs1_val==46339 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb503, 0x333, x3, 1012, x4) - -inst_268: -// rs1_val==46339 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb503, 0x5, x3, 1016, x4) - -inst_269: -// rs1_val==46339 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, 0xb503, -0x556, x3, 1020, x4) - -inst_270: -// rs1_val==46339 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb056, 0xb503, 0x555, x3, 1024, x4) - -inst_271: -// rs1_val==46339 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb503, 0x3, x3, 1028, x4) - -inst_272: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1032, x4) - -inst_273: -// rs1_val==0 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1036, x4) - -inst_274: -// rs1_val==0 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x667, 0x0, 0x667, x3, 1040, x4) - -inst_275: -// rs1_val==0 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x334, 0x0, 0x334, x3, 1044, x4) - -inst_276: -// rs1_val==0 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x0, 0x6, x3, 1048, x4) - -inst_277: -// rs1_val==0 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1052, x4) - -inst_278: -// rs1_val==0 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x0, 0x556, x3, 1056, x4) - -inst_279: -// rs1_val==0 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x0, 0x4, x3, 1060, x4) - -inst_280: -// rs1_val==0 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1064, x4) - -inst_281: -// rs1_val==0 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x0, 0x0, x3, 1068, x4) - -inst_282: -// rs1_val==0 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x0, 0x665, x3, 1072, x4) - -inst_283: -// rs1_val==0 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x332, 0x0, 0x332, x3, 1076, x4) - -inst_284: -// rs1_val==0 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x554, 0x0, 0x554, x3, 1080, x4) - -inst_285: -// rs1_val==0 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x0, 0x2, x3, 1084, x4) - -inst_286: -// rs1_val==0 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1088, x4) - -inst_287: -// rs1_val==0 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1092, x4) - -inst_288: -// rs1_val==0 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x666, 0x0, 0x666, x3, 1096, x4) - -inst_289: -// rs1_val==0 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x333, 0x0, 0x333, x3, 1100, x4) - -inst_290: -// rs1_val==0 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x0, 0x5, x3, 1104, x4) - -inst_291: -// rs1_val==0 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1108, x4) - -inst_292: -// rs1_val==0 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x555, 0x0, 0x555, x3, 1112, x4) - -inst_293: -// rs1_val==0 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x0, 0x3, x3, 1116, x4) - -inst_294: -// rs1_val==1717986917 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666665, 0x2e, x3, 1120, x4) - -inst_295: -// rs1_val==1717986917 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b1, 0x66666665, -0x2c, x3, 1124, x4) - -inst_296: -// rs1_val==1717986917 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666665, 0x667, x3, 1128, x4) - -inst_297: -// rs1_val==1717986917 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666551, 0x66666665, 0x334, x3, 1132, x4) - -inst_298: -// rs1_val==1717986917 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666665, 0x6, x3, 1136, x4) - -inst_299: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999cce, 0x66666665, -0x555, x3, 1140, x4) - -inst_300: -// rs1_val==1717986917 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666665, 0x556, x3, 1144, x4) - -inst_301: -// rs1_val==1717986917 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666665, 0x4, x3, 1148, x4) - -inst_302: -// rs1_val==1717986917 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666665, 0x2c, x3, 1152, x4) - -inst_303: -// rs1_val==-1431655766 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555556, -0x555, x3, 1156, x4) - -inst_304: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, -0x55555556, 0x556, x3, 1160, x4) - -inst_305: -// rs1_val==-1431655766 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1164, x4) - -inst_306: -// rs1_val==-1431655766 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555556, 0x2c, x3, 1168, x4) - -inst_307: -// rs1_val==-1431655766 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1172, x4) - -inst_308: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccf, -0x55555556, 0x665, x3, 1176, x4) - -inst_309: -// rs1_val==-1431655766 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555556, 0x332, x3, 1180, x4) - -inst_310: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1184, x4) - -inst_311: -// rs1_val==-1431655766 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555556, 0x2, x3, 1188, x4) - -inst_312: -// rs1_val==-1431655766 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555556, 0x2d, x3, 1192, x4) - -inst_313: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555579, -0x55555556, -0x2d, x3, 1196, x4) - -inst_314: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555556, 0x666, x3, 1200, x4) - -inst_315: -// rs1_val==-1431655766 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555556, 0x333, x3, 1204, x4) - -inst_316: -// rs1_val==-1431655766 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1208, x4) - -inst_317: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555556, -0x556, x3, 1212, x4) - -inst_318: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1216, x4) - -inst_319: -// rs1_val==-1431655766 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555556, 0x3, x3, 1220, x4) - -inst_320: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555555, 0x2e, x3, 1224, x4) - -inst_321: -// rs1_val==1431655765 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa81, 0x55555555, -0x2c, x3, 1228, x4) - -inst_322: -// rs1_val==1431655765 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555555, 0x667, x3, 1232, x4) - -inst_323: -// rs1_val==1431655765 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555661, 0x55555555, 0x334, x3, 1236, x4) - -inst_324: -// rs1_val==1431655765 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555555, 0x6, x3, 1240, x4) - -inst_325: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555555, -0x555, x3, 1244, x4) - -inst_326: -// rs1_val==1431655765 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555555, 0x556, x3, 1248, x4) - -inst_327: -// rs1_val==1431655765 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555555, 0x4, x3, 1252, x4) - -inst_328: -// rs1_val==1431655765 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555555, 0x2c, x3, 1256, x4) - -inst_329: -// rs1_val==1431655765 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1260, x4) - -inst_330: -// rs1_val==1431655765 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555555, 0x665, x3, 1264, x4) - -inst_331: -// rs1_val==1431655765 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555555, 0x332, x3, 1268, x4) - -inst_332: -// rs1_val==1431655765 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555555, 0x554, x3, 1272, x4) - -inst_333: -// rs1_val==1431655765 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1276, x4) - -inst_334: -// rs1_val==1431655765 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555555, 0x2d, x3, 1280, x4) - -inst_335: -// rs1_val==1431655765 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, 0x55555555, -0x2d, x3, 1284, x4) - -inst_336: -// rs1_val==1431655765 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555555, 0x666, x3, 1288, x4) - -inst_337: -// rs1_val==1431655765 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555555, 0x333, x3, 1292, x4) - -inst_338: -// rs1_val==1431655765 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555555, 0x5, x3, 1296, x4) - -inst_339: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555555, -0x556, x3, 1300, x4) - -inst_340: -// rs1_val==1431655765 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555555, 0x555, x3, 1304, x4) - -inst_341: -// rs1_val==1431655765 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555555, 0x3, x3, 1308, x4) - -inst_342: -// rs1_val==3 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2d, 0x3, 0x2e, x3, 1312, x4) - -inst_343: -// rs1_val==3 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1316, x4) - -inst_344: -// rs1_val==3 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x664, 0x3, 0x667, x3, 1320, x4) - -inst_345: -// rs1_val==3 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x3, 0x334, x3, 1324, x4) - -inst_346: -// rs1_val==3 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x3, 0x6, x3, 1328, x4) - -inst_347: -// rs1_val==3 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x3, -0x555, x3, 1332, x4) - -inst_348: -// rs1_val==3 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x555, 0x3, 0x556, x3, 1336, x4) - -inst_349: -// rs1_val==3 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x3, 0x4, x3, 1340, x4) - -inst_350: -// rs1_val==3 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1344, x4) - -inst_351: -// rs1_val==3 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x3, 0x0, x3, 1348, x4) - -inst_352: -// rs1_val==3 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x666, 0x3, 0x665, x3, 1352, x4) - -inst_353: -// rs1_val==3 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x3, 0x332, x3, 1356, x4) - -inst_354: -// rs1_val==3 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x557, 0x3, 0x554, x3, 1360, x4) - -inst_355: -// rs1_val==3 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x3, 0x2, x3, 1364, x4) - -inst_356: -// rs1_val==3 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x3, 0x2d, x3, 1368, x4) - -inst_357: -// rs1_val==3 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x3, -0x2d, x3, 1372, x4) - -inst_358: -// rs1_val==3 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x3, 0x666, x3, 1376, x4) - -inst_359: -// rs1_val==3 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x3, 0x333, x3, 1380, x4) - -inst_360: -// rs1_val==3 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x3, 0x5, x3, 1384, x4) - -inst_361: -// rs1_val==3 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x3, -0x556, x3, 1388, x4) - -inst_362: -// rs1_val==3 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x3, 0x555, x3, 1392, x4) - -inst_363: -// rs1_val==3 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x3, 0x3, x3, 1396, x4) - -inst_364: -// rs1_val==1717986917 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1400, x4) - -inst_365: -// rs1_val==1717986917 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666665, 0x665, x3, 1404, x4) - -inst_366: -// rs1_val==1717986917 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666557, 0x66666665, 0x332, x3, 1408, x4) - -inst_367: -// rs1_val==1717986917 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666665, 0x554, x3, 1412, x4) - -inst_368: -// rs1_val==1717986917 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1416, x4) - -inst_369: -// rs1_val==1717986917 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666665, 0x2d, x3, 1420, x4) - -inst_370: -// rs1_val==1717986917 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b6, 0x66666665, -0x2d, x3, 1424, x4) - -inst_371: -// rs1_val==1717986917 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666665, 0x666, x3, 1428, x4) - -inst_372: -// rs1_val==1717986917 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666556, 0x66666665, 0x333, x3, 1432, x4) - -inst_373: -// rs1_val==1717986917 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666665, 0x5, x3, 1436, x4) - -inst_374: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccf, 0x66666665, -0x556, x3, 1440, x4) - -inst_375: -// rs1_val==1717986917 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666665, 0x555, x3, 1444, x4) - -inst_376: -// rs1_val==1717986917 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666665, 0x3, x3, 1448, x4) - -inst_377: -// rs1_val==858993458 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331c, 0x33333332, 0x2e, x3, 1452, x4) - -inst_378: -// rs1_val==858993458 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce6, 0x33333332, -0x2c, x3, 1456, x4) - -inst_379: -// rs1_val==858993458 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333332, 0x667, x3, 1460, x4) - -inst_380: -// rs1_val==858993458 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333332, 0x334, x3, 1464, x4) - -inst_381: -// rs1_val==858993458 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333332, 0x6, x3, 1468, x4) - -inst_382: -// rs1_val==858993458 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333332, -0x555, x3, 1472, x4) - -inst_383: -// rs1_val==858993458 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333664, 0x33333332, 0x556, x3, 1476, x4) - -inst_384: -// rs1_val==858993458 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1480, x4) - -inst_385: -// rs1_val==858993458 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333332, 0x2c, x3, 1484, x4) - -inst_386: -// rs1_val==858993458 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1488, x4) - -inst_387: -// rs1_val==858993458 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333557, 0x33333332, 0x665, x3, 1492, x4) - -inst_388: -// rs1_val==858993458 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333332, 0x332, x3, 1496, x4) - -inst_389: -// rs1_val==858993458 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333332, 0x554, x3, 1500, x4) - -inst_390: -// rs1_val==858993458 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333332, 0x2, x3, 1504, x4) - -inst_391: -// rs1_val==858993458 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333332, 0x2d, x3, 1508, x4) - -inst_392: -// rs1_val==858993458 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce1, 0x33333332, -0x2d, x3, 1512, x4) - -inst_393: -// rs1_val==858993458 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333332, 0x666, x3, 1516, x4) - -inst_394: -// rs1_val==858993458 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333332, 0x333, x3, 1520, x4) - -inst_395: -// rs1_val==858993458 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1524, x4) - -inst_396: -// rs1_val==858993458 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333332, -0x556, x3, 1528, x4) - -inst_397: -// rs1_val==858993458 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333332, 0x555, x3, 1532, x4) - -inst_398: -// rs1_val==858993458 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333332, 0x3, x3, 1536, x4) - -inst_399: -// rs1_val==1431655764 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555554, 0x2e, x3, 1540, x4) - -inst_400: -// rs1_val==1431655764 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa80, 0x55555554, -0x2c, x3, 1544, x4) - -inst_401: -// rs1_val==1431655764 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555554, 0x667, x3, 1548, x4) - -inst_402: -// rs1_val==1431655764 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555660, 0x55555554, 0x334, x3, 1552, x4) - -inst_403: -// rs1_val==1431655764 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555554, 0x6, x3, 1556, x4) - -inst_404: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555554, -0x555, x3, 1560, x4) - -inst_405: -// rs1_val==1431655764 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555554, 0x556, x3, 1564, x4) - -inst_406: -// rs1_val==1431655764 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555554, 0x4, x3, 1568, x4) - -inst_407: -// rs1_val==1431655764 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555554, 0x2c, x3, 1572, x4) - -inst_408: -// rs1_val==1431655764 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1576, x4) - -inst_409: -// rs1_val==1431655764 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555554, 0x665, x3, 1580, x4) - -inst_410: -// rs1_val==1431655764 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555554, 0x332, x3, 1584, x4) - -inst_411: -// rs1_val==1431655764 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555554, 0x554, x3, 1588, x4) - -inst_412: -// rs1_val==1431655764 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1592, x4) - -inst_413: -// rs1_val==1431655764 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555554, 0x2d, x3, 1596, x4) - -inst_414: -// rs1_val==1431655764 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, 0x55555554, -0x2d, x3, 1600, x4) - -inst_415: -// rs1_val==1431655764 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555554, 0x666, x3, 1604, x4) - -inst_416: -// rs1_val==1431655764 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555554, 0x333, x3, 1608, x4) - -inst_417: -// rs1_val==1431655764 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555554, 0x5, x3, 1612, x4) - -inst_418: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555554, -0x556, x3, 1616, x4) - -inst_419: -// rs1_val==1431655764 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555554, 0x555, x3, 1620, x4) - -inst_420: -// rs1_val==1431655764 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1624, x4) - -inst_421: -// rs1_val==2 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2c, 0x2, 0x2e, x3, 1628, x4) - -inst_422: -// rs1_val==2 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1632, x4) - -inst_423: -// rs1_val==2 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x2, 0x667, x3, 1636, x4) - -inst_424: -// rs1_val==2 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x2, 0x334, x3, 1640, x4) - -inst_425: -// rs1_val==2 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x2, 0x6, x3, 1644, x4) - -inst_426: -// rs1_val==2 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x2, -0x555, x3, 1648, x4) - -inst_427: -// rs1_val==2 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x554, 0x2, 0x556, x3, 1652, x4) - -inst_428: -// rs1_val==2 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x2, 0x4, x3, 1656, x4) - -inst_429: -// rs1_val==2 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1660, x4) - -inst_430: -// rs1_val==2 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x2, 0x0, x3, 1664, x4) - -inst_431: -// rs1_val==2 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x667, 0x2, 0x665, x3, 1668, x4) - -inst_432: -// rs1_val==2 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x2, 0x332, x3, 1672, x4) - -inst_433: -// rs1_val==2 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x2, 0x2, x3, 1676, x4) - -inst_434: -// rs1_val==2 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1680, x4) - -inst_435: -// rs1_val==2 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x2, -0x2d, x3, 1684, x4) - -inst_436: -// rs1_val==2 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x664, 0x2, 0x666, x3, 1688, x4) - -inst_437: -// rs1_val==2 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x2, 0x333, x3, 1692, x4) - -inst_438: -// rs1_val==2 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x2, 0x5, x3, 1696, x4) - -inst_439: -// rs1_val==2 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x2, -0x556, x3, 1700, x4) - -inst_440: -// rs1_val==2 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x557, 0x2, 0x555, x3, 1704, x4) - -inst_441: -// rs1_val==2 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x2, 0x3, x3, 1708, x4) - -inst_442: -// rs1_val==46340 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52a, 0xb504, 0x2e, x3, 1712, x4) - -inst_443: -// rs1_val==46340 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb504, -0x2c, x3, 1716, x4) - -inst_444: -// rs1_val==46340 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb504, 0x667, x3, 1720, x4) - -inst_445: -// rs1_val==46340 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb504, 0x334, x3, 1724, x4) - -inst_446: -// rs1_val==46340 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb502, 0xb504, 0x6, x3, 1728, x4) - -inst_447: -// rs1_val==46340 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb504, -0x555, x3, 1732, x4) - -inst_448: -// rs1_val==46340 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb052, 0xb504, 0x556, x3, 1736, x4) - -inst_449: -// rs1_val==46340 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb504, 0x4, x3, 1740, x4) - -inst_450: -// rs1_val==46340 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb504, 0x2c, x3, 1744, x4) - -inst_451: -// rs1_val==46340 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1748, x4) - -inst_452: -// rs1_val==46340 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb361, 0xb504, 0x665, x3, 1752, x4) - -inst_453: -// rs1_val==46340 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb504, 0x332, x3, 1756, x4) - -inst_454: -// rs1_val==46340 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb504, 0x554, x3, 1760, x4) - -inst_455: -// rs1_val==46340 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1764, x4) - -inst_456: -// rs1_val==46340 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb504, 0x2d, x3, 1768, x4) - -inst_457: -// rs1_val==46340 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb504, -0x2d, x3, 1772, x4) - -inst_458: -// rs1_val==46340 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb504, 0x666, x3, 1776, x4) - -inst_459: -// rs1_val==46340 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb504, 0x333, x3, 1780, x4) - -inst_460: -// rs1_val==46340 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb504, 0x5, x3, 1784, x4) - -inst_461: -// rs1_val==46340 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb504, -0x556, x3, 1788, x4) - -inst_462: -// rs1_val==46340 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb504, 0x555, x3, 1792, x4) - -inst_463: -// rs1_val==46340 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1796, x4) - -inst_464: -// rs1_val==-46340 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffff4ad2, -0xb504, 0x2e, x3, 1800, x4) - -inst_465: -// rs1_val==-46340 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xb528, -0xb504, -0x2c, x3, 1804, x4) - -inst_466: -// rs1_val==-46340 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb504, 0x667, x3, 1808, x4) - -inst_467: -// rs1_val==-46340 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xffff49c8, -0xb504, 0x334, x3, 1812, x4) - -inst_468: -// rs1_val==-46340 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xffff4afa, -0xb504, 0x6, x3, 1816, x4) - -inst_469: -// rs1_val==-46340 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb504, -0x555, x3, 1820, x4) - -inst_470: -// rs1_val==-46340 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4faa, -0xb504, 0x556, x3, 1824, x4) - -inst_471: -// rs1_val==-46340 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb504, 0x4, x3, 1828, x4) - -inst_472: -// rs1_val==-46340 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb504, 0x2c, x3, 1832, x4) - -inst_473: -// rs1_val==-46340 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1836, x4) - -inst_474: -// rs1_val==-46340 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xffff4c99, -0xb504, 0x665, x3, 1840, x4) - -inst_475: -// rs1_val==-46340 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb504, 0x332, x3, 1844, x4) - -inst_476: -// rs1_val==-46340 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb504, 0x554, x3, 1848, x4) - -inst_477: -// rs1_val==-46340 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1852, x4) - -inst_478: -// rs1_val==-46340 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb504, 0x2d, x3, 1856, x4) - -inst_479: -// rs1_val==-46340 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52f, -0xb504, -0x2d, x3, 1860, x4) - -inst_480: -// rs1_val==-46340 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb504, 0x666, x3, 1864, x4) - -inst_481: -// rs1_val==-46340 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb504, 0x333, x3, 1868, x4) - -inst_482: -// rs1_val==-46340 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb504, 0x5, x3, 1872, x4) - -inst_483: -// rs1_val==-46340 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb504, -0x556, x3, 1876, x4) - -inst_484: -// rs1_val==-46340 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb504, 0x555, x3, 1880, x4) - -inst_485: -// rs1_val==-46340 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1884, x4) - -inst_486: -// rs1_val==1717986918 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666666, 0x2e, x3, 1888, x4) - -inst_487: -// rs1_val==1717986918 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b2, 0x66666666, -0x2c, x3, 1892, x4) - -inst_488: -// rs1_val==1717986918 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666666, 0x667, x3, 1896, x4) - -inst_489: -// rs1_val==1717986918 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666552, 0x66666666, 0x334, x3, 1900, x4) - -inst_490: -// rs1_val==1717986918 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666666, 0x6, x3, 1904, x4) - -inst_491: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666666, -0x555, x3, 1908, x4) - -inst_492: -// rs1_val==1717986918 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666666, 0x556, x3, 1912, x4) - -inst_493: -// rs1_val==1717986918 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666666, 0x4, x3, 1916, x4) - -inst_494: -// rs1_val==1717986918 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666666, 0x2c, x3, 1920, x4) - -inst_495: -// rs1_val==1717986918 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1924, x4) - -inst_496: -// rs1_val==1717986918 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666666, 0x665, x3, 1928, x4) - -inst_497: -// rs1_val==1717986918 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666666, 0x332, x3, 1932, x4) - -inst_498: -// rs1_val==1717986918 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666666, 0x554, x3, 1936, x4) - -inst_499: -// rs1_val==1717986918 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666666, 0x2, x3, 1940, x4) - -inst_500: -// rs1_val==1717986918 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666666, 0x2d, x3, 1944, x4) - -inst_501: -// rs1_val==1717986918 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b5, 0x66666666, -0x2d, x3, 1948, x4) - -inst_502: -// rs1_val==1717986918 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666666, 0x666, x3, 1952, x4) - -inst_503: -// rs1_val==1717986918 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666666, 0x333, x3, 1956, x4) - -inst_504: -// rs1_val==1717986918 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666666, 0x5, x3, 1960, x4) - -inst_505: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666666, -0x556, x3, 1964, x4) - -inst_506: -// rs1_val==1717986918 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666666, 0x555, x3, 1968, x4) - -inst_507: -// rs1_val==1717986918 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666666, 0x3, x3, 1972, x4) - -inst_508: -// rs1_val==858993459 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331d, 0x33333333, 0x2e, x3, 1976, x4) - -inst_509: -// rs1_val==858993459 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333333, -0x2c, x3, 1980, x4) - -inst_510: -// rs1_val==858993459 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333333, 0x667, x3, 1984, x4) - -inst_511: -// rs1_val==858993459 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333333, 0x334, x3, 1988, x4) - -inst_512: -// rs1_val==858993459 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333335, 0x33333333, 0x6, x3, 1992, x4) - -inst_513: -// rs1_val==858993459 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333333, -0x555, x3, 1996, x4) - -inst_514: -// rs1_val==858993459 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333665, 0x33333333, 0x556, x3, 2000, x4) - -inst_515: -// rs1_val==858993459 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2004, x4) - -inst_516: -// rs1_val==858993459 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333333, 0x2c, x3, 2008, x4) - -inst_517: -// rs1_val==858993459 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2012, x4) - -inst_518: -// rs1_val==858993459 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333556, 0x33333333, 0x665, x3, 2016, x4) - -inst_519: -// rs1_val==858993459 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333333, 0x332, x3, 2020, x4) - -inst_520: -// rs1_val==858993459 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333333, 0x554, x3, 2024, x4) - -inst_521: -// rs1_val==858993459 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333333, 0x2, x3, 2028, x4) - -inst_522: -// rs1_val==858993459 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333333, 0x2d, x3, 2032, x4) - -inst_523: -// rs1_val==858993459 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333333, -0x2d, x3, 2036, x4) - -inst_524: -// rs1_val==858993459 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333333, 0x666, x3, 2040, x4) - -inst_525: -// rs1_val==858993459 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333333, 0x333, x3, 2044, x4) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_526: -// rs1_val==858993459 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333333, 0x5, x3, 0, x4) - -inst_527: -// rs1_val==858993459 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333333, -0x556, x3, 4, x4) - -inst_528: -// rs1_val==858993459 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333333, 0x555, x3, 8, x4) - -inst_529: -// rs1_val==858993459 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333333, 0x3, x3, 12, x4) - -inst_530: -// rs1_val==5 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2b, 0x5, 0x2e, x3, 16, x4) - -inst_531: -// rs1_val==5 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x5, -0x2c, x3, 20, x4) - -inst_532: -// rs1_val==5 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x662, 0x5, 0x667, x3, 24, x4) - -inst_533: -// rs1_val==5 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x5, 0x334, x3, 28, x4) - -inst_534: -// rs1_val==5 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x5, 0x6, x3, 32, x4) - -inst_535: -// rs1_val==5 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x5, -0x555, x3, 36, x4) - -inst_536: -// rs1_val==5 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x553, 0x5, 0x556, x3, 40, x4) - -inst_537: -// rs1_val==5 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x5, 0x4, x3, 44, x4) - -inst_538: -// rs1_val==5 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x29, 0x5, 0x2c, x3, 48, x4) - -inst_539: -// rs1_val==5 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x5, 0x0, x3, 52, x4) - -inst_540: -// rs1_val==5 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x660, 0x5, 0x665, x3, 56, x4) - -inst_541: -// rs1_val==5 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x5, 0x332, x3, 60, x4) - -inst_542: -// rs1_val==5 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x551, 0x5, 0x554, x3, 64, x4) - -inst_543: -// rs1_val==5 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x5, 0x2, x3, 68, x4) - -inst_544: -// rs1_val==5 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x28, 0x5, 0x2d, x3, 72, x4) - -inst_545: -// rs1_val==5 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x5, -0x2d, x3, 76, x4) - -inst_546: -// rs1_val==5 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x5, 0x666, x3, 80, x4) - -inst_547: -// rs1_val==5 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x5, 0x333, x3, 84, x4) - -inst_548: -// rs1_val==5 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x5, 0x5, x3, 88, x4) - -inst_549: -// rs1_val==5 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 92, x4) - -inst_550: -// rs1_val==5 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x5, 0x555, x3, 96, x4) - -inst_551: -// rs1_val==5 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x5, 0x3, x3, 100, x4) - -inst_552: -// rs1_val==-1431655766 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa84, -0x55555556, 0x2e, x3, 104, x4) - -inst_553: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557e, -0x55555556, -0x2c, x3, 108, x4) - -inst_554: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555556, 0x667, x3, 112, x4) - -inst_555: -// rs1_val==-1431655766 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa99e, -0x55555556, 0x334, x3, 116, x4) - -inst_556: -// rs1_val==-1431655766 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaac, -0x55555556, 0x6, x3, 120, x4) - -inst_557: -// rs1_val == -65537, imm_val == 512 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x200 -TEST_IMM_OP( xori, x11, x10, 0xfffefdff, -0x10001, 0x200, x3, 124, x4) - -inst_558: -// rs1_val == -32769, imm_val == 0 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff7fff, -0x8001, 0x0, x3, 128, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x6_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 33*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/K_unratified/Makefile b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/K_unratified/Makefile deleted file mode 100644 index b810d28c0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/K_unratified/Makefile +++ /dev/null @@ -1,4 +0,0 @@ -include ../../Makefile.include - -$(eval $(call compile_template,-march=rv32ib -mabi=ilp32 -DXLEN=$(XLEN))) - diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/K_unratified/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/K_unratified/Makefrag deleted file mode 100644 index 31462ca2f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/K_unratified/Makefrag +++ /dev/null @@ -1,31 +0,0 @@ -# RISC-V Compliance Test RV32K Makefrag -# -# -# Copyright (c) 2021 Imperas Software Ltd., www.imperas.com -# Copyright (c) 2021 Indian Institute of Technology Madras -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, -# either express or implied. -# -# See the License for the specific language governing permissions and -# limitations under the License. -# -# - -# -# Description: Makefrag for RV32K compliance tests - -rv32k_sc_tests = \ - -rv32k_tests = $(addsuffix .elf, $(rv32k_sc_tests)) - -target_tests += $(rv32k_tests) - diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/Zifencei/Makefile b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/Zifencei/Makefile deleted file mode 100644 index 74d788175..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/Zifencei/Makefile +++ /dev/null @@ -1,3 +0,0 @@ -include ../../Makefile.include - -$(eval $(call compile_template,-march=rv32i -mabi=ilp32 -DXLEN=$(XLEN))) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/Zifencei/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/Zifencei/Makefrag deleted file mode 100644 index 79d1bd169..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/Zifencei/Makefrag +++ /dev/null @@ -1,34 +0,0 @@ -# RISC-V Architecture Test RV32I Makefrag -# -# Copyright (c) 2017, Codasip Ltd. -# All rights reserved. -# -# Redistribution and use in source and binary forms, with or without -# modification, are permitted provided that the following conditions are met: -# * Redistributions of source code must retain the above copyright -# notice, this list of conditions and the following disclaimer. -# * Redistributions in binary form must reproduce the above copyright -# notice, this list of conditions and the following disclaimer in the -# documentation and/or other materials provided with the distribution. -# * Neither the name of the Codasip Ltd. nor the -# names of its contributors may be used to endorse or promote products -# derived from this software without specific prior written permission. -# -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS -# IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -# THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -# PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL Codasip Ltd. BE LIABLE FOR ANY -# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND -# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF -# THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -# -# Description: Makefrag for RV32I architectural tests - -rv32i_sc_tests = \ - -rv32i_tests = $(addsuffix .elf, $(rv32i_sc_tests)) - -target_tests += $(rv32i_tests) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/Makefile b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/Makefile deleted file mode 100644 index 6db6b8483..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/Makefile +++ /dev/null @@ -1,4 +0,0 @@ -include ../../Makefile.include - -$(eval $(call compile_template,-march=rv64ib -mabi=lp64 -DXLEN=$(XLEN))) - diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/Makefrag deleted file mode 100644 index 250a9b506..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/Makefrag +++ /dev/null @@ -1,31 +0,0 @@ -# RISC-V Compliance Test RV64K Makefrag -# -# -# Copyright (c) 2021 Imperas Software Ltd., www.imperas.com -# Copyright (c) 2021 Indian Institute of Technology Madras -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, -# either express or implied. -# -# See the License for the specific language governing permissions and -# limitations under the License. -# -# - -# -# Description: Makefrag for RV64K compliance tests - -rv64k_sc_tests = \ - -rv64k_tests = $(addsuffix .elf, $(rv64k_sc_tests)) - -target_tests += $(rv64k_tests) - diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-01.S deleted file mode 100644 index a1ffd16e6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-01.S +++ /dev/null @@ -1,367 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the aes64ds instruction of the RISC-V extension for the aes64ds covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",aes64ds) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",aes64ds) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKnd.*);def TEST_CASE_1=True;",aes64ds) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x6, rs2==x6, rd==x23, rs1_val == 0x0706050403020100 and rs2_val == 0x0f0e0d0c0b0a0908 -// opcode: aes64ds ; op1:x6; op2:x6; dest:x23; op1val:0x706050403020100; op2val:0x706050403020100 -TEST_RR_OP(aes64ds, x23, x6, x6, 0x0000000000000000, 0x706050403020100, 0x706050403020100, x1, 0, x5) - -inst_1: -// rs1 == rs2 == rd, rs1==x8, rs2==x8, rd==x8, rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: aes64ds ; op1:x8; op2:x8; dest:x8; op1val:0x6af29145404fd8ed; op2val:0x6af29145404fd8ed -TEST_RR_OP(aes64ds, x8, x8, x8, 0x0000000000000000, 0x6af29145404fd8ed, 0x6af29145404fd8ed, x1, 8, x5) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x31, rs2==x7, rd==x17, rs1_val == 0x6d23c0488a6019c1 and rs2_val == 0x860bdaad7447a088 -// opcode: aes64ds ; op1:x31; op2:x7; dest:x17; op1val:0x6d23c0488a6019c1; op2val:0x860bdaad7447a088 -TEST_RR_OP(aes64ds, x17, x31, x7, 0x0000000000000000, 0x6d23c0488a6019c1, 0x860bdaad7447a088, x1, 16, x5) - -inst_3: -// rs1 == rd != rs2, rs1==x25, rs2==x2, rd==x25, rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 -// opcode: aes64ds ; op1:x25; op2:x2; dest:x25; op1val:0x1f7d946f17168ab3; op2val:0x66eae3d9bbb4f560 -TEST_RR_OP(aes64ds, x25, x25, x2, 0x0000000000000000, 0x1f7d946f17168ab3, 0x66eae3d9bbb4f560, x1, 24, x5) - -inst_4: -// rs2 == rd != rs1, rs1==x20, rs2==x4, rd==x4, rs1_val == 0xef1d54db32b81f27 and rs2_val == 0x1826a804284fe16c -// opcode: aes64ds ; op1:x20; op2:x4; dest:x4; op1val:0xef1d54db32b81f27; op2val:0x1826a804284fe16c -TEST_RR_OP(aes64ds, x4, x20, x4, 0x0000000000000000, 0xef1d54db32b81f27, 0x1826a804284fe16c, x1, 32, x5) - -inst_5: -// rs1==x29, rs2==x24, rd==x27, rs1_val == 0xb694de26ad9e5431 and rs2_val == 0x293f9f6071fad878 -// opcode: aes64ds ; op1:x29; op2:x24; dest:x27; op1val:0xb694de26ad9e5431; op2val:0x293f9f6071fad878 -TEST_RR_OP(aes64ds, x27, x29, x24, 0x0000000000000000, 0xb694de26ad9e5431, 0x293f9f6071fad878, x1, 40, x5) - -inst_6: -// rs1==x30, rs2==x15, rd==x11, rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb -// opcode: aes64ds ; op1:x30; op2:x15; dest:x11; op1val:0x987daa20b858e304; op2val:0x1aa1beebefb902cb -TEST_RR_OP(aes64ds, x11, x30, x15, 0x0000000000000000, 0x987daa20b858e304, 0x1aa1beebefb902cb, x1, 48, x5) - -inst_7: -// rs1==x4, rs2==x26, rd==x16, rs1_val == 0x79bb7c341d3110bc and rs2_val == 0x8678f5e3d272e229 -// opcode: aes64ds ; op1:x4; op2:x26; dest:x16; op1val:0x79bb7c341d3110bc; op2val:0x8678f5e3d272e229 -TEST_RR_OP(aes64ds, x16, x4, x26, 0x0000000000000000, 0x79bb7c341d3110bc, 0x8678f5e3d272e229, x1, 56, x5) - -inst_8: -// rs1==x9, rs2==x14, rd==x3, rs1_val == 0xe2eaf4a09869be8c and rs2_val == 0x5b730cad91766f62 -// opcode: aes64ds ; op1:x9; op2:x14; dest:x3; op1val:0xe2eaf4a09869be8c; op2val:0x5b730cad91766f62 -TEST_RR_OP(aes64ds, x3, x9, x14, 0x0000000000000000, 0xe2eaf4a09869be8c, 0x5b730cad91766f62, x1, 64, x5) - -inst_9: -// rs1==x19, rs2==x12, rd==x15, rs1_val == 0xc0fe15dd0df9564b and rs2_val == 0xb22bbf7eb4c858fb -// opcode: aes64ds ; op1:x19; op2:x12; dest:x15; op1val:0xc0fe15dd0df9564b; op2val:0xb22bbf7eb4c858fb -TEST_RR_OP(aes64ds, x15, x19, x12, 0x0000000000000000, 0xc0fe15dd0df9564b, 0xb22bbf7eb4c858fb, x1, 72, x5) - -inst_10: -// rs1==x26, rs2==x17, rd==x21, rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 -// opcode: aes64ds ; op1:x26; op2:x17; dest:x21; op1val:0x4113ee60952acffe; op2val:0x53a66ed1dc80d916 -TEST_RR_OP(aes64ds, x21, x26, x17, 0x0000000000000000, 0x4113ee60952acffe, 0x53a66ed1dc80d916, x1, 80, x5) - -inst_11: -// rs1==x16, rs2==x13, rd==x19, rs1_val == 0x40a5ff526f38a9c7 and rs2_val == 0xb6f9706fb4f741aa -// opcode: aes64ds ; op1:x16; op2:x13; dest:x19; op1val:0x40a5ff526f38a9c7; op2val:0xb6f9706fb4f741aa -TEST_RR_OP(aes64ds, x19, x16, x13, 0x0000000000000000, 0x40a5ff526f38a9c7, 0xb6f9706fb4f741aa, x1, 88, x5) - -inst_12: -// rs1==x3, rs2==x22, rd==x26, rs1_val == 0x9bedfe390d6ddd9d and rs2_val == 0xd05668ae0fdb82bc -// opcode: aes64ds ; op1:x3; op2:x22; dest:x26; op1val:0x9bedfe390d6ddd9d; op2val:0xd05668ae0fdb82bc -TEST_RR_OP(aes64ds, x26, x3, x22, 0x0000000000000000, 0x9bedfe390d6ddd9d, 0xd05668ae0fdb82bc, x1, 96, x5) - -inst_13: -// rs1==x2, rs2==x3, rd==x18, rs1_val == 0xd75739f82ac177c6 and rs2_val == 0xaa6bb2bde9ed477d -// opcode: aes64ds ; op1:x2; op2:x3; dest:x18; op1val:0xd75739f82ac177c6; op2val:0xaa6bb2bde9ed477d -TEST_RR_OP(aes64ds, x18, x2, x3, 0x0000000000000000, 0xd75739f82ac177c6, 0xaa6bb2bde9ed477d, x1, 104, x5) - -inst_14: -// rs1==x27, rs2==x11, rd==x30, rs1_val == 0x9a4e9ef10171f4df and rs2_val == 0x299c3bcf90efb625 -// opcode: aes64ds ; op1:x27; op2:x11; dest:x30; op1val:0x9a4e9ef10171f4df; op2val:0x299c3bcf90efb625 -TEST_RR_OP(aes64ds, x30, x27, x11, 0x0000000000000000, 0x9a4e9ef10171f4df, 0x299c3bcf90efb625, x1, 112, x3) - -inst_15: -// rs1==x13, rs2==x29, rd==x12, rs1_val == 0xd169a3f8cad5e297 and rs2_val == 0x1fc493caa371db42 -// opcode: aes64ds ; op1:x13; op2:x29; dest:x12; op1val:0xd169a3f8cad5e297; op2val:0x1fc493caa371db42 -TEST_RR_OP(aes64ds, x12, x13, x29, 0x0000000000000000, 0xd169a3f8cad5e297, 0x1fc493caa371db42, x1, 120, x3) - -inst_16: -// rs1==x23, rs2==x20, rd==x24, rs1_val == 0xd5b9fe5cf69bdcf3 and rs2_val == 0xf4c30307672f666d -// opcode: aes64ds ; op1:x23; op2:x20; dest:x24; op1val:0xd5b9fe5cf69bdcf3; op2val:0xf4c30307672f666d -TEST_RR_OP(aes64ds, x24, x23, x20, 0x0000000000000000, 0xd5b9fe5cf69bdcf3, 0xf4c30307672f666d, x1, 128, x3) - -inst_17: -// rs1==x17, rs2==x28, rd==x2, rs1_val == 0xe4921bf73047c198 and rs2_val == 0xa0569d765ebc64cb -// opcode: aes64ds ; op1:x17; op2:x28; dest:x2; op1val:0xe4921bf73047c198; op2val:0xa0569d765ebc64cb -TEST_RR_OP(aes64ds, x2, x17, x28, 0x0000000000000000, 0xe4921bf73047c198, 0xa0569d765ebc64cb, x1, 136, x3) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_18: -// rs1==x21, rs2==x5, rd==x20, rs1_val == 0xfcc1b543c49cd65b and rs2_val == 0x2daf9ac7f5faf207 -// opcode: aes64ds ; op1:x21; op2:x5; dest:x20; op1val:0xfcc1b543c49cd65b; op2val:0x2daf9ac7f5faf207 -TEST_RR_OP(aes64ds, x20, x21, x5, 0x0000000000000000, 0xfcc1b543c49cd65b, 0x2daf9ac7f5faf207, x2, 0, x3) - -inst_19: -// rs1==x24, rs2==x31, rd==x28, rs1_val == 0x436f40f274b8de87 and rs2_val == 0x3459294ef273b44c -// opcode: aes64ds ; op1:x24; op2:x31; dest:x28; op1val:0x436f40f274b8de87; op2val:0x3459294ef273b44c -TEST_RR_OP(aes64ds, x28, x24, x31, 0x0000000000000000, 0x436f40f274b8de87, 0x3459294ef273b44c, x2, 8, x3) - -inst_20: -// rs1==x7, rs2==x19, rd==x9, rs1_val == 0x75a3adb3254a9493 and rs2_val == 0xc5521660f3a3c571 -// opcode: aes64ds ; op1:x7; op2:x19; dest:x9; op1val:0x75a3adb3254a9493; op2val:0xc5521660f3a3c571 -TEST_RR_OP(aes64ds, x9, x7, x19, 0x0000000000000000, 0x75a3adb3254a9493, 0xc5521660f3a3c571, x2, 16, x3) - -inst_21: -// rs1==x1, rs2==x23, rd==x29, rs1_val == 0xfffefdfcfbfaf9f8 and rs2_val == 0xf7f6f5f4f3f2f1f0 -// opcode: aes64ds ; op1:x1; op2:x23; dest:x29; op1val:0xfffefdfcfbfaf9f8; op2val:0xf7f6f5f4f3f2f1f0 -TEST_RR_OP(aes64ds, x29, x1, x23, 0x0000000000000000, 0xfffefdfcfbfaf9f8, 0xf7f6f5f4f3f2f1f0, x2, 24, x3) - -inst_22: -// rs1==x10, rs2==x9, rd==x31, rs1_val == 0xf7f6f5f4f3f2f1f0 and rs2_val == 0xfffefdfcfbfaf9f8 -// opcode: aes64ds ; op1:x10; op2:x9; dest:x31; op1val:0xf7f6f5f4f3f2f1f0; op2val:0xfffefdfcfbfaf9f8 -TEST_RR_OP(aes64ds, x31, x10, x9, 0x0000000000000000, 0xf7f6f5f4f3f2f1f0, 0xfffefdfcfbfaf9f8, x2, 32, x3) - -inst_23: -// rs1==x12, rs2==x1, rd==x6, rs1_val == 0xefeeedecebeae9e8 and rs2_val == 0xe7e6e5e4e3e2e1e0 -// opcode: aes64ds ; op1:x12; op2:x1; dest:x6; op1val:0xefeeedecebeae9e8; op2val:0xe7e6e5e4e3e2e1e0 -TEST_RR_OP(aes64ds, x6, x12, x1, 0x0000000000000000, 0xefeeedecebeae9e8, 0xe7e6e5e4e3e2e1e0, x2, 40, x3) - -inst_24: -// rs1==x11, rs2==x18, rd==x0, rs1_val == 0xe7e6e5e4e3e2e1e0 and rs2_val == 0xefeeedecebeae9e8 -// opcode: aes64ds ; op1:x11; op2:x18; dest:x0; op1val:0xe7e6e5e4e3e2e1e0; op2val:0xefeeedecebeae9e8 -TEST_RR_OP(aes64ds, x0, x11, x18, 0x0000000000000000, 0xe7e6e5e4e3e2e1e0, 0xefeeedecebeae9e8, x2, 48, x3) - -inst_25: -// rs1==x0, rs2==x21, rd==x1, rs1_val == 0xdfdedddcdbdad9d8 and rs2_val == 0xd7d6d5d4d3d2d1d0 -// opcode: aes64ds ; op1:x0; op2:x21; dest:x1; op1val:0x0; op2val:0xd7d6d5d4d3d2d1d0 -TEST_RR_OP(aes64ds, x1, x0, x21, 0x0000000000000000, 0x0, 0xd7d6d5d4d3d2d1d0, x2, 56, x3) - -inst_26: -// rs1==x28, rs2==x27, rd==x22, rs1_val == 0xd7d6d5d4d3d2d1d0 and rs2_val == 0xdfdedddcdbdad9d8 -// opcode: aes64ds ; op1:x28; op2:x27; dest:x22; op1val:0xd7d6d5d4d3d2d1d0; op2val:0xdfdedddcdbdad9d8 -TEST_RR_OP(aes64ds, x22, x28, x27, 0x0000000000000000, 0xd7d6d5d4d3d2d1d0, 0xdfdedddcdbdad9d8, x2, 64, x3) - -inst_27: -// rs1==x15, rs2==x10, rd==x13, rs1_val == 0xcfcecdcccbcac9c8 and rs2_val == 0xc7c6c5c4c3c2c1c0 -// opcode: aes64ds ; op1:x15; op2:x10; dest:x13; op1val:0xcfcecdcccbcac9c8; op2val:0xc7c6c5c4c3c2c1c0 -TEST_RR_OP(aes64ds, x13, x15, x10, 0x0000000000000000, 0xcfcecdcccbcac9c8, 0xc7c6c5c4c3c2c1c0, x2, 72, x3) - -inst_28: -// rs1==x14, rs2==x16, rd==x10, rs1_val == 0xc7c6c5c4c3c2c1c0 and rs2_val == 0xcfcecdcccbcac9c8 -// opcode: aes64ds ; op1:x14; op2:x16; dest:x10; op1val:0xc7c6c5c4c3c2c1c0; op2val:0xcfcecdcccbcac9c8 -TEST_RR_OP(aes64ds, x10, x14, x16, 0x0000000000000000, 0xc7c6c5c4c3c2c1c0, 0xcfcecdcccbcac9c8, x2, 80, x3) - -inst_29: -// rs1==x5, rs2==x30, rd==x7, rs1_val == 0xbfbebdbcbbbab9b8 and rs2_val == 0xb7b6b5b4b3b2b1b0 -// opcode: aes64ds ; op1:x5; op2:x30; dest:x7; op1val:0xbfbebdbcbbbab9b8; op2val:0xb7b6b5b4b3b2b1b0 -TEST_RR_OP(aes64ds, x7, x5, x30, 0x0000000000000000, 0xbfbebdbcbbbab9b8, 0xb7b6b5b4b3b2b1b0, x2, 88, x3) - -inst_30: -// rs1==x22, rs2==x0, rd==x5, rs1_val == 0xb7b6b5b4b3b2b1b0 and rs2_val == 0xbfbebdbcbbbab9b8 -// opcode: aes64ds ; op1:x22; op2:x0; dest:x5; op1val:0xb7b6b5b4b3b2b1b0; op2val:0x0 -TEST_RR_OP(aes64ds, x5, x22, x0, 0x0000000000000000, 0xb7b6b5b4b3b2b1b0, 0x0, x2, 96, x3) - -inst_31: -// rs1==x18, rs2==x25, rd==x14, rs1_val == 0xafaeadacabaaa9a8 and rs2_val == 0xa7a6a5a4a3a2a1a0 -// opcode: aes64ds ; op1:x18; op2:x25; dest:x14; op1val:0xafaeadacabaaa9a8; op2val:0xa7a6a5a4a3a2a1a0 -TEST_RR_OP(aes64ds, x14, x18, x25, 0x0000000000000000, 0xafaeadacabaaa9a8, 0xa7a6a5a4a3a2a1a0, x2, 104, x3) - -inst_32: -// rs1_val == 0xa7a6a5a4a3a2a1a0 and rs2_val == 0xafaeadacabaaa9a8 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0xa7a6a5a4a3a2a1a0; op2val:0xafaeadacabaaa9a8 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0xa7a6a5a4a3a2a1a0, 0xafaeadacabaaa9a8, x2, 112, x3) - -inst_33: -// rs1_val == 0x9f9e9d9c9b9a9998 and rs2_val == 0x9796959493929190 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x9f9e9d9c9b9a9998; op2val:0x9796959493929190 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x9f9e9d9c9b9a9998, 0x9796959493929190, x2, 120, x3) - -inst_34: -// rs1_val == 0x9796959493929190 and rs2_val == 0x9f9e9d9c9b9a9998 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x9796959493929190; op2val:0x9f9e9d9c9b9a9998 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x9796959493929190, 0x9f9e9d9c9b9a9998, x2, 128, x3) - -inst_35: -// rs1_val == 0x8f8e8d8c8b8a8988 and rs2_val == 0x8786858483828180 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x8f8e8d8c8b8a8988; op2val:0x8786858483828180 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x8f8e8d8c8b8a8988, 0x8786858483828180, x2, 136, x3) - -inst_36: -// rs1_val == 0x8786858483828180 and rs2_val == 0x8f8e8d8c8b8a8988 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x8786858483828180; op2val:0x8f8e8d8c8b8a8988 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x8786858483828180, 0x8f8e8d8c8b8a8988, x2, 144, x3) - -inst_37: -// rs1_val == 0x7f7e7d7c7b7a7978 and rs2_val == 0x7776757473727170 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x7f7e7d7c7b7a7978; op2val:0x7776757473727170 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x7f7e7d7c7b7a7978, 0x7776757473727170, x2, 152, x3) - -inst_38: -// rs1_val == 0x7776757473727170 and rs2_val == 0x7f7e7d7c7b7a7978 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x7776757473727170; op2val:0x7f7e7d7c7b7a7978 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x7776757473727170, 0x7f7e7d7c7b7a7978, x2, 160, x3) - -inst_39: -// rs1_val == 0x6f6e6d6c6b6a6968 and rs2_val == 0x6766656463626160 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x6f6e6d6c6b6a6968; op2val:0x6766656463626160 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x6f6e6d6c6b6a6968, 0x6766656463626160, x2, 168, x3) - -inst_40: -// rs1_val == 0x6766656463626160 and rs2_val == 0x6f6e6d6c6b6a6968 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x6766656463626160; op2val:0x6f6e6d6c6b6a6968 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x6766656463626160, 0x6f6e6d6c6b6a6968, x2, 176, x3) - -inst_41: -// rs1_val == 0x5f5e5d5c5b5a5958 and rs2_val == 0x5756555453525150 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x5f5e5d5c5b5a5958; op2val:0x5756555453525150 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x5f5e5d5c5b5a5958, 0x5756555453525150, x2, 184, x3) - -inst_42: -// rs1_val == 0x5756555453525150 and rs2_val == 0x5f5e5d5c5b5a5958 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x5756555453525150; op2val:0x5f5e5d5c5b5a5958 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x5756555453525150, 0x5f5e5d5c5b5a5958, x2, 192, x3) - -inst_43: -// rs1_val == 0x4f4e4d4c4b4a4948 and rs2_val == 0x4746454443424140 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x4f4e4d4c4b4a4948; op2val:0x4746454443424140 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x4f4e4d4c4b4a4948, 0x4746454443424140, x2, 200, x3) - -inst_44: -// rs1_val == 0x4746454443424140 and rs2_val == 0x4f4e4d4c4b4a4948 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x4746454443424140; op2val:0x4f4e4d4c4b4a4948 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x4746454443424140, 0x4f4e4d4c4b4a4948, x2, 208, x3) - -inst_45: -// rs1_val == 0x3f3e3d3c3b3a3938 and rs2_val == 0x3736353433323130 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x3f3e3d3c3b3a3938; op2val:0x3736353433323130 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x3f3e3d3c3b3a3938, 0x3736353433323130, x2, 216, x3) - -inst_46: -// rs1_val == 0x3736353433323130 and rs2_val == 0x3f3e3d3c3b3a3938 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x3736353433323130; op2val:0x3f3e3d3c3b3a3938 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x3736353433323130, 0x3f3e3d3c3b3a3938, x2, 224, x3) - -inst_47: -// rs1_val == 0x2f2e2d2c2b2a2928 and rs2_val == 0x2726252423222120 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x2f2e2d2c2b2a2928; op2val:0x2726252423222120 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x2f2e2d2c2b2a2928, 0x2726252423222120, x2, 232, x3) - -inst_48: -// rs1_val == 0x2726252423222120 and rs2_val == 0x2f2e2d2c2b2a2928 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x2726252423222120; op2val:0x2f2e2d2c2b2a2928 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x2726252423222120, 0x2f2e2d2c2b2a2928, x2, 240, x3) - -inst_49: -// rs1_val == 0x1f1e1d1c1b1a1918 and rs2_val == 0x1716151413121110 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x1f1e1d1c1b1a1918; op2val:0x1716151413121110 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x1f1e1d1c1b1a1918, 0x1716151413121110, x2, 248, x3) - -inst_50: -// rs1_val == 0x1716151413121110 and rs2_val == 0x1f1e1d1c1b1a1918 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x1716151413121110; op2val:0x1f1e1d1c1b1a1918 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x1716151413121110, 0x1f1e1d1c1b1a1918, x2, 256, x3) - -inst_51: -// rs1_val == 0x0f0e0d0c0b0a0908 and rs2_val == 0x0706050403020100 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0xf0e0d0c0b0a0908; op2val:0x706050403020100 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0xf0e0d0c0b0a0908, 0x706050403020100, x2, 264, x3) - -inst_52: -// rs1_val == 0x0706050403020100 and rs2_val == 0x0f0e0d0c0b0a0908 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x706050403020100; op2val:0xf0e0d0c0b0a0908 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x706050403020100, 0xf0e0d0c0b0a0908, x2, 272, x3) - -inst_53: -// rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0x6af29145404fd8ed; op2val:0x990e75eafff569c2 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0x6af29145404fd8ed, 0x990e75eafff569c2, x2, 280, x3) - -inst_54: -// rs1_val == 0xe7e6e5e4e3e2e1e0 and rs2_val == 0xefeeedecebeae9e8 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0xe7e6e5e4e3e2e1e0; op2val:0xefeeedecebeae9e8 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0xe7e6e5e4e3e2e1e0, 0xefeeedecebeae9e8, x2, 288, x3) - -inst_55: -// rs1_val == 0xdfdedddcdbdad9d8 and rs2_val == 0xd7d6d5d4d3d2d1d0 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0xdfdedddcdbdad9d8; op2val:0xd7d6d5d4d3d2d1d0 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0xdfdedddcdbdad9d8, 0xd7d6d5d4d3d2d1d0, x2, 296, x3) - -inst_56: -// rs1_val == 0xb7b6b5b4b3b2b1b0 and rs2_val == 0xbfbebdbcbbbab9b8 -// opcode: aes64ds ; op1:x10; op2:x11; dest:x12; op1val:0xb7b6b5b4b3b2b1b0; op2val:0xbfbebdbcbbbab9b8 -TEST_RR_OP(aes64ds, x12, x10, x11, 0x0000000000000000, 0xb7b6b5b4b3b2b1b0, 0xbfbebdbcbbbab9b8, x2, 304, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 18*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 39*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-rwp1.S deleted file mode 100644 index dd41ee1d5..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-rwp1.S +++ /dev/null @@ -1,392 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",aes64ds) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",aes64ds) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// 1st Instruction => rs1 = x1; rs2 = x2 | 2nd Instruction => rs1 = x2; rs2 = x1 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x1; op2:x2; dest1:x3; dest2:x4; dest3:x5; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x1, x1, x2; -aes64ds x3, x1, x2; -aes64ds x4, x2, x1; -xor x5, x4, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x4,8); -RVTEST_SIGUPD(x31,x5,16); - -inst_1: -// 1st Instruction => rs1 = x2; rs2 = x3 | 2nd Instruction => rs1 = x3; rs2 = x2 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x2; op2:x3; dest1:x4; dest2:x5; dest3:x6; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x2, x2, x3; -aes64ds x4, x2, x3; -aes64ds x5, x3, x2; -xor x6, x5, x3; -RVTEST_SIGUPD(x31,x4,24); -RVTEST_SIGUPD(x31,x5,32); -RVTEST_SIGUPD(x31,x6,40); - -inst_2: -// 1st Instruction => rs1 = x3; rs2 = x4 | 2nd Instruction => rs1 = x4; rs2 = x3 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x3; op2:x4; dest1:x5; dest2:x6; dest3:x7; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x3, x3, x4; -aes64ds x5, x3, x4; -aes64ds x6, x4, x3; -xor x7, x6, x4; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); -RVTEST_SIGUPD(x31,x7,64); - -inst_3: -// 1st Instruction => rs1 = x4; rs2 = x5 | 2nd Instruction => rs1 = x5; rs2 = x4 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x4; op2:x5; dest1:x6; dest2:x7; dest3:x8; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x4, x4, x5; -aes64ds x6, x4, x5; -aes64ds x7, x5, x4; -xor x8, x7, x5; -RVTEST_SIGUPD(x31,x6,72); -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_4: -// 1st Instruction => rs1 = x5; rs2 = x6 | 2nd Instruction => rs1 = x6; rs2 = x5 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x5; op2:x6; dest1:x7; dest2:x8; dest3:x9; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x5, x5, x6; -aes64ds x7, x5, x6; -aes64ds x8, x6, x5; -xor x9, x8, x6; -RVTEST_SIGUPD(x31,x7,96); -RVTEST_SIGUPD(x31,x8,104); -RVTEST_SIGUPD(x31,x9,112); - -inst_5: -// 1st Instruction => rs1 = x6; rs2 = x7 | 2nd Instruction => rs1 = x7; rs2 = x6 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x6; op2:x7; dest1:x8; dest2:x9; dest3:x10; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x6, x6, x7; -aes64ds x8, x6, x7; -aes64ds x9, x7, x6; -xor x10, x9, x7; -RVTEST_SIGUPD(x31,x8,120); -RVTEST_SIGUPD(x31,x9,128); -RVTEST_SIGUPD(x31,x10,136); - -inst_6: -// 1st Instruction => rs1 = x7; rs2 = x8 | 2nd Instruction => rs1 = x8; rs2 = x7 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x7; op2:x8; dest1:x9; dest2:x10; dest3:x11; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x7, x7, x8; -aes64ds x9, x7, x8; -aes64ds x10, x8, x7; -xor x11, x10, x8; -RVTEST_SIGUPD(x31,x9,144); -RVTEST_SIGUPD(x31,x10,152); -RVTEST_SIGUPD(x31,x11,160); - -inst_7: -// 1st Instruction => rs1 = x8; rs2 = x9 | 2nd Instruction => rs1 = x9; rs2 = x8 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x8; op2:x9; dest1:x10; dest2:x11; dest3:x12; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x8, x8, x9; -aes64ds x10, x8, x9; -aes64ds x11, x9, x8; -xor x12, x11, x9; -RVTEST_SIGUPD(x31,x10,168); -RVTEST_SIGUPD(x31,x11,176); -RVTEST_SIGUPD(x31,x12,184); - -inst_8: -// 1st Instruction => rs1 = x9; rs2 = x10 | 2nd Instruction => rs1 = x10; rs2 = x9 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x9; op2:x10; dest1:x11; dest2:x12; dest3:x13; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x9, x9, x10; -aes64ds x11, x9, x10; -aes64ds x12, x10, x9; -xor x13, x12, x10; -RVTEST_SIGUPD(x31,x11,192); -RVTEST_SIGUPD(x31,x12,200); -RVTEST_SIGUPD(x31,x13,208); - -inst_9: -// 1st Instruction => rs1 = x10; rs2 = x11 | 2nd Instruction => rs1 = x11; rs2 = x10 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x10; op2:x11; dest1:x12; dest2:x13; dest3:x14; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x10, x10, x11; -aes64ds x12, x10, x11; -aes64ds x13, x11, x10; -xor x14, x13, x11; -RVTEST_SIGUPD(x31,x12,216); -RVTEST_SIGUPD(x31,x13,224); -RVTEST_SIGUPD(x31,x14,232); - -inst_10: -// 1st Instruction => rs1 = x11; rs2 = x12 | 2nd Instruction => rs1 = x12; rs2 = x11 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x11; op2:x12; dest1:x13; dest2:x14; dest3:x15; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x11, x11, x12; -aes64ds x13, x11, x12; -aes64ds x14, x12, x11; -xor x15, x14, x12; -RVTEST_SIGUPD(x31,x13,240); -RVTEST_SIGUPD(x31,x14,248); -RVTEST_SIGUPD(x31,x15,256); - -inst_11: -// 1st Instruction => rs1 = x12; rs2 = x13 | 2nd Instruction => rs1 = x13; rs2 = x12 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x12; op2:x13; dest1:x14; dest2:x15; dest3:x16; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x12, x12, x13; -aes64ds x14, x12, x13; -aes64ds x15, x13, x12; -xor x16, x15, x13; -RVTEST_SIGUPD(x31,x14,264); -RVTEST_SIGUPD(x31,x15,272); -RVTEST_SIGUPD(x31,x16,280); - -inst_12: -// 1st Instruction => rs1 = x13; rs2 = x14 | 2nd Instruction => rs1 = x14; rs2 = x13 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x13; op2:x14; dest1:x15; dest2:x16; dest3:x17; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x13, x13, x14; -aes64ds x15, x13, x14; -aes64ds x16, x14, x13; -xor x17, x16, x14; -RVTEST_SIGUPD(x31,x15,288); -RVTEST_SIGUPD(x31,x16,296); -RVTEST_SIGUPD(x31,x17,304); - -inst_13: -// 1st Instruction => rs1 = x14; rs2 = x15 | 2nd Instruction => rs1 = x15; rs2 = x14 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x14; op2:x15; dest1:x16; dest2:x17; dest3:x18; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x14, x14, x15; -aes64ds x16, x14, x15; -aes64ds x17, x15, x14; -xor x18, x17, x15; -RVTEST_SIGUPD(x31,x16,312); -RVTEST_SIGUPD(x31,x17,320); -RVTEST_SIGUPD(x31,x18,328); - -inst_14: -// 1st Instruction => rs1 = x15; rs2 = x16 | 2nd Instruction => rs1 = x16; rs2 = x15 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x15; op2:x16; dest1:x17; dest2:x18; dest3:x19; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x15, x15, x16; -aes64ds x17, x15, x16; -aes64ds x18, x16, x15; -xor x19, x18, x16; -RVTEST_SIGUPD(x31,x17,336); -RVTEST_SIGUPD(x31,x18,344); -RVTEST_SIGUPD(x31,x19,352); - -inst_15: -// 1st Instruction => rs1 = x16; rs2 = x17 | 2nd Instruction => rs1 = x17; rs2 = x16 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x16; op2:x17; dest1:x18; dest2:x19; dest3:x20; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x16, x16, x17; -aes64ds x18, x16, x17; -aes64ds x19, x17, x16; -xor x20, x19, x17; -RVTEST_SIGUPD(x31,x18,360); -RVTEST_SIGUPD(x31,x19,368); -RVTEST_SIGUPD(x31,x20,376); - -inst_16: -// 1st Instruction => rs1 = x17; rs2 = x18 | 2nd Instruction => rs1 = x18; rs2 = x17 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x17; op2:x18; dest1:x19; dest2:x20; dest3:x21; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x17, x17, x18; -aes64ds x19, x17, x18; -aes64ds x20, x18, x17; -xor x21, x20, x18; -RVTEST_SIGUPD(x31,x19,384); -RVTEST_SIGUPD(x31,x20,392); -RVTEST_SIGUPD(x31,x21,400); - -inst_17: -// 1st Instruction => rs1 = x18; rs2 = x19 | 2nd Instruction => rs1 = x19; rs2 = x18 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x18; op2:x19; dest1:x20; dest2:x21; dest3:x22; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x18, x18, x19; -aes64ds x20, x18, x19; -aes64ds x21, x19, x18; -xor x22, x21, x19; -RVTEST_SIGUPD(x31,x20,408); -RVTEST_SIGUPD(x31,x21,416); -RVTEST_SIGUPD(x31,x22,424); - -inst_18: -// 1st Instruction => rs1 = x19; rs2 = x20 | 2nd Instruction => rs1 = x20; rs2 = x19 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x19; op2:x20; dest1:x21; dest2:x22; dest3:x23; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x19, x19, x20; -aes64ds x21, x19, x20; -aes64ds x22, x20, x19; -xor x23, x22, x20; -RVTEST_SIGUPD(x31,x21,432); -RVTEST_SIGUPD(x31,x22,440); -RVTEST_SIGUPD(x31,x23,448); - -inst_19: -// 1st Instruction => rs1 = x20; rs2 = x21 | 2nd Instruction => rs1 = x21; rs2 = x20 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x20; op2:x21; dest1:x22; dest2:x23; dest3:x24; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x20, x20, x21; -aes64ds x22, x20, x21; -aes64ds x23, x21, x20; -xor x24, x23, x21; -RVTEST_SIGUPD(x31,x22,456); -RVTEST_SIGUPD(x31,x23,464); -RVTEST_SIGUPD(x31,x24,472); - -inst_20: -// 1st Instruction => rs1 = x21; rs2 = x22 | 2nd Instruction => rs1 = x22; rs2 = x21 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x21; op2:x22; dest1:x23; dest2:x24; dest3:x25; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x21, x21, x22; -aes64ds x23, x21, x22; -aes64ds x24, x22, x21; -xor x25, x24, x22; -RVTEST_SIGUPD(x31,x23,480); -RVTEST_SIGUPD(x31,x24,488); -RVTEST_SIGUPD(x31,x25,496); - -inst_21: -// 1st Instruction => rs1 = x22; rs2 = x23 | 2nd Instruction => rs1 = x23; rs2 = x22 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x22; op2:x23; dest1:x24; dest2:x25; dest3:x26; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x22, x22, x23; -aes64ds x24, x22, x23; -aes64ds x25, x23, x22; -xor x26, x25, x23; -RVTEST_SIGUPD(x31,x24,504); -RVTEST_SIGUPD(x31,x25,512); -RVTEST_SIGUPD(x31,x26,520); - -inst_22: -// 1st Instruction => rs1 = x23; rs2 = x24 | 2nd Instruction => rs1 = x24; rs2 = x23 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x23; op2:x24; dest1:x25; dest2:x26; dest3:x27; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x23, x23, x24; -aes64ds x25, x23, x24; -aes64ds x26, x24, x23; -xor x27, x26, x24; -RVTEST_SIGUPD(x31,x25,528); -RVTEST_SIGUPD(x31,x26,536); -RVTEST_SIGUPD(x31,x27,544); - -inst_23: -// 1st Instruction => rs1 = x24; rs2 = x25 | 2nd Instruction => rs1 = x25; rs2 = x24 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x24; op2:x25; dest1:x26; dest2:x27; dest3:x28; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x24, x24, x25; -aes64ds x26, x24, x25; -aes64ds x27, x25, x24; -xor x28, x27, x25; -RVTEST_SIGUPD(x31,x26,552); -RVTEST_SIGUPD(x31,x27,560); -RVTEST_SIGUPD(x31,x28,568); - -inst_24: -// 1st Instruction => rs1 = x25; rs2 = x26 | 2nd Instruction => rs1 = x26; rs2 = x25 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x25; op2:x26; dest1:x27; dest2:x28; dest3:x29; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x25, x25, x26; -aes64ds x27, x25, x26; -aes64ds x28, x26, x25; -xor x29, x28, x26; -RVTEST_SIGUPD(x31,x27,576); -RVTEST_SIGUPD(x31,x28,584); -RVTEST_SIGUPD(x31,x29,592); - -inst_25: -// 1st Instruction => rs1 = x26; rs2 = x27 | 2nd Instruction => rs1 = x27; rs2 = x26 | Result of xor goes into aes64ds & vice versa -// opcode: aes64ds; op1:x26; op2:x27; dest1:x28; dest2:x29; dest3:x30; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x26, x26, x27; -aes64ds x28, x26, x27; -aes64ds x29, x27, x26; -xor x30, x29, x27; -RVTEST_SIGUPD(x31,x28,600); -RVTEST_SIGUPD(x31,x29,608); -RVTEST_SIGUPD(x31,x30,616); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 78*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-01.S deleted file mode 100644 index c6522eb85..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-01.S +++ /dev/null @@ -1,367 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the aes64dsm instruction of the RISC-V extension for the aes64dsm covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",aes64dsm) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",aes64dsm) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKnd.*);def TEST_CASE_1=True;",aes64dsm) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x5, rs2==x5, rd==x11, rs1_val == 0x0706050403020100 and rs2_val == 0x0f0e0d0c0b0a0908 -// opcode: aes64dsm ; op1:x5; op2:x5; dest:x11; op1val:0x706050403020100; op2val:0x706050403020100 -TEST_RR_OP(aes64dsm, x11, x5, x5, 0x0000000000000000, 0x706050403020100, 0x706050403020100, x3, 0, x1) - -inst_1: -// rs1 == rs2 == rd, rs1==x9, rs2==x9, rd==x9, rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: aes64dsm ; op1:x9; op2:x9; dest:x9; op1val:0x6af29145404fd8ed; op2val:0x6af29145404fd8ed -TEST_RR_OP(aes64dsm, x9, x9, x9, 0x0000000000000000, 0x6af29145404fd8ed, 0x6af29145404fd8ed, x3, 8, x1) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x20, rs2==x11, rd==x15, rs1_val == 0x6d23c0488a6019c1 and rs2_val == 0x860bdaad7447a088 -// opcode: aes64dsm ; op1:x20; op2:x11; dest:x15; op1val:0x6d23c0488a6019c1; op2val:0x860bdaad7447a088 -TEST_RR_OP(aes64dsm, x15, x20, x11, 0x0000000000000000, 0x6d23c0488a6019c1, 0x860bdaad7447a088, x3, 16, x1) - -inst_3: -// rs1 == rd != rs2, rs1==x7, rs2==x15, rd==x7, rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 -// opcode: aes64dsm ; op1:x7; op2:x15; dest:x7; op1val:0x1f7d946f17168ab3; op2val:0x66eae3d9bbb4f560 -TEST_RR_OP(aes64dsm, x7, x7, x15, 0x0000000000000000, 0x1f7d946f17168ab3, 0x66eae3d9bbb4f560, x3, 24, x1) - -inst_4: -// rs2 == rd != rs1, rs1==x2, rs2==x21, rd==x21, rs1_val == 0xef1d54db32b81f27 and rs2_val == 0x1826a804284fe16c -// opcode: aes64dsm ; op1:x2; op2:x21; dest:x21; op1val:0xef1d54db32b81f27; op2val:0x1826a804284fe16c -TEST_RR_OP(aes64dsm, x21, x2, x21, 0x0000000000000000, 0xef1d54db32b81f27, 0x1826a804284fe16c, x3, 32, x1) - -inst_5: -// rs1==x4, rs2==x31, rd==x5, rs1_val == 0xb694de26ad9e5431 and rs2_val == 0x293f9f6071fad878 -// opcode: aes64dsm ; op1:x4; op2:x31; dest:x5; op1val:0xb694de26ad9e5431; op2val:0x293f9f6071fad878 -TEST_RR_OP(aes64dsm, x5, x4, x31, 0x0000000000000000, 0xb694de26ad9e5431, 0x293f9f6071fad878, x3, 40, x1) - -inst_6: -// rs1==x0, rs2==x13, rd==x23, rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb -// opcode: aes64dsm ; op1:x0; op2:x13; dest:x23; op1val:0x0; op2val:0x1aa1beebefb902cb -TEST_RR_OP(aes64dsm, x23, x0, x13, 0x0000000000000000, 0x0, 0x1aa1beebefb902cb, x3, 48, x1) - -inst_7: -// rs1==x21, rs2==x20, rd==x31, rs1_val == 0x79bb7c341d3110bc and rs2_val == 0x8678f5e3d272e229 -// opcode: aes64dsm ; op1:x21; op2:x20; dest:x31; op1val:0x79bb7c341d3110bc; op2val:0x8678f5e3d272e229 -TEST_RR_OP(aes64dsm, x31, x21, x20, 0x0000000000000000, 0x79bb7c341d3110bc, 0x8678f5e3d272e229, x3, 56, x1) - -inst_8: -// rs1==x27, rs2==x24, rd==x22, rs1_val == 0xe2eaf4a09869be8c and rs2_val == 0x5b730cad91766f62 -// opcode: aes64dsm ; op1:x27; op2:x24; dest:x22; op1val:0xe2eaf4a09869be8c; op2val:0x5b730cad91766f62 -TEST_RR_OP(aes64dsm, x22, x27, x24, 0x0000000000000000, 0xe2eaf4a09869be8c, 0x5b730cad91766f62, x3, 64, x1) - -inst_9: -// rs1==x19, rs2==x26, rd==x12, rs1_val == 0xc0fe15dd0df9564b and rs2_val == 0xb22bbf7eb4c858fb -// opcode: aes64dsm ; op1:x19; op2:x26; dest:x12; op1val:0xc0fe15dd0df9564b; op2val:0xb22bbf7eb4c858fb -TEST_RR_OP(aes64dsm, x12, x19, x26, 0x0000000000000000, 0xc0fe15dd0df9564b, 0xb22bbf7eb4c858fb, x3, 72, x1) - -inst_10: -// rs1==x24, rs2==x27, rd==x13, rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 -// opcode: aes64dsm ; op1:x24; op2:x27; dest:x13; op1val:0x4113ee60952acffe; op2val:0x53a66ed1dc80d916 -TEST_RR_OP(aes64dsm, x13, x24, x27, 0x0000000000000000, 0x4113ee60952acffe, 0x53a66ed1dc80d916, x3, 80, x1) - -inst_11: -// rs1==x13, rs2==x17, rd==x0, rs1_val == 0x40a5ff526f38a9c7 and rs2_val == 0xb6f9706fb4f741aa -// opcode: aes64dsm ; op1:x13; op2:x17; dest:x0; op1val:0x40a5ff526f38a9c7; op2val:0xb6f9706fb4f741aa -TEST_RR_OP(aes64dsm, x0, x13, x17, 0x0000000000000000, 0x40a5ff526f38a9c7, 0xb6f9706fb4f741aa, x3, 88, x1) - -inst_12: -// rs1==x28, rs2==x10, rd==x30, rs1_val == 0x9bedfe390d6ddd9d and rs2_val == 0xd05668ae0fdb82bc -// opcode: aes64dsm ; op1:x28; op2:x10; dest:x30; op1val:0x9bedfe390d6ddd9d; op2val:0xd05668ae0fdb82bc -TEST_RR_OP(aes64dsm, x30, x28, x10, 0x0000000000000000, 0x9bedfe390d6ddd9d, 0xd05668ae0fdb82bc, x3, 96, x1) - -inst_13: -// rs1==x14, rs2==x6, rd==x25, rs1_val == 0xd75739f82ac177c6 and rs2_val == 0xaa6bb2bde9ed477d -// opcode: aes64dsm ; op1:x14; op2:x6; dest:x25; op1val:0xd75739f82ac177c6; op2val:0xaa6bb2bde9ed477d -TEST_RR_OP(aes64dsm, x25, x14, x6, 0x0000000000000000, 0xd75739f82ac177c6, 0xaa6bb2bde9ed477d, x3, 104, x1) - -inst_14: -// rs1==x18, rs2==x2, rd==x24, rs1_val == 0x9a4e9ef10171f4df and rs2_val == 0x299c3bcf90efb625 -// opcode: aes64dsm ; op1:x18; op2:x2; dest:x24; op1val:0x9a4e9ef10171f4df; op2val:0x299c3bcf90efb625 -TEST_RR_OP(aes64dsm, x24, x18, x2, 0x0000000000000000, 0x9a4e9ef10171f4df, 0x299c3bcf90efb625, x3, 112, x1) - -inst_15: -// rs1==x10, rs2==x29, rd==x2, rs1_val == 0xd169a3f8cad5e297 and rs2_val == 0x1fc493caa371db42 -// opcode: aes64dsm ; op1:x10; op2:x29; dest:x2; op1val:0xd169a3f8cad5e297; op2val:0x1fc493caa371db42 -TEST_RR_OP(aes64dsm, x2, x10, x29, 0x0000000000000000, 0xd169a3f8cad5e297, 0x1fc493caa371db42, x3, 120, x1) - -inst_16: -// rs1==x15, rs2==x19, rd==x4, rs1_val == 0xd5b9fe5cf69bdcf3 and rs2_val == 0xf4c30307672f666d -// opcode: aes64dsm ; op1:x15; op2:x19; dest:x4; op1val:0xd5b9fe5cf69bdcf3; op2val:0xf4c30307672f666d -TEST_RR_OP(aes64dsm, x4, x15, x19, 0x0000000000000000, 0xd5b9fe5cf69bdcf3, 0xf4c30307672f666d, x3, 128, x5) - -inst_17: -// rs1==x12, rs2==x18, rd==x6, rs1_val == 0xe4921bf73047c198 and rs2_val == 0xa0569d765ebc64cb -// opcode: aes64dsm ; op1:x12; op2:x18; dest:x6; op1val:0xe4921bf73047c198; op2val:0xa0569d765ebc64cb -TEST_RR_OP(aes64dsm, x6, x12, x18, 0x0000000000000000, 0xe4921bf73047c198, 0xa0569d765ebc64cb, x3, 136, x5) - -inst_18: -// rs1==x1, rs2==x8, rd==x20, rs1_val == 0xfcc1b543c49cd65b and rs2_val == 0x2daf9ac7f5faf207 -// opcode: aes64dsm ; op1:x1; op2:x8; dest:x20; op1val:0xfcc1b543c49cd65b; op2val:0x2daf9ac7f5faf207 -TEST_RR_OP(aes64dsm, x20, x1, x8, 0x0000000000000000, 0xfcc1b543c49cd65b, 0x2daf9ac7f5faf207, x3, 144, x5) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_19: -// rs1==x11, rs2==x22, rd==x3, rs1_val == 0x436f40f274b8de87 and rs2_val == 0x3459294ef273b44c -// opcode: aes64dsm ; op1:x11; op2:x22; dest:x3; op1val:0x436f40f274b8de87; op2val:0x3459294ef273b44c -TEST_RR_OP(aes64dsm, x3, x11, x22, 0x0000000000000000, 0x436f40f274b8de87, 0x3459294ef273b44c, x2, 0, x5) - -inst_20: -// rs1==x17, rs2==x28, rd==x1, rs1_val == 0x75a3adb3254a9493 and rs2_val == 0xc5521660f3a3c571 -// opcode: aes64dsm ; op1:x17; op2:x28; dest:x1; op1val:0x75a3adb3254a9493; op2val:0xc5521660f3a3c571 -TEST_RR_OP(aes64dsm, x1, x17, x28, 0x0000000000000000, 0x75a3adb3254a9493, 0xc5521660f3a3c571, x2, 8, x5) - -inst_21: -// rs1==x23, rs2==x12, rd==x29, rs1_val == 0xfffefdfcfbfaf9f8 and rs2_val == 0xf7f6f5f4f3f2f1f0 -// opcode: aes64dsm ; op1:x23; op2:x12; dest:x29; op1val:0xfffefdfcfbfaf9f8; op2val:0xf7f6f5f4f3f2f1f0 -TEST_RR_OP(aes64dsm, x29, x23, x12, 0x0000000000000000, 0xfffefdfcfbfaf9f8, 0xf7f6f5f4f3f2f1f0, x2, 16, x5) - -inst_22: -// rs1==x22, rs2==x30, rd==x16, rs1_val == 0xf7f6f5f4f3f2f1f0 and rs2_val == 0xfffefdfcfbfaf9f8 -// opcode: aes64dsm ; op1:x22; op2:x30; dest:x16; op1val:0xf7f6f5f4f3f2f1f0; op2val:0xfffefdfcfbfaf9f8 -TEST_RR_OP(aes64dsm, x16, x22, x30, 0x0000000000000000, 0xf7f6f5f4f3f2f1f0, 0xfffefdfcfbfaf9f8, x2, 24, x5) - -inst_23: -// rs1==x6, rs2==x16, rd==x26, rs1_val == 0xefeeedecebeae9e8 and rs2_val == 0xe7e6e5e4e3e2e1e0 -// opcode: aes64dsm ; op1:x6; op2:x16; dest:x26; op1val:0xefeeedecebeae9e8; op2val:0xe7e6e5e4e3e2e1e0 -TEST_RR_OP(aes64dsm, x26, x6, x16, 0x0000000000000000, 0xefeeedecebeae9e8, 0xe7e6e5e4e3e2e1e0, x2, 32, x5) - -inst_24: -// rs1==x25, rs2==x7, rd==x19, rs1_val == 0xe7e6e5e4e3e2e1e0 and rs2_val == 0xefeeedecebeae9e8 -// opcode: aes64dsm ; op1:x25; op2:x7; dest:x19; op1val:0xe7e6e5e4e3e2e1e0; op2val:0xefeeedecebeae9e8 -TEST_RR_OP(aes64dsm, x19, x25, x7, 0x0000000000000000, 0xe7e6e5e4e3e2e1e0, 0xefeeedecebeae9e8, x2, 40, x5) - -inst_25: -// rs1==x29, rs2==x4, rd==x28, rs1_val == 0xdfdedddcdbdad9d8 and rs2_val == 0xd7d6d5d4d3d2d1d0 -// opcode: aes64dsm ; op1:x29; op2:x4; dest:x28; op1val:0xdfdedddcdbdad9d8; op2val:0xd7d6d5d4d3d2d1d0 -TEST_RR_OP(aes64dsm, x28, x29, x4, 0x0000000000000000, 0xdfdedddcdbdad9d8, 0xd7d6d5d4d3d2d1d0, x2, 48, x5) - -inst_26: -// rs1==x26, rs2==x23, rd==x17, rs1_val == 0xd7d6d5d4d3d2d1d0 and rs2_val == 0xdfdedddcdbdad9d8 -// opcode: aes64dsm ; op1:x26; op2:x23; dest:x17; op1val:0xd7d6d5d4d3d2d1d0; op2val:0xdfdedddcdbdad9d8 -TEST_RR_OP(aes64dsm, x17, x26, x23, 0x0000000000000000, 0xd7d6d5d4d3d2d1d0, 0xdfdedddcdbdad9d8, x2, 56, x5) - -inst_27: -// rs1==x30, rs2==x3, rd==x8, rs1_val == 0xcfcecdcccbcac9c8 and rs2_val == 0xc7c6c5c4c3c2c1c0 -// opcode: aes64dsm ; op1:x30; op2:x3; dest:x8; op1val:0xcfcecdcccbcac9c8; op2val:0xc7c6c5c4c3c2c1c0 -TEST_RR_OP(aes64dsm, x8, x30, x3, 0x0000000000000000, 0xcfcecdcccbcac9c8, 0xc7c6c5c4c3c2c1c0, x2, 64, x5) - -inst_28: -// rs1==x8, rs2==x25, rd==x27, rs1_val == 0xc7c6c5c4c3c2c1c0 and rs2_val == 0xcfcecdcccbcac9c8 -// opcode: aes64dsm ; op1:x8; op2:x25; dest:x27; op1val:0xc7c6c5c4c3c2c1c0; op2val:0xcfcecdcccbcac9c8 -TEST_RR_OP(aes64dsm, x27, x8, x25, 0x0000000000000000, 0xc7c6c5c4c3c2c1c0, 0xcfcecdcccbcac9c8, x2, 72, x5) - -inst_29: -// rs1==x31, rs2==x0, rd==x18, rs1_val == 0xbfbebdbcbbbab9b8 and rs2_val == 0xb7b6b5b4b3b2b1b0 -// opcode: aes64dsm ; op1:x31; op2:x0; dest:x18; op1val:0xbfbebdbcbbbab9b8; op2val:0x0 -TEST_RR_OP(aes64dsm, x18, x31, x0, 0x0000000000000000, 0xbfbebdbcbbbab9b8, 0x0, x2, 80, x5) - -inst_30: -// rs1==x16, rs2==x14, rd==x10, rs1_val == 0xb7b6b5b4b3b2b1b0 and rs2_val == 0xbfbebdbcbbbab9b8 -// opcode: aes64dsm ; op1:x16; op2:x14; dest:x10; op1val:0xb7b6b5b4b3b2b1b0; op2val:0xbfbebdbcbbbab9b8 -TEST_RR_OP(aes64dsm, x10, x16, x14, 0x0000000000000000, 0xb7b6b5b4b3b2b1b0, 0xbfbebdbcbbbab9b8, x2, 88, x5) - -inst_31: -// rs1==x3, rs2==x1, rd==x14, rs1_val == 0xafaeadacabaaa9a8 and rs2_val == 0xa7a6a5a4a3a2a1a0 -// opcode: aes64dsm ; op1:x3; op2:x1; dest:x14; op1val:0xafaeadacabaaa9a8; op2val:0xa7a6a5a4a3a2a1a0 -TEST_RR_OP(aes64dsm, x14, x3, x1, 0x0000000000000000, 0xafaeadacabaaa9a8, 0xa7a6a5a4a3a2a1a0, x2, 96, x5) - -inst_32: -// rs1_val == 0xa7a6a5a4a3a2a1a0 and rs2_val == 0xafaeadacabaaa9a8 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0xa7a6a5a4a3a2a1a0; op2val:0xafaeadacabaaa9a8 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0xa7a6a5a4a3a2a1a0, 0xafaeadacabaaa9a8, x2, 104, x5) - -inst_33: -// rs1_val == 0x9f9e9d9c9b9a9998 and rs2_val == 0x9796959493929190 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x9f9e9d9c9b9a9998; op2val:0x9796959493929190 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x9f9e9d9c9b9a9998, 0x9796959493929190, x2, 112, x5) - -inst_34: -// rs1_val == 0x9796959493929190 and rs2_val == 0x9f9e9d9c9b9a9998 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x9796959493929190; op2val:0x9f9e9d9c9b9a9998 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x9796959493929190, 0x9f9e9d9c9b9a9998, x2, 120, x5) - -inst_35: -// rs1_val == 0x8f8e8d8c8b8a8988 and rs2_val == 0x8786858483828180 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x8f8e8d8c8b8a8988; op2val:0x8786858483828180 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x8f8e8d8c8b8a8988, 0x8786858483828180, x2, 128, x5) - -inst_36: -// rs1_val == 0x8786858483828180 and rs2_val == 0x8f8e8d8c8b8a8988 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x8786858483828180; op2val:0x8f8e8d8c8b8a8988 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x8786858483828180, 0x8f8e8d8c8b8a8988, x2, 136, x5) - -inst_37: -// rs1_val == 0x7f7e7d7c7b7a7978 and rs2_val == 0x7776757473727170 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x7f7e7d7c7b7a7978; op2val:0x7776757473727170 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x7f7e7d7c7b7a7978, 0x7776757473727170, x2, 144, x5) - -inst_38: -// rs1_val == 0x7776757473727170 and rs2_val == 0x7f7e7d7c7b7a7978 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x7776757473727170; op2val:0x7f7e7d7c7b7a7978 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x7776757473727170, 0x7f7e7d7c7b7a7978, x2, 152, x5) - -inst_39: -// rs1_val == 0x6f6e6d6c6b6a6968 and rs2_val == 0x6766656463626160 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x6f6e6d6c6b6a6968; op2val:0x6766656463626160 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x6f6e6d6c6b6a6968, 0x6766656463626160, x2, 160, x5) - -inst_40: -// rs1_val == 0x6766656463626160 and rs2_val == 0x6f6e6d6c6b6a6968 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x6766656463626160; op2val:0x6f6e6d6c6b6a6968 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x6766656463626160, 0x6f6e6d6c6b6a6968, x2, 168, x5) - -inst_41: -// rs1_val == 0x5f5e5d5c5b5a5958 and rs2_val == 0x5756555453525150 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x5f5e5d5c5b5a5958; op2val:0x5756555453525150 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x5f5e5d5c5b5a5958, 0x5756555453525150, x2, 176, x5) - -inst_42: -// rs1_val == 0x5756555453525150 and rs2_val == 0x5f5e5d5c5b5a5958 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x5756555453525150; op2val:0x5f5e5d5c5b5a5958 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x5756555453525150, 0x5f5e5d5c5b5a5958, x2, 184, x5) - -inst_43: -// rs1_val == 0x4f4e4d4c4b4a4948 and rs2_val == 0x4746454443424140 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x4f4e4d4c4b4a4948; op2val:0x4746454443424140 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x4f4e4d4c4b4a4948, 0x4746454443424140, x2, 192, x5) - -inst_44: -// rs1_val == 0x4746454443424140 and rs2_val == 0x4f4e4d4c4b4a4948 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x4746454443424140; op2val:0x4f4e4d4c4b4a4948 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x4746454443424140, 0x4f4e4d4c4b4a4948, x2, 200, x5) - -inst_45: -// rs1_val == 0x3f3e3d3c3b3a3938 and rs2_val == 0x3736353433323130 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x3f3e3d3c3b3a3938; op2val:0x3736353433323130 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x3f3e3d3c3b3a3938, 0x3736353433323130, x2, 208, x5) - -inst_46: -// rs1_val == 0x3736353433323130 and rs2_val == 0x3f3e3d3c3b3a3938 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x3736353433323130; op2val:0x3f3e3d3c3b3a3938 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x3736353433323130, 0x3f3e3d3c3b3a3938, x2, 216, x5) - -inst_47: -// rs1_val == 0x2f2e2d2c2b2a2928 and rs2_val == 0x2726252423222120 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x2f2e2d2c2b2a2928; op2val:0x2726252423222120 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x2f2e2d2c2b2a2928, 0x2726252423222120, x2, 224, x5) - -inst_48: -// rs1_val == 0x2726252423222120 and rs2_val == 0x2f2e2d2c2b2a2928 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x2726252423222120; op2val:0x2f2e2d2c2b2a2928 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x2726252423222120, 0x2f2e2d2c2b2a2928, x2, 232, x5) - -inst_49: -// rs1_val == 0x1f1e1d1c1b1a1918 and rs2_val == 0x1716151413121110 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x1f1e1d1c1b1a1918; op2val:0x1716151413121110 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x1f1e1d1c1b1a1918, 0x1716151413121110, x2, 240, x5) - -inst_50: -// rs1_val == 0x1716151413121110 and rs2_val == 0x1f1e1d1c1b1a1918 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x1716151413121110; op2val:0x1f1e1d1c1b1a1918 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x1716151413121110, 0x1f1e1d1c1b1a1918, x2, 248, x5) - -inst_51: -// rs1_val == 0x0f0e0d0c0b0a0908 and rs2_val == 0x0706050403020100 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0xf0e0d0c0b0a0908; op2val:0x706050403020100 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0xf0e0d0c0b0a0908, 0x706050403020100, x2, 256, x5) - -inst_52: -// rs1_val == 0x0706050403020100 and rs2_val == 0x0f0e0d0c0b0a0908 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x706050403020100; op2val:0xf0e0d0c0b0a0908 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x706050403020100, 0xf0e0d0c0b0a0908, x2, 264, x5) - -inst_53: -// rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x6af29145404fd8ed; op2val:0x990e75eafff569c2 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x6af29145404fd8ed, 0x990e75eafff569c2, x2, 272, x5) - -inst_54: -// rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x987daa20b858e304; op2val:0x1aa1beebefb902cb -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x987daa20b858e304, 0x1aa1beebefb902cb, x2, 280, x5) - -inst_55: -// rs1_val == 0x40a5ff526f38a9c7 and rs2_val == 0xb6f9706fb4f741aa -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0x40a5ff526f38a9c7; op2val:0xb6f9706fb4f741aa -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0x40a5ff526f38a9c7, 0xb6f9706fb4f741aa, x2, 288, x5) - -inst_56: -// rs1_val == 0xbfbebdbcbbbab9b8 and rs2_val == 0xb7b6b5b4b3b2b1b0 -// opcode: aes64dsm ; op1:x10; op2:x11; dest:x12; op1val:0xbfbebdbcbbbab9b8; op2val:0xb7b6b5b4b3b2b1b0 -TEST_RR_OP(aes64dsm, x12, x10, x11, 0x0000000000000000, 0xbfbebdbcbbbab9b8, 0xb7b6b5b4b3b2b1b0, x2, 296, x5) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 19*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 38*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-rwp1.S deleted file mode 100644 index 09a5cc8b1..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-rwp1.S +++ /dev/null @@ -1,392 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",aes64dsm) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",aes64dsm) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// 1st Instruction => rs1 = x1; rs2 = x2 | 2nd Instruction => rs1 = x2; rs2 = x1 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x1; op2:x2; dest1:x3; dest2:x4; dest3:x5; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x1, x1, x2; -aes64dsm x3, x1, x2; -aes64dsm x4, x2, x1; -xor x5, x4, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x4,8); -RVTEST_SIGUPD(x31,x5,16); - -inst_1: -// 1st Instruction => rs1 = x2; rs2 = x3 | 2nd Instruction => rs1 = x3; rs2 = x2 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x2; op2:x3; dest1:x4; dest2:x5; dest3:x6; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x2, x2, x3; -aes64dsm x4, x2, x3; -aes64dsm x5, x3, x2; -xor x6, x5, x3; -RVTEST_SIGUPD(x31,x4,24); -RVTEST_SIGUPD(x31,x5,32); -RVTEST_SIGUPD(x31,x6,40); - -inst_2: -// 1st Instruction => rs1 = x3; rs2 = x4 | 2nd Instruction => rs1 = x4; rs2 = x3 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x3; op2:x4; dest1:x5; dest2:x6; dest3:x7; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x3, x3, x4; -aes64dsm x5, x3, x4; -aes64dsm x6, x4, x3; -xor x7, x6, x4; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); -RVTEST_SIGUPD(x31,x7,64); - -inst_3: -// 1st Instruction => rs1 = x4; rs2 = x5 | 2nd Instruction => rs1 = x5; rs2 = x4 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x4; op2:x5; dest1:x6; dest2:x7; dest3:x8; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x4, x4, x5; -aes64dsm x6, x4, x5; -aes64dsm x7, x5, x4; -xor x8, x7, x5; -RVTEST_SIGUPD(x31,x6,72); -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_4: -// 1st Instruction => rs1 = x5; rs2 = x6 | 2nd Instruction => rs1 = x6; rs2 = x5 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x5; op2:x6; dest1:x7; dest2:x8; dest3:x9; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x5, x5, x6; -aes64dsm x7, x5, x6; -aes64dsm x8, x6, x5; -xor x9, x8, x6; -RVTEST_SIGUPD(x31,x7,96); -RVTEST_SIGUPD(x31,x8,104); -RVTEST_SIGUPD(x31,x9,112); - -inst_5: -// 1st Instruction => rs1 = x6; rs2 = x7 | 2nd Instruction => rs1 = x7; rs2 = x6 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x6; op2:x7; dest1:x8; dest2:x9; dest3:x10; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x6, x6, x7; -aes64dsm x8, x6, x7; -aes64dsm x9, x7, x6; -xor x10, x9, x7; -RVTEST_SIGUPD(x31,x8,120); -RVTEST_SIGUPD(x31,x9,128); -RVTEST_SIGUPD(x31,x10,136); - -inst_6: -// 1st Instruction => rs1 = x7; rs2 = x8 | 2nd Instruction => rs1 = x8; rs2 = x7 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x7; op2:x8; dest1:x9; dest2:x10; dest3:x11; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x7, x7, x8; -aes64dsm x9, x7, x8; -aes64dsm x10, x8, x7; -xor x11, x10, x8; -RVTEST_SIGUPD(x31,x9,144); -RVTEST_SIGUPD(x31,x10,152); -RVTEST_SIGUPD(x31,x11,160); - -inst_7: -// 1st Instruction => rs1 = x8; rs2 = x9 | 2nd Instruction => rs1 = x9; rs2 = x8 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x8; op2:x9; dest1:x10; dest2:x11; dest3:x12; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x8, x8, x9; -aes64dsm x10, x8, x9; -aes64dsm x11, x9, x8; -xor x12, x11, x9; -RVTEST_SIGUPD(x31,x10,168); -RVTEST_SIGUPD(x31,x11,176); -RVTEST_SIGUPD(x31,x12,184); - -inst_8: -// 1st Instruction => rs1 = x9; rs2 = x10 | 2nd Instruction => rs1 = x10; rs2 = x9 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x9; op2:x10; dest1:x11; dest2:x12; dest3:x13; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x9, x9, x10; -aes64dsm x11, x9, x10; -aes64dsm x12, x10, x9; -xor x13, x12, x10; -RVTEST_SIGUPD(x31,x11,192); -RVTEST_SIGUPD(x31,x12,200); -RVTEST_SIGUPD(x31,x13,208); - -inst_9: -// 1st Instruction => rs1 = x10; rs2 = x11 | 2nd Instruction => rs1 = x11; rs2 = x10 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x10; op2:x11; dest1:x12; dest2:x13; dest3:x14; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x10, x10, x11; -aes64dsm x12, x10, x11; -aes64dsm x13, x11, x10; -xor x14, x13, x11; -RVTEST_SIGUPD(x31,x12,216); -RVTEST_SIGUPD(x31,x13,224); -RVTEST_SIGUPD(x31,x14,232); - -inst_10: -// 1st Instruction => rs1 = x11; rs2 = x12 | 2nd Instruction => rs1 = x12; rs2 = x11 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x11; op2:x12; dest1:x13; dest2:x14; dest3:x15; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x11, x11, x12; -aes64dsm x13, x11, x12; -aes64dsm x14, x12, x11; -xor x15, x14, x12; -RVTEST_SIGUPD(x31,x13,240); -RVTEST_SIGUPD(x31,x14,248); -RVTEST_SIGUPD(x31,x15,256); - -inst_11: -// 1st Instruction => rs1 = x12; rs2 = x13 | 2nd Instruction => rs1 = x13; rs2 = x12 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x12; op2:x13; dest1:x14; dest2:x15; dest3:x16; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x12, x12, x13; -aes64dsm x14, x12, x13; -aes64dsm x15, x13, x12; -xor x16, x15, x13; -RVTEST_SIGUPD(x31,x14,264); -RVTEST_SIGUPD(x31,x15,272); -RVTEST_SIGUPD(x31,x16,280); - -inst_12: -// 1st Instruction => rs1 = x13; rs2 = x14 | 2nd Instruction => rs1 = x14; rs2 = x13 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x13; op2:x14; dest1:x15; dest2:x16; dest3:x17; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x13, x13, x14; -aes64dsm x15, x13, x14; -aes64dsm x16, x14, x13; -xor x17, x16, x14; -RVTEST_SIGUPD(x31,x15,288); -RVTEST_SIGUPD(x31,x16,296); -RVTEST_SIGUPD(x31,x17,304); - -inst_13: -// 1st Instruction => rs1 = x14; rs2 = x15 | 2nd Instruction => rs1 = x15; rs2 = x14 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x14; op2:x15; dest1:x16; dest2:x17; dest3:x18; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x14, x14, x15; -aes64dsm x16, x14, x15; -aes64dsm x17, x15, x14; -xor x18, x17, x15; -RVTEST_SIGUPD(x31,x16,312); -RVTEST_SIGUPD(x31,x17,320); -RVTEST_SIGUPD(x31,x18,328); - -inst_14: -// 1st Instruction => rs1 = x15; rs2 = x16 | 2nd Instruction => rs1 = x16; rs2 = x15 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x15; op2:x16; dest1:x17; dest2:x18; dest3:x19; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x15, x15, x16; -aes64dsm x17, x15, x16; -aes64dsm x18, x16, x15; -xor x19, x18, x16; -RVTEST_SIGUPD(x31,x17,336); -RVTEST_SIGUPD(x31,x18,344); -RVTEST_SIGUPD(x31,x19,352); - -inst_15: -// 1st Instruction => rs1 = x16; rs2 = x17 | 2nd Instruction => rs1 = x17; rs2 = x16 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x16; op2:x17; dest1:x18; dest2:x19; dest3:x20; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x16, x16, x17; -aes64dsm x18, x16, x17; -aes64dsm x19, x17, x16; -xor x20, x19, x17; -RVTEST_SIGUPD(x31,x18,360); -RVTEST_SIGUPD(x31,x19,368); -RVTEST_SIGUPD(x31,x20,376); - -inst_16: -// 1st Instruction => rs1 = x17; rs2 = x18 | 2nd Instruction => rs1 = x18; rs2 = x17 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x17; op2:x18; dest1:x19; dest2:x20; dest3:x21; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x17, x17, x18; -aes64dsm x19, x17, x18; -aes64dsm x20, x18, x17; -xor x21, x20, x18; -RVTEST_SIGUPD(x31,x19,384); -RVTEST_SIGUPD(x31,x20,392); -RVTEST_SIGUPD(x31,x21,400); - -inst_17: -// 1st Instruction => rs1 = x18; rs2 = x19 | 2nd Instruction => rs1 = x19; rs2 = x18 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x18; op2:x19; dest1:x20; dest2:x21; dest3:x22; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x18, x18, x19; -aes64dsm x20, x18, x19; -aes64dsm x21, x19, x18; -xor x22, x21, x19; -RVTEST_SIGUPD(x31,x20,408); -RVTEST_SIGUPD(x31,x21,416); -RVTEST_SIGUPD(x31,x22,424); - -inst_18: -// 1st Instruction => rs1 = x19; rs2 = x20 | 2nd Instruction => rs1 = x20; rs2 = x19 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x19; op2:x20; dest1:x21; dest2:x22; dest3:x23; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x19, x19, x20; -aes64dsm x21, x19, x20; -aes64dsm x22, x20, x19; -xor x23, x22, x20; -RVTEST_SIGUPD(x31,x21,432); -RVTEST_SIGUPD(x31,x22,440); -RVTEST_SIGUPD(x31,x23,448); - -inst_19: -// 1st Instruction => rs1 = x20; rs2 = x21 | 2nd Instruction => rs1 = x21; rs2 = x20 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x20; op2:x21; dest1:x22; dest2:x23; dest3:x24; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x20, x20, x21; -aes64dsm x22, x20, x21; -aes64dsm x23, x21, x20; -xor x24, x23, x21; -RVTEST_SIGUPD(x31,x22,456); -RVTEST_SIGUPD(x31,x23,464); -RVTEST_SIGUPD(x31,x24,472); - -inst_20: -// 1st Instruction => rs1 = x21; rs2 = x22 | 2nd Instruction => rs1 = x22; rs2 = x21 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x21; op2:x22; dest1:x23; dest2:x24; dest3:x25; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x21, x21, x22; -aes64dsm x23, x21, x22; -aes64dsm x24, x22, x21; -xor x25, x24, x22; -RVTEST_SIGUPD(x31,x23,480); -RVTEST_SIGUPD(x31,x24,488); -RVTEST_SIGUPD(x31,x25,496); - -inst_21: -// 1st Instruction => rs1 = x22; rs2 = x23 | 2nd Instruction => rs1 = x23; rs2 = x22 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x22; op2:x23; dest1:x24; dest2:x25; dest3:x26; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x22, x22, x23; -aes64dsm x24, x22, x23; -aes64dsm x25, x23, x22; -xor x26, x25, x23; -RVTEST_SIGUPD(x31,x24,504); -RVTEST_SIGUPD(x31,x25,512); -RVTEST_SIGUPD(x31,x26,520); - -inst_22: -// 1st Instruction => rs1 = x23; rs2 = x24 | 2nd Instruction => rs1 = x24; rs2 = x23 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x23; op2:x24; dest1:x25; dest2:x26; dest3:x27; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x23, x23, x24; -aes64dsm x25, x23, x24; -aes64dsm x26, x24, x23; -xor x27, x26, x24; -RVTEST_SIGUPD(x31,x25,528); -RVTEST_SIGUPD(x31,x26,536); -RVTEST_SIGUPD(x31,x27,544); - -inst_23: -// 1st Instruction => rs1 = x24; rs2 = x25 | 2nd Instruction => rs1 = x25; rs2 = x24 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x24; op2:x25; dest1:x26; dest2:x27; dest3:x28; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x24, x24, x25; -aes64dsm x26, x24, x25; -aes64dsm x27, x25, x24; -xor x28, x27, x25; -RVTEST_SIGUPD(x31,x26,552); -RVTEST_SIGUPD(x31,x27,560); -RVTEST_SIGUPD(x31,x28,568); - -inst_24: -// 1st Instruction => rs1 = x25; rs2 = x26 | 2nd Instruction => rs1 = x26; rs2 = x25 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x25; op2:x26; dest1:x27; dest2:x28; dest3:x29; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x25, x25, x26; -aes64dsm x27, x25, x26; -aes64dsm x28, x26, x25; -xor x29, x28, x26; -RVTEST_SIGUPD(x31,x27,576); -RVTEST_SIGUPD(x31,x28,584); -RVTEST_SIGUPD(x31,x29,592); - -inst_25: -// 1st Instruction => rs1 = x26; rs2 = x27 | 2nd Instruction => rs1 = x27; rs2 = x26 | Result of xor goes into aes64dsm & vice versa -// opcode: aes64dsm; op1:x26; op2:x27; dest1:x28; dest2:x29; dest3:x30; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x26, x26, x27; -aes64dsm x28, x26, x27; -aes64dsm x29, x27, x26; -xor x30, x29, x27; -RVTEST_SIGUPD(x31,x28,600); -RVTEST_SIGUPD(x31,x29,608); -RVTEST_SIGUPD(x31,x30,616); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 78*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-01.S deleted file mode 100644 index d5347e4f1..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-01.S +++ /dev/null @@ -1,367 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the aes64es instruction of the RISC-V extension for the aes64es covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",aes64es) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",aes64es) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKne.*);def TEST_CASE_1=True;",aes64es) - -RVTEST_SIGBASE( x6,signature_x6_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x7, rs1_val == 0x0706050403020100 and rs2_val == 0x0f0e0d0c0b0a0908 -// opcode: aes64es ; op1:x8; op2:x8; dest:x7; op1val:0x706050403020100; op2val:0x706050403020100 -TEST_RR_OP(aes64es, x7, x8, x8, 0x0000000000000000, 0x706050403020100, 0x706050403020100, x6, 0, x2) - -inst_1: -// rs1 == rs2 == rd, rs1==x19, rs2==x19, rd==x19, rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: aes64es ; op1:x19; op2:x19; dest:x19; op1val:0x6af29145404fd8ed; op2val:0x6af29145404fd8ed -TEST_RR_OP(aes64es, x19, x19, x19, 0x0000000000000000, 0x6af29145404fd8ed, 0x6af29145404fd8ed, x6, 8, x2) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x20, rd==x26, rs1_val == 0x6d23c0488a6019c1 and rs2_val == 0x860bdaad7447a088 -// opcode: aes64es ; op1:x10; op2:x20; dest:x26; op1val:0x6d23c0488a6019c1; op2val:0x860bdaad7447a088 -TEST_RR_OP(aes64es, x26, x10, x20, 0x0000000000000000, 0x6d23c0488a6019c1, 0x860bdaad7447a088, x6, 16, x2) - -inst_3: -// rs1 == rd != rs2, rs1==x13, rs2==x7, rd==x13, rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 -// opcode: aes64es ; op1:x13; op2:x7; dest:x13; op1val:0x1f7d946f17168ab3; op2val:0x66eae3d9bbb4f560 -TEST_RR_OP(aes64es, x13, x13, x7, 0x0000000000000000, 0x1f7d946f17168ab3, 0x66eae3d9bbb4f560, x6, 24, x2) - -inst_4: -// rs2 == rd != rs1, rs1==x29, rs2==x14, rd==x14, rs1_val == 0xef1d54db32b81f27 and rs2_val == 0x1826a804284fe16c -// opcode: aes64es ; op1:x29; op2:x14; dest:x14; op1val:0xef1d54db32b81f27; op2val:0x1826a804284fe16c -TEST_RR_OP(aes64es, x14, x29, x14, 0x0000000000000000, 0xef1d54db32b81f27, 0x1826a804284fe16c, x6, 32, x2) - -inst_5: -// rs1==x15, rs2==x22, rd==x1, rs1_val == 0xb694de26ad9e5431 and rs2_val == 0x293f9f6071fad878 -// opcode: aes64es ; op1:x15; op2:x22; dest:x1; op1val:0xb694de26ad9e5431; op2val:0x293f9f6071fad878 -TEST_RR_OP(aes64es, x1, x15, x22, 0x0000000000000000, 0xb694de26ad9e5431, 0x293f9f6071fad878, x6, 40, x2) - -inst_6: -// rs1==x30, rs2==x13, rd==x21, rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb -// opcode: aes64es ; op1:x30; op2:x13; dest:x21; op1val:0x987daa20b858e304; op2val:0x1aa1beebefb902cb -TEST_RR_OP(aes64es, x21, x30, x13, 0x0000000000000000, 0x987daa20b858e304, 0x1aa1beebefb902cb, x6, 48, x2) - -inst_7: -// rs1==x11, rs2==x9, rd==x20, rs1_val == 0x79bb7c341d3110bc and rs2_val == 0x8678f5e3d272e229 -// opcode: aes64es ; op1:x11; op2:x9; dest:x20; op1val:0x79bb7c341d3110bc; op2val:0x8678f5e3d272e229 -TEST_RR_OP(aes64es, x20, x11, x9, 0x0000000000000000, 0x79bb7c341d3110bc, 0x8678f5e3d272e229, x6, 56, x2) - -inst_8: -// rs1==x20, rs2==x12, rd==x17, rs1_val == 0xe2eaf4a09869be8c and rs2_val == 0x5b730cad91766f62 -// opcode: aes64es ; op1:x20; op2:x12; dest:x17; op1val:0xe2eaf4a09869be8c; op2val:0x5b730cad91766f62 -TEST_RR_OP(aes64es, x17, x20, x12, 0x0000000000000000, 0xe2eaf4a09869be8c, 0x5b730cad91766f62, x6, 64, x2) - -inst_9: -// rs1==x31, rs2==x29, rd==x8, rs1_val == 0xc0fe15dd0df9564b and rs2_val == 0xb22bbf7eb4c858fb -// opcode: aes64es ; op1:x31; op2:x29; dest:x8; op1val:0xc0fe15dd0df9564b; op2val:0xb22bbf7eb4c858fb -TEST_RR_OP(aes64es, x8, x31, x29, 0x0000000000000000, 0xc0fe15dd0df9564b, 0xb22bbf7eb4c858fb, x6, 72, x2) - -inst_10: -// rs1==x0, rs2==x15, rd==x23, rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 -// opcode: aes64es ; op1:x0; op2:x15; dest:x23; op1val:0x0; op2val:0x53a66ed1dc80d916 -TEST_RR_OP(aes64es, x23, x0, x15, 0x0000000000000000, 0x0, 0x53a66ed1dc80d916, x6, 80, x2) - -inst_11: -// rs1==x9, rs2==x16, rd==x12, rs1_val == 0x40a5ff526f38a9c7 and rs2_val == 0xb6f9706fb4f741aa -// opcode: aes64es ; op1:x9; op2:x16; dest:x12; op1val:0x40a5ff526f38a9c7; op2val:0xb6f9706fb4f741aa -TEST_RR_OP(aes64es, x12, x9, x16, 0x0000000000000000, 0x40a5ff526f38a9c7, 0xb6f9706fb4f741aa, x6, 88, x2) - -inst_12: -// rs1==x17, rs2==x28, rd==x5, rs1_val == 0x9bedfe390d6ddd9d and rs2_val == 0xd05668ae0fdb82bc -// opcode: aes64es ; op1:x17; op2:x28; dest:x5; op1val:0x9bedfe390d6ddd9d; op2val:0xd05668ae0fdb82bc -TEST_RR_OP(aes64es, x5, x17, x28, 0x0000000000000000, 0x9bedfe390d6ddd9d, 0xd05668ae0fdb82bc, x6, 96, x2) - -inst_13: -// rs1==x18, rs2==x1, rd==x4, rs1_val == 0xd75739f82ac177c6 and rs2_val == 0xaa6bb2bde9ed477d -// opcode: aes64es ; op1:x18; op2:x1; dest:x4; op1val:0xd75739f82ac177c6; op2val:0xaa6bb2bde9ed477d -TEST_RR_OP(aes64es, x4, x18, x1, 0x0000000000000000, 0xd75739f82ac177c6, 0xaa6bb2bde9ed477d, x6, 104, x2) - -inst_14: -// rs1==x25, rs2==x4, rd==x31, rs1_val == 0x9a4e9ef10171f4df and rs2_val == 0x299c3bcf90efb625 -// opcode: aes64es ; op1:x25; op2:x4; dest:x31; op1val:0x9a4e9ef10171f4df; op2val:0x299c3bcf90efb625 -TEST_RR_OP(aes64es, x31, x25, x4, 0x0000000000000000, 0x9a4e9ef10171f4df, 0x299c3bcf90efb625, x6, 112, x2) - -inst_15: -// rs1==x7, rs2==x17, rd==x10, rs1_val == 0xd169a3f8cad5e297 and rs2_val == 0x1fc493caa371db42 -// opcode: aes64es ; op1:x7; op2:x17; dest:x10; op1val:0xd169a3f8cad5e297; op2val:0x1fc493caa371db42 -TEST_RR_OP(aes64es, x10, x7, x17, 0x0000000000000000, 0xd169a3f8cad5e297, 0x1fc493caa371db42, x6, 120, x2) - -inst_16: -// rs1==x28, rs2==x23, rd==x9, rs1_val == 0xd5b9fe5cf69bdcf3 and rs2_val == 0xf4c30307672f666d -// opcode: aes64es ; op1:x28; op2:x23; dest:x9; op1val:0xd5b9fe5cf69bdcf3; op2val:0xf4c30307672f666d -TEST_RR_OP(aes64es, x9, x28, x23, 0x0000000000000000, 0xd5b9fe5cf69bdcf3, 0xf4c30307672f666d, x6, 128, x2) - -inst_17: -// rs1==x23, rs2==x3, rd==x28, rs1_val == 0xe4921bf73047c198 and rs2_val == 0xa0569d765ebc64cb -// opcode: aes64es ; op1:x23; op2:x3; dest:x28; op1val:0xe4921bf73047c198; op2val:0xa0569d765ebc64cb -TEST_RR_OP(aes64es, x28, x23, x3, 0x0000000000000000, 0xe4921bf73047c198, 0xa0569d765ebc64cb, x6, 136, x2) - -inst_18: -// rs1==x12, rs2==x18, rd==x2, rs1_val == 0xfcc1b543c49cd65b and rs2_val == 0x2daf9ac7f5faf207 -// opcode: aes64es ; op1:x12; op2:x18; dest:x2; op1val:0xfcc1b543c49cd65b; op2val:0x2daf9ac7f5faf207 -TEST_RR_OP(aes64es, x2, x12, x18, 0x0000000000000000, 0xfcc1b543c49cd65b, 0x2daf9ac7f5faf207, x6, 144, x8) -RVTEST_SIGBASE( x7,signature_x7_0) - -inst_19: -// rs1==x26, rs2==x10, rd==x29, rs1_val == 0x436f40f274b8de87 and rs2_val == 0x3459294ef273b44c -// opcode: aes64es ; op1:x26; op2:x10; dest:x29; op1val:0x436f40f274b8de87; op2val:0x3459294ef273b44c -TEST_RR_OP(aes64es, x29, x26, x10, 0x0000000000000000, 0x436f40f274b8de87, 0x3459294ef273b44c, x7, 0, x8) - -inst_20: -// rs1==x24, rs2==x27, rd==x22, rs1_val == 0x75a3adb3254a9493 and rs2_val == 0xc5521660f3a3c571 -// opcode: aes64es ; op1:x24; op2:x27; dest:x22; op1val:0x75a3adb3254a9493; op2val:0xc5521660f3a3c571 -TEST_RR_OP(aes64es, x22, x24, x27, 0x0000000000000000, 0x75a3adb3254a9493, 0xc5521660f3a3c571, x7, 8, x8) - -inst_21: -// rs1==x27, rs2==x31, rd==x3, rs1_val == 0xfffefdfcfbfaf9f8 and rs2_val == 0xf7f6f5f4f3f2f1f0 -// opcode: aes64es ; op1:x27; op2:x31; dest:x3; op1val:0xfffefdfcfbfaf9f8; op2val:0xf7f6f5f4f3f2f1f0 -TEST_RR_OP(aes64es, x3, x27, x31, 0x0000000000000000, 0xfffefdfcfbfaf9f8, 0xf7f6f5f4f3f2f1f0, x7, 16, x8) - -inst_22: -// rs1==x1, rs2==x2, rd==x24, rs1_val == 0xf7f6f5f4f3f2f1f0 and rs2_val == 0xfffefdfcfbfaf9f8 -// opcode: aes64es ; op1:x1; op2:x2; dest:x24; op1val:0xf7f6f5f4f3f2f1f0; op2val:0xfffefdfcfbfaf9f8 -TEST_RR_OP(aes64es, x24, x1, x2, 0x0000000000000000, 0xf7f6f5f4f3f2f1f0, 0xfffefdfcfbfaf9f8, x7, 24, x8) - -inst_23: -// rs1==x22, rs2==x26, rd==x16, rs1_val == 0xefeeedecebeae9e8 and rs2_val == 0xe7e6e5e4e3e2e1e0 -// opcode: aes64es ; op1:x22; op2:x26; dest:x16; op1val:0xefeeedecebeae9e8; op2val:0xe7e6e5e4e3e2e1e0 -TEST_RR_OP(aes64es, x16, x22, x26, 0x0000000000000000, 0xefeeedecebeae9e8, 0xe7e6e5e4e3e2e1e0, x7, 32, x8) - -inst_24: -// rs1==x3, rs2==x21, rd==x15, rs1_val == 0xe7e6e5e4e3e2e1e0 and rs2_val == 0xefeeedecebeae9e8 -// opcode: aes64es ; op1:x3; op2:x21; dest:x15; op1val:0xe7e6e5e4e3e2e1e0; op2val:0xefeeedecebeae9e8 -TEST_RR_OP(aes64es, x15, x3, x21, 0x0000000000000000, 0xe7e6e5e4e3e2e1e0, 0xefeeedecebeae9e8, x7, 40, x8) - -inst_25: -// rs1==x14, rs2==x6, rd==x0, rs1_val == 0xdfdedddcdbdad9d8 and rs2_val == 0xd7d6d5d4d3d2d1d0 -// opcode: aes64es ; op1:x14; op2:x6; dest:x0; op1val:0xdfdedddcdbdad9d8; op2val:0xd7d6d5d4d3d2d1d0 -TEST_RR_OP(aes64es, x0, x14, x6, 0x0000000000000000, 0xdfdedddcdbdad9d8, 0xd7d6d5d4d3d2d1d0, x7, 48, x8) - -inst_26: -// rs1==x5, rs2==x24, rd==x6, rs1_val == 0xd7d6d5d4d3d2d1d0 and rs2_val == 0xdfdedddcdbdad9d8 -// opcode: aes64es ; op1:x5; op2:x24; dest:x6; op1val:0xd7d6d5d4d3d2d1d0; op2val:0xdfdedddcdbdad9d8 -TEST_RR_OP(aes64es, x6, x5, x24, 0x0000000000000000, 0xd7d6d5d4d3d2d1d0, 0xdfdedddcdbdad9d8, x7, 56, x8) - -inst_27: -// rs1==x16, rs2==x0, rd==x18, rs1_val == 0xcfcecdcccbcac9c8 and rs2_val == 0xc7c6c5c4c3c2c1c0 -// opcode: aes64es ; op1:x16; op2:x0; dest:x18; op1val:0xcfcecdcccbcac9c8; op2val:0x0 -TEST_RR_OP(aes64es, x18, x16, x0, 0x0000000000000000, 0xcfcecdcccbcac9c8, 0x0, x7, 64, x8) - -inst_28: -// rs1==x2, rs2==x25, rd==x30, rs1_val == 0xc7c6c5c4c3c2c1c0 and rs2_val == 0xcfcecdcccbcac9c8 -// opcode: aes64es ; op1:x2; op2:x25; dest:x30; op1val:0xc7c6c5c4c3c2c1c0; op2val:0xcfcecdcccbcac9c8 -TEST_RR_OP(aes64es, x30, x2, x25, 0x0000000000000000, 0xc7c6c5c4c3c2c1c0, 0xcfcecdcccbcac9c8, x7, 72, x8) - -inst_29: -// rs1==x4, rs2==x30, rd==x11, rs1_val == 0xbfbebdbcbbbab9b8 and rs2_val == 0xb7b6b5b4b3b2b1b0 -// opcode: aes64es ; op1:x4; op2:x30; dest:x11; op1val:0xbfbebdbcbbbab9b8; op2val:0xb7b6b5b4b3b2b1b0 -TEST_RR_OP(aes64es, x11, x4, x30, 0x0000000000000000, 0xbfbebdbcbbbab9b8, 0xb7b6b5b4b3b2b1b0, x7, 80, x8) - -inst_30: -// rs1==x6, rs2==x11, rd==x25, rs1_val == 0xb7b6b5b4b3b2b1b0 and rs2_val == 0xbfbebdbcbbbab9b8 -// opcode: aes64es ; op1:x6; op2:x11; dest:x25; op1val:0xb7b6b5b4b3b2b1b0; op2val:0xbfbebdbcbbbab9b8 -TEST_RR_OP(aes64es, x25, x6, x11, 0x0000000000000000, 0xb7b6b5b4b3b2b1b0, 0xbfbebdbcbbbab9b8, x7, 88, x8) - -inst_31: -// rs1==x21, rs2==x5, rd==x27, rs1_val == 0xafaeadacabaaa9a8 and rs2_val == 0xa7a6a5a4a3a2a1a0 -// opcode: aes64es ; op1:x21; op2:x5; dest:x27; op1val:0xafaeadacabaaa9a8; op2val:0xa7a6a5a4a3a2a1a0 -TEST_RR_OP(aes64es, x27, x21, x5, 0x0000000000000000, 0xafaeadacabaaa9a8, 0xa7a6a5a4a3a2a1a0, x7, 96, x8) - -inst_32: -// rs1_val == 0xa7a6a5a4a3a2a1a0 and rs2_val == 0xafaeadacabaaa9a8 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0xa7a6a5a4a3a2a1a0; op2val:0xafaeadacabaaa9a8 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0xa7a6a5a4a3a2a1a0, 0xafaeadacabaaa9a8, x7, 104, x8) - -inst_33: -// rs1_val == 0x9f9e9d9c9b9a9998 and rs2_val == 0x9796959493929190 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x9f9e9d9c9b9a9998; op2val:0x9796959493929190 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x9f9e9d9c9b9a9998, 0x9796959493929190, x7, 112, x8) - -inst_34: -// rs1_val == 0x9796959493929190 and rs2_val == 0x9f9e9d9c9b9a9998 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x9796959493929190; op2val:0x9f9e9d9c9b9a9998 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x9796959493929190, 0x9f9e9d9c9b9a9998, x7, 120, x8) - -inst_35: -// rs1_val == 0x8f8e8d8c8b8a8988 and rs2_val == 0x8786858483828180 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x8f8e8d8c8b8a8988; op2val:0x8786858483828180 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x8f8e8d8c8b8a8988, 0x8786858483828180, x7, 128, x8) - -inst_36: -// rs1_val == 0x8786858483828180 and rs2_val == 0x8f8e8d8c8b8a8988 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x8786858483828180; op2val:0x8f8e8d8c8b8a8988 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x8786858483828180, 0x8f8e8d8c8b8a8988, x7, 136, x8) - -inst_37: -// rs1_val == 0x7f7e7d7c7b7a7978 and rs2_val == 0x7776757473727170 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x7f7e7d7c7b7a7978; op2val:0x7776757473727170 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x7f7e7d7c7b7a7978, 0x7776757473727170, x7, 144, x8) - -inst_38: -// rs1_val == 0x7776757473727170 and rs2_val == 0x7f7e7d7c7b7a7978 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x7776757473727170; op2val:0x7f7e7d7c7b7a7978 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x7776757473727170, 0x7f7e7d7c7b7a7978, x7, 152, x8) - -inst_39: -// rs1_val == 0x6f6e6d6c6b6a6968 and rs2_val == 0x6766656463626160 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x6f6e6d6c6b6a6968; op2val:0x6766656463626160 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x6f6e6d6c6b6a6968, 0x6766656463626160, x7, 160, x8) - -inst_40: -// rs1_val == 0x6766656463626160 and rs2_val == 0x6f6e6d6c6b6a6968 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x6766656463626160; op2val:0x6f6e6d6c6b6a6968 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x6766656463626160, 0x6f6e6d6c6b6a6968, x7, 168, x8) - -inst_41: -// rs1_val == 0x5f5e5d5c5b5a5958 and rs2_val == 0x5756555453525150 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x5f5e5d5c5b5a5958; op2val:0x5756555453525150 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x5f5e5d5c5b5a5958, 0x5756555453525150, x7, 176, x8) - -inst_42: -// rs1_val == 0x5756555453525150 and rs2_val == 0x5f5e5d5c5b5a5958 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x5756555453525150; op2val:0x5f5e5d5c5b5a5958 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x5756555453525150, 0x5f5e5d5c5b5a5958, x7, 184, x8) - -inst_43: -// rs1_val == 0x4f4e4d4c4b4a4948 and rs2_val == 0x4746454443424140 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x4f4e4d4c4b4a4948; op2val:0x4746454443424140 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x4f4e4d4c4b4a4948, 0x4746454443424140, x7, 192, x8) - -inst_44: -// rs1_val == 0x4746454443424140 and rs2_val == 0x4f4e4d4c4b4a4948 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x4746454443424140; op2val:0x4f4e4d4c4b4a4948 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x4746454443424140, 0x4f4e4d4c4b4a4948, x7, 200, x8) - -inst_45: -// rs1_val == 0x3f3e3d3c3b3a3938 and rs2_val == 0x3736353433323130 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x3f3e3d3c3b3a3938; op2val:0x3736353433323130 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x3f3e3d3c3b3a3938, 0x3736353433323130, x7, 208, x8) - -inst_46: -// rs1_val == 0x3736353433323130 and rs2_val == 0x3f3e3d3c3b3a3938 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x3736353433323130; op2val:0x3f3e3d3c3b3a3938 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x3736353433323130, 0x3f3e3d3c3b3a3938, x7, 216, x8) - -inst_47: -// rs1_val == 0x2f2e2d2c2b2a2928 and rs2_val == 0x2726252423222120 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x2f2e2d2c2b2a2928; op2val:0x2726252423222120 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x2f2e2d2c2b2a2928, 0x2726252423222120, x7, 224, x8) - -inst_48: -// rs1_val == 0x2726252423222120 and rs2_val == 0x2f2e2d2c2b2a2928 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x2726252423222120; op2val:0x2f2e2d2c2b2a2928 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x2726252423222120, 0x2f2e2d2c2b2a2928, x7, 232, x8) - -inst_49: -// rs1_val == 0x1f1e1d1c1b1a1918 and rs2_val == 0x1716151413121110 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x1f1e1d1c1b1a1918; op2val:0x1716151413121110 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x1f1e1d1c1b1a1918, 0x1716151413121110, x7, 240, x8) - -inst_50: -// rs1_val == 0x1716151413121110 and rs2_val == 0x1f1e1d1c1b1a1918 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x1716151413121110; op2val:0x1f1e1d1c1b1a1918 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x1716151413121110, 0x1f1e1d1c1b1a1918, x7, 248, x8) - -inst_51: -// rs1_val == 0x0f0e0d0c0b0a0908 and rs2_val == 0x0706050403020100 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0xf0e0d0c0b0a0908; op2val:0x706050403020100 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0xf0e0d0c0b0a0908, 0x706050403020100, x7, 256, x8) - -inst_52: -// rs1_val == 0x0706050403020100 and rs2_val == 0x0f0e0d0c0b0a0908 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x706050403020100; op2val:0xf0e0d0c0b0a0908 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x706050403020100, 0xf0e0d0c0b0a0908, x7, 264, x8) - -inst_53: -// rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x6af29145404fd8ed; op2val:0x990e75eafff569c2 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x6af29145404fd8ed, 0x990e75eafff569c2, x7, 272, x8) - -inst_54: -// rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0x4113ee60952acffe; op2val:0x53a66ed1dc80d916 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0x4113ee60952acffe, 0x53a66ed1dc80d916, x7, 280, x8) - -inst_55: -// rs1_val == 0xdfdedddcdbdad9d8 and rs2_val == 0xd7d6d5d4d3d2d1d0 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0xdfdedddcdbdad9d8; op2val:0xd7d6d5d4d3d2d1d0 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0xdfdedddcdbdad9d8, 0xd7d6d5d4d3d2d1d0, x7, 288, x8) - -inst_56: -// rs1_val == 0xcfcecdcccbcac9c8 and rs2_val == 0xc7c6c5c4c3c2c1c0 -// opcode: aes64es ; op1:x10; op2:x11; dest:x12; op1val:0xcfcecdcccbcac9c8; op2val:0xc7c6c5c4c3c2c1c0 -TEST_RR_OP(aes64es, x12, x10, x11, 0x0000000000000000, 0xcfcecdcccbcac9c8, 0xc7c6c5c4c3c2c1c0, x7, 296, x8) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x6_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x6_1: - .fill 19*(XLEN/32),4,0xdeadbeef - - -signature_x7_0: - .fill 38*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-rwp1.S deleted file mode 100644 index 9a08f8ffa..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-rwp1.S +++ /dev/null @@ -1,392 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",aes64es) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",aes64es) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// 1st Instruction => rs1 = x1; rs2 = x2 | 2nd Instruction => rs1 = x2; rs2 = x1 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x1; op2:x2; dest1:x3; dest2:x4; dest3:x5; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x1, x1, x2; -aes64es x3, x1, x2; -aes64es x4, x2, x1; -xor x5, x4, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x4,8); -RVTEST_SIGUPD(x31,x5,16); - -inst_1: -// 1st Instruction => rs1 = x2; rs2 = x3 | 2nd Instruction => rs1 = x3; rs2 = x2 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x2; op2:x3; dest1:x4; dest2:x5; dest3:x6; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x2, x2, x3; -aes64es x4, x2, x3; -aes64es x5, x3, x2; -xor x6, x5, x3; -RVTEST_SIGUPD(x31,x4,24); -RVTEST_SIGUPD(x31,x5,32); -RVTEST_SIGUPD(x31,x6,40); - -inst_2: -// 1st Instruction => rs1 = x3; rs2 = x4 | 2nd Instruction => rs1 = x4; rs2 = x3 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x3; op2:x4; dest1:x5; dest2:x6; dest3:x7; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x3, x3, x4; -aes64es x5, x3, x4; -aes64es x6, x4, x3; -xor x7, x6, x4; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); -RVTEST_SIGUPD(x31,x7,64); - -inst_3: -// 1st Instruction => rs1 = x4; rs2 = x5 | 2nd Instruction => rs1 = x5; rs2 = x4 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x4; op2:x5; dest1:x6; dest2:x7; dest3:x8; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x4, x4, x5; -aes64es x6, x4, x5; -aes64es x7, x5, x4; -xor x8, x7, x5; -RVTEST_SIGUPD(x31,x6,72); -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_4: -// 1st Instruction => rs1 = x5; rs2 = x6 | 2nd Instruction => rs1 = x6; rs2 = x5 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x5; op2:x6; dest1:x7; dest2:x8; dest3:x9; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x5, x5, x6; -aes64es x7, x5, x6; -aes64es x8, x6, x5; -xor x9, x8, x6; -RVTEST_SIGUPD(x31,x7,96); -RVTEST_SIGUPD(x31,x8,104); -RVTEST_SIGUPD(x31,x9,112); - -inst_5: -// 1st Instruction => rs1 = x6; rs2 = x7 | 2nd Instruction => rs1 = x7; rs2 = x6 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x6; op2:x7; dest1:x8; dest2:x9; dest3:x10; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x6, x6, x7; -aes64es x8, x6, x7; -aes64es x9, x7, x6; -xor x10, x9, x7; -RVTEST_SIGUPD(x31,x8,120); -RVTEST_SIGUPD(x31,x9,128); -RVTEST_SIGUPD(x31,x10,136); - -inst_6: -// 1st Instruction => rs1 = x7; rs2 = x8 | 2nd Instruction => rs1 = x8; rs2 = x7 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x7; op2:x8; dest1:x9; dest2:x10; dest3:x11; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x7, x7, x8; -aes64es x9, x7, x8; -aes64es x10, x8, x7; -xor x11, x10, x8; -RVTEST_SIGUPD(x31,x9,144); -RVTEST_SIGUPD(x31,x10,152); -RVTEST_SIGUPD(x31,x11,160); - -inst_7: -// 1st Instruction => rs1 = x8; rs2 = x9 | 2nd Instruction => rs1 = x9; rs2 = x8 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x8; op2:x9; dest1:x10; dest2:x11; dest3:x12; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x8, x8, x9; -aes64es x10, x8, x9; -aes64es x11, x9, x8; -xor x12, x11, x9; -RVTEST_SIGUPD(x31,x10,168); -RVTEST_SIGUPD(x31,x11,176); -RVTEST_SIGUPD(x31,x12,184); - -inst_8: -// 1st Instruction => rs1 = x9; rs2 = x10 | 2nd Instruction => rs1 = x10; rs2 = x9 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x9; op2:x10; dest1:x11; dest2:x12; dest3:x13; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x9, x9, x10; -aes64es x11, x9, x10; -aes64es x12, x10, x9; -xor x13, x12, x10; -RVTEST_SIGUPD(x31,x11,192); -RVTEST_SIGUPD(x31,x12,200); -RVTEST_SIGUPD(x31,x13,208); - -inst_9: -// 1st Instruction => rs1 = x10; rs2 = x11 | 2nd Instruction => rs1 = x11; rs2 = x10 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x10; op2:x11; dest1:x12; dest2:x13; dest3:x14; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x10, x10, x11; -aes64es x12, x10, x11; -aes64es x13, x11, x10; -xor x14, x13, x11; -RVTEST_SIGUPD(x31,x12,216); -RVTEST_SIGUPD(x31,x13,224); -RVTEST_SIGUPD(x31,x14,232); - -inst_10: -// 1st Instruction => rs1 = x11; rs2 = x12 | 2nd Instruction => rs1 = x12; rs2 = x11 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x11; op2:x12; dest1:x13; dest2:x14; dest3:x15; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x11, x11, x12; -aes64es x13, x11, x12; -aes64es x14, x12, x11; -xor x15, x14, x12; -RVTEST_SIGUPD(x31,x13,240); -RVTEST_SIGUPD(x31,x14,248); -RVTEST_SIGUPD(x31,x15,256); - -inst_11: -// 1st Instruction => rs1 = x12; rs2 = x13 | 2nd Instruction => rs1 = x13; rs2 = x12 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x12; op2:x13; dest1:x14; dest2:x15; dest3:x16; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x12, x12, x13; -aes64es x14, x12, x13; -aes64es x15, x13, x12; -xor x16, x15, x13; -RVTEST_SIGUPD(x31,x14,264); -RVTEST_SIGUPD(x31,x15,272); -RVTEST_SIGUPD(x31,x16,280); - -inst_12: -// 1st Instruction => rs1 = x13; rs2 = x14 | 2nd Instruction => rs1 = x14; rs2 = x13 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x13; op2:x14; dest1:x15; dest2:x16; dest3:x17; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x13, x13, x14; -aes64es x15, x13, x14; -aes64es x16, x14, x13; -xor x17, x16, x14; -RVTEST_SIGUPD(x31,x15,288); -RVTEST_SIGUPD(x31,x16,296); -RVTEST_SIGUPD(x31,x17,304); - -inst_13: -// 1st Instruction => rs1 = x14; rs2 = x15 | 2nd Instruction => rs1 = x15; rs2 = x14 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x14; op2:x15; dest1:x16; dest2:x17; dest3:x18; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x14, x14, x15; -aes64es x16, x14, x15; -aes64es x17, x15, x14; -xor x18, x17, x15; -RVTEST_SIGUPD(x31,x16,312); -RVTEST_SIGUPD(x31,x17,320); -RVTEST_SIGUPD(x31,x18,328); - -inst_14: -// 1st Instruction => rs1 = x15; rs2 = x16 | 2nd Instruction => rs1 = x16; rs2 = x15 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x15; op2:x16; dest1:x17; dest2:x18; dest3:x19; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x15, x15, x16; -aes64es x17, x15, x16; -aes64es x18, x16, x15; -xor x19, x18, x16; -RVTEST_SIGUPD(x31,x17,336); -RVTEST_SIGUPD(x31,x18,344); -RVTEST_SIGUPD(x31,x19,352); - -inst_15: -// 1st Instruction => rs1 = x16; rs2 = x17 | 2nd Instruction => rs1 = x17; rs2 = x16 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x16; op2:x17; dest1:x18; dest2:x19; dest3:x20; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x16, x16, x17; -aes64es x18, x16, x17; -aes64es x19, x17, x16; -xor x20, x19, x17; -RVTEST_SIGUPD(x31,x18,360); -RVTEST_SIGUPD(x31,x19,368); -RVTEST_SIGUPD(x31,x20,376); - -inst_16: -// 1st Instruction => rs1 = x17; rs2 = x18 | 2nd Instruction => rs1 = x18; rs2 = x17 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x17; op2:x18; dest1:x19; dest2:x20; dest3:x21; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x17, x17, x18; -aes64es x19, x17, x18; -aes64es x20, x18, x17; -xor x21, x20, x18; -RVTEST_SIGUPD(x31,x19,384); -RVTEST_SIGUPD(x31,x20,392); -RVTEST_SIGUPD(x31,x21,400); - -inst_17: -// 1st Instruction => rs1 = x18; rs2 = x19 | 2nd Instruction => rs1 = x19; rs2 = x18 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x18; op2:x19; dest1:x20; dest2:x21; dest3:x22; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x18, x18, x19; -aes64es x20, x18, x19; -aes64es x21, x19, x18; -xor x22, x21, x19; -RVTEST_SIGUPD(x31,x20,408); -RVTEST_SIGUPD(x31,x21,416); -RVTEST_SIGUPD(x31,x22,424); - -inst_18: -// 1st Instruction => rs1 = x19; rs2 = x20 | 2nd Instruction => rs1 = x20; rs2 = x19 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x19; op2:x20; dest1:x21; dest2:x22; dest3:x23; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x19, x19, x20; -aes64es x21, x19, x20; -aes64es x22, x20, x19; -xor x23, x22, x20; -RVTEST_SIGUPD(x31,x21,432); -RVTEST_SIGUPD(x31,x22,440); -RVTEST_SIGUPD(x31,x23,448); - -inst_19: -// 1st Instruction => rs1 = x20; rs2 = x21 | 2nd Instruction => rs1 = x21; rs2 = x20 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x20; op2:x21; dest1:x22; dest2:x23; dest3:x24; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x20, x20, x21; -aes64es x22, x20, x21; -aes64es x23, x21, x20; -xor x24, x23, x21; -RVTEST_SIGUPD(x31,x22,456); -RVTEST_SIGUPD(x31,x23,464); -RVTEST_SIGUPD(x31,x24,472); - -inst_20: -// 1st Instruction => rs1 = x21; rs2 = x22 | 2nd Instruction => rs1 = x22; rs2 = x21 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x21; op2:x22; dest1:x23; dest2:x24; dest3:x25; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x21, x21, x22; -aes64es x23, x21, x22; -aes64es x24, x22, x21; -xor x25, x24, x22; -RVTEST_SIGUPD(x31,x23,480); -RVTEST_SIGUPD(x31,x24,488); -RVTEST_SIGUPD(x31,x25,496); - -inst_21: -// 1st Instruction => rs1 = x22; rs2 = x23 | 2nd Instruction => rs1 = x23; rs2 = x22 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x22; op2:x23; dest1:x24; dest2:x25; dest3:x26; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x22, x22, x23; -aes64es x24, x22, x23; -aes64es x25, x23, x22; -xor x26, x25, x23; -RVTEST_SIGUPD(x31,x24,504); -RVTEST_SIGUPD(x31,x25,512); -RVTEST_SIGUPD(x31,x26,520); - -inst_22: -// 1st Instruction => rs1 = x23; rs2 = x24 | 2nd Instruction => rs1 = x24; rs2 = x23 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x23; op2:x24; dest1:x25; dest2:x26; dest3:x27; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x23, x23, x24; -aes64es x25, x23, x24; -aes64es x26, x24, x23; -xor x27, x26, x24; -RVTEST_SIGUPD(x31,x25,528); -RVTEST_SIGUPD(x31,x26,536); -RVTEST_SIGUPD(x31,x27,544); - -inst_23: -// 1st Instruction => rs1 = x24; rs2 = x25 | 2nd Instruction => rs1 = x25; rs2 = x24 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x24; op2:x25; dest1:x26; dest2:x27; dest3:x28; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x24, x24, x25; -aes64es x26, x24, x25; -aes64es x27, x25, x24; -xor x28, x27, x25; -RVTEST_SIGUPD(x31,x26,552); -RVTEST_SIGUPD(x31,x27,560); -RVTEST_SIGUPD(x31,x28,568); - -inst_24: -// 1st Instruction => rs1 = x25; rs2 = x26 | 2nd Instruction => rs1 = x26; rs2 = x25 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x25; op2:x26; dest1:x27; dest2:x28; dest3:x29; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x25, x25, x26; -aes64es x27, x25, x26; -aes64es x28, x26, x25; -xor x29, x28, x26; -RVTEST_SIGUPD(x31,x27,576); -RVTEST_SIGUPD(x31,x28,584); -RVTEST_SIGUPD(x31,x29,592); - -inst_25: -// 1st Instruction => rs1 = x26; rs2 = x27 | 2nd Instruction => rs1 = x27; rs2 = x26 | Result of xor goes into aes64es & vice versa -// opcode: aes64es; op1:x26; op2:x27; dest1:x28; dest2:x29; dest3:x30; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x26, x26, x27; -aes64es x28, x26, x27; -aes64es x29, x27, x26; -xor x30, x29, x27; -RVTEST_SIGUPD(x31,x28,600); -RVTEST_SIGUPD(x31,x29,608); -RVTEST_SIGUPD(x31,x30,616); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 78*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-01.S deleted file mode 100644 index bbb646755..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-01.S +++ /dev/null @@ -1,367 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the aes64esm instruction of the RISC-V extension for the aes64esm covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",aes64esm) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",aes64esm) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKne.*);def TEST_CASE_1=True;",aes64esm) - -RVTEST_SIGBASE( x10,signature_x10_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x18, rs2==x18, rd==x29, rs1_val == 0x0706050403020100 and rs2_val == 0x0f0e0d0c0b0a0908 -// opcode: aes64esm ; op1:x18; op2:x18; dest:x29; op1val:0x706050403020100; op2val:0x706050403020100 -TEST_RR_OP(aes64esm, x29, x18, x18, 0x0000000000000000, 0x706050403020100, 0x706050403020100, x10, 0, x4) - -inst_1: -// rs1 == rs2 == rd, rs1==x9, rs2==x9, rd==x9, rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: aes64esm ; op1:x9; op2:x9; dest:x9; op1val:0x6af29145404fd8ed; op2val:0x6af29145404fd8ed -TEST_RR_OP(aes64esm, x9, x9, x9, 0x0000000000000000, 0x6af29145404fd8ed, 0x6af29145404fd8ed, x10, 8, x4) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x21, rs2==x19, rd==x7, rs1_val == 0x6d23c0488a6019c1 and rs2_val == 0x860bdaad7447a088 -// opcode: aes64esm ; op1:x21; op2:x19; dest:x7; op1val:0x6d23c0488a6019c1; op2val:0x860bdaad7447a088 -TEST_RR_OP(aes64esm, x7, x21, x19, 0x0000000000000000, 0x6d23c0488a6019c1, 0x860bdaad7447a088, x10, 16, x4) - -inst_3: -// rs1 == rd != rs2, rs1==x22, rs2==x7, rd==x22, rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 -// opcode: aes64esm ; op1:x22; op2:x7; dest:x22; op1val:0x1f7d946f17168ab3; op2val:0x66eae3d9bbb4f560 -TEST_RR_OP(aes64esm, x22, x22, x7, 0x0000000000000000, 0x1f7d946f17168ab3, 0x66eae3d9bbb4f560, x10, 24, x4) - -inst_4: -// rs2 == rd != rs1, rs1==x0, rs2==x26, rd==x26, rs1_val == 0xef1d54db32b81f27 and rs2_val == 0x1826a804284fe16c -// opcode: aes64esm ; op1:x0; op2:x26; dest:x26; op1val:0x0; op2val:0x1826a804284fe16c -TEST_RR_OP(aes64esm, x26, x0, x26, 0x0000000000000000, 0x0, 0x1826a804284fe16c, x10, 32, x4) - -inst_5: -// rs1==x12, rs2==x11, rd==x24, rs1_val == 0xb694de26ad9e5431 and rs2_val == 0x293f9f6071fad878 -// opcode: aes64esm ; op1:x12; op2:x11; dest:x24; op1val:0xb694de26ad9e5431; op2val:0x293f9f6071fad878 -TEST_RR_OP(aes64esm, x24, x12, x11, 0x0000000000000000, 0xb694de26ad9e5431, 0x293f9f6071fad878, x10, 40, x4) - -inst_6: -// rs1==x23, rs2==x3, rd==x6, rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb -// opcode: aes64esm ; op1:x23; op2:x3; dest:x6; op1val:0x987daa20b858e304; op2val:0x1aa1beebefb902cb -TEST_RR_OP(aes64esm, x6, x23, x3, 0x0000000000000000, 0x987daa20b858e304, 0x1aa1beebefb902cb, x10, 48, x4) - -inst_7: -// rs1==x3, rs2==x14, rd==x0, rs1_val == 0x79bb7c341d3110bc and rs2_val == 0x8678f5e3d272e229 -// opcode: aes64esm ; op1:x3; op2:x14; dest:x0; op1val:0x79bb7c341d3110bc; op2val:0x8678f5e3d272e229 -TEST_RR_OP(aes64esm, x0, x3, x14, 0x0000000000000000, 0x79bb7c341d3110bc, 0x8678f5e3d272e229, x10, 56, x4) - -inst_8: -// rs1==x11, rs2==x20, rd==x1, rs1_val == 0xe2eaf4a09869be8c and rs2_val == 0x5b730cad91766f62 -// opcode: aes64esm ; op1:x11; op2:x20; dest:x1; op1val:0xe2eaf4a09869be8c; op2val:0x5b730cad91766f62 -TEST_RR_OP(aes64esm, x1, x11, x20, 0x0000000000000000, 0xe2eaf4a09869be8c, 0x5b730cad91766f62, x10, 64, x4) - -inst_9: -// rs1==x5, rs2==x30, rd==x23, rs1_val == 0xc0fe15dd0df9564b and rs2_val == 0xb22bbf7eb4c858fb -// opcode: aes64esm ; op1:x5; op2:x30; dest:x23; op1val:0xc0fe15dd0df9564b; op2val:0xb22bbf7eb4c858fb -TEST_RR_OP(aes64esm, x23, x5, x30, 0x0000000000000000, 0xc0fe15dd0df9564b, 0xb22bbf7eb4c858fb, x10, 72, x4) - -inst_10: -// rs1==x19, rs2==x12, rd==x27, rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 -// opcode: aes64esm ; op1:x19; op2:x12; dest:x27; op1val:0x4113ee60952acffe; op2val:0x53a66ed1dc80d916 -TEST_RR_OP(aes64esm, x27, x19, x12, 0x0000000000000000, 0x4113ee60952acffe, 0x53a66ed1dc80d916, x10, 80, x4) - -inst_11: -// rs1==x29, rs2==x21, rd==x19, rs1_val == 0x40a5ff526f38a9c7 and rs2_val == 0xb6f9706fb4f741aa -// opcode: aes64esm ; op1:x29; op2:x21; dest:x19; op1val:0x40a5ff526f38a9c7; op2val:0xb6f9706fb4f741aa -TEST_RR_OP(aes64esm, x19, x29, x21, 0x0000000000000000, 0x40a5ff526f38a9c7, 0xb6f9706fb4f741aa, x10, 88, x4) - -inst_12: -// rs1==x24, rs2==x27, rd==x21, rs1_val == 0x9bedfe390d6ddd9d and rs2_val == 0xd05668ae0fdb82bc -// opcode: aes64esm ; op1:x24; op2:x27; dest:x21; op1val:0x9bedfe390d6ddd9d; op2val:0xd05668ae0fdb82bc -TEST_RR_OP(aes64esm, x21, x24, x27, 0x0000000000000000, 0x9bedfe390d6ddd9d, 0xd05668ae0fdb82bc, x10, 96, x4) - -inst_13: -// rs1==x8, rs2==x2, rd==x20, rs1_val == 0xd75739f82ac177c6 and rs2_val == 0xaa6bb2bde9ed477d -// opcode: aes64esm ; op1:x8; op2:x2; dest:x20; op1val:0xd75739f82ac177c6; op2val:0xaa6bb2bde9ed477d -TEST_RR_OP(aes64esm, x20, x8, x2, 0x0000000000000000, 0xd75739f82ac177c6, 0xaa6bb2bde9ed477d, x10, 104, x4) - -inst_14: -// rs1==x14, rs2==x1, rd==x15, rs1_val == 0x9a4e9ef10171f4df and rs2_val == 0x299c3bcf90efb625 -// opcode: aes64esm ; op1:x14; op2:x1; dest:x15; op1val:0x9a4e9ef10171f4df; op2val:0x299c3bcf90efb625 -TEST_RR_OP(aes64esm, x15, x14, x1, 0x0000000000000000, 0x9a4e9ef10171f4df, 0x299c3bcf90efb625, x10, 112, x4) - -inst_15: -// rs1==x25, rs2==x6, rd==x8, rs1_val == 0xd169a3f8cad5e297 and rs2_val == 0x1fc493caa371db42 -// opcode: aes64esm ; op1:x25; op2:x6; dest:x8; op1val:0xd169a3f8cad5e297; op2val:0x1fc493caa371db42 -TEST_RR_OP(aes64esm, x8, x25, x6, 0x0000000000000000, 0xd169a3f8cad5e297, 0x1fc493caa371db42, x10, 120, x4) - -inst_16: -// rs1==x6, rs2==x22, rd==x28, rs1_val == 0xd5b9fe5cf69bdcf3 and rs2_val == 0xf4c30307672f666d -// opcode: aes64esm ; op1:x6; op2:x22; dest:x28; op1val:0xd5b9fe5cf69bdcf3; op2val:0xf4c30307672f666d -TEST_RR_OP(aes64esm, x28, x6, x22, 0x0000000000000000, 0xd5b9fe5cf69bdcf3, 0xf4c30307672f666d, x10, 128, x4) - -inst_17: -// rs1==x31, rs2==x17, rd==x14, rs1_val == 0xe4921bf73047c198 and rs2_val == 0xa0569d765ebc64cb -// opcode: aes64esm ; op1:x31; op2:x17; dest:x14; op1val:0xe4921bf73047c198; op2val:0xa0569d765ebc64cb -TEST_RR_OP(aes64esm, x14, x31, x17, 0x0000000000000000, 0xe4921bf73047c198, 0xa0569d765ebc64cb, x10, 136, x4) - -inst_18: -// rs1==x15, rs2==x8, rd==x4, rs1_val == 0xfcc1b543c49cd65b and rs2_val == 0x2daf9ac7f5faf207 -// opcode: aes64esm ; op1:x15; op2:x8; dest:x4; op1val:0xfcc1b543c49cd65b; op2val:0x2daf9ac7f5faf207 -TEST_RR_OP(aes64esm, x4, x15, x8, 0x0000000000000000, 0xfcc1b543c49cd65b, 0x2daf9ac7f5faf207, x10, 144, x9) -RVTEST_SIGBASE( x6,signature_x6_0) - -inst_19: -// rs1==x30, rs2==x13, rd==x12, rs1_val == 0x436f40f274b8de87 and rs2_val == 0x3459294ef273b44c -// opcode: aes64esm ; op1:x30; op2:x13; dest:x12; op1val:0x436f40f274b8de87; op2val:0x3459294ef273b44c -TEST_RR_OP(aes64esm, x12, x30, x13, 0x0000000000000000, 0x436f40f274b8de87, 0x3459294ef273b44c, x6, 0, x9) - -inst_20: -// rs1==x1, rs2==x23, rd==x18, rs1_val == 0x75a3adb3254a9493 and rs2_val == 0xc5521660f3a3c571 -// opcode: aes64esm ; op1:x1; op2:x23; dest:x18; op1val:0x75a3adb3254a9493; op2val:0xc5521660f3a3c571 -TEST_RR_OP(aes64esm, x18, x1, x23, 0x0000000000000000, 0x75a3adb3254a9493, 0xc5521660f3a3c571, x6, 8, x9) - -inst_21: -// rs1==x10, rs2==x28, rd==x31, rs1_val == 0xfffefdfcfbfaf9f8 and rs2_val == 0xf7f6f5f4f3f2f1f0 -// opcode: aes64esm ; op1:x10; op2:x28; dest:x31; op1val:0xfffefdfcfbfaf9f8; op2val:0xf7f6f5f4f3f2f1f0 -TEST_RR_OP(aes64esm, x31, x10, x28, 0x0000000000000000, 0xfffefdfcfbfaf9f8, 0xf7f6f5f4f3f2f1f0, x6, 16, x9) - -inst_22: -// rs1==x4, rs2==x0, rd==x30, rs1_val == 0xf7f6f5f4f3f2f1f0 and rs2_val == 0xfffefdfcfbfaf9f8 -// opcode: aes64esm ; op1:x4; op2:x0; dest:x30; op1val:0xf7f6f5f4f3f2f1f0; op2val:0x0 -TEST_RR_OP(aes64esm, x30, x4, x0, 0x0000000000000000, 0xf7f6f5f4f3f2f1f0, 0x0, x6, 24, x9) - -inst_23: -// rs1==x2, rs2==x5, rd==x3, rs1_val == 0xefeeedecebeae9e8 and rs2_val == 0xe7e6e5e4e3e2e1e0 -// opcode: aes64esm ; op1:x2; op2:x5; dest:x3; op1val:0xefeeedecebeae9e8; op2val:0xe7e6e5e4e3e2e1e0 -TEST_RR_OP(aes64esm, x3, x2, x5, 0x0000000000000000, 0xefeeedecebeae9e8, 0xe7e6e5e4e3e2e1e0, x6, 32, x9) - -inst_24: -// rs1==x16, rs2==x15, rd==x5, rs1_val == 0xe7e6e5e4e3e2e1e0 and rs2_val == 0xefeeedecebeae9e8 -// opcode: aes64esm ; op1:x16; op2:x15; dest:x5; op1val:0xe7e6e5e4e3e2e1e0; op2val:0xefeeedecebeae9e8 -TEST_RR_OP(aes64esm, x5, x16, x15, 0x0000000000000000, 0xe7e6e5e4e3e2e1e0, 0xefeeedecebeae9e8, x6, 40, x9) - -inst_25: -// rs1==x20, rs2==x31, rd==x25, rs1_val == 0xdfdedddcdbdad9d8 and rs2_val == 0xd7d6d5d4d3d2d1d0 -// opcode: aes64esm ; op1:x20; op2:x31; dest:x25; op1val:0xdfdedddcdbdad9d8; op2val:0xd7d6d5d4d3d2d1d0 -TEST_RR_OP(aes64esm, x25, x20, x31, 0x0000000000000000, 0xdfdedddcdbdad9d8, 0xd7d6d5d4d3d2d1d0, x6, 48, x9) - -inst_26: -// rs1==x7, rs2==x25, rd==x13, rs1_val == 0xd7d6d5d4d3d2d1d0 and rs2_val == 0xdfdedddcdbdad9d8 -// opcode: aes64esm ; op1:x7; op2:x25; dest:x13; op1val:0xd7d6d5d4d3d2d1d0; op2val:0xdfdedddcdbdad9d8 -TEST_RR_OP(aes64esm, x13, x7, x25, 0x0000000000000000, 0xd7d6d5d4d3d2d1d0, 0xdfdedddcdbdad9d8, x6, 56, x9) - -inst_27: -// rs1==x13, rs2==x4, rd==x2, rs1_val == 0xcfcecdcccbcac9c8 and rs2_val == 0xc7c6c5c4c3c2c1c0 -// opcode: aes64esm ; op1:x13; op2:x4; dest:x2; op1val:0xcfcecdcccbcac9c8; op2val:0xc7c6c5c4c3c2c1c0 -TEST_RR_OP(aes64esm, x2, x13, x4, 0x0000000000000000, 0xcfcecdcccbcac9c8, 0xc7c6c5c4c3c2c1c0, x6, 64, x9) - -inst_28: -// rs1==x28, rs2==x10, rd==x11, rs1_val == 0xc7c6c5c4c3c2c1c0 and rs2_val == 0xcfcecdcccbcac9c8 -// opcode: aes64esm ; op1:x28; op2:x10; dest:x11; op1val:0xc7c6c5c4c3c2c1c0; op2val:0xcfcecdcccbcac9c8 -TEST_RR_OP(aes64esm, x11, x28, x10, 0x0000000000000000, 0xc7c6c5c4c3c2c1c0, 0xcfcecdcccbcac9c8, x6, 72, x9) - -inst_29: -// rs1==x17, rs2==x29, rd==x10, rs1_val == 0xbfbebdbcbbbab9b8 and rs2_val == 0xb7b6b5b4b3b2b1b0 -// opcode: aes64esm ; op1:x17; op2:x29; dest:x10; op1val:0xbfbebdbcbbbab9b8; op2val:0xb7b6b5b4b3b2b1b0 -TEST_RR_OP(aes64esm, x10, x17, x29, 0x0000000000000000, 0xbfbebdbcbbbab9b8, 0xb7b6b5b4b3b2b1b0, x6, 80, x9) - -inst_30: -// rs1==x27, rs2==x24, rd==x17, rs1_val == 0xb7b6b5b4b3b2b1b0 and rs2_val == 0xbfbebdbcbbbab9b8 -// opcode: aes64esm ; op1:x27; op2:x24; dest:x17; op1val:0xb7b6b5b4b3b2b1b0; op2val:0xbfbebdbcbbbab9b8 -TEST_RR_OP(aes64esm, x17, x27, x24, 0x0000000000000000, 0xb7b6b5b4b3b2b1b0, 0xbfbebdbcbbbab9b8, x6, 88, x9) - -inst_31: -// rs1==x26, rs1_val == 0xafaeadacabaaa9a8 and rs2_val == 0xa7a6a5a4a3a2a1a0 -// opcode: aes64esm ; op1:x26; op2:x17; dest:x2; op1val:0xafaeadacabaaa9a8; op2val:0xa7a6a5a4a3a2a1a0 -TEST_RR_OP(aes64esm, x2, x26, x17, 0x0000000000000000, 0xafaeadacabaaa9a8, 0xa7a6a5a4a3a2a1a0, x6, 96, x9) - -inst_32: -// rs2==x16, rs1_val == 0xa7a6a5a4a3a2a1a0 and rs2_val == 0xafaeadacabaaa9a8 -// opcode: aes64esm ; op1:x23; op2:x16; dest:x11; op1val:0xa7a6a5a4a3a2a1a0; op2val:0xafaeadacabaaa9a8 -TEST_RR_OP(aes64esm, x11, x23, x16, 0x0000000000000000, 0xa7a6a5a4a3a2a1a0, 0xafaeadacabaaa9a8, x6, 104, x9) - -inst_33: -// rd==x16, rs1_val == 0x9f9e9d9c9b9a9998 and rs2_val == 0x9796959493929190 -// opcode: aes64esm ; op1:x25; op2:x19; dest:x16; op1val:0x9f9e9d9c9b9a9998; op2val:0x9796959493929190 -TEST_RR_OP(aes64esm, x16, x25, x19, 0x0000000000000000, 0x9f9e9d9c9b9a9998, 0x9796959493929190, x6, 112, x9) - -inst_34: -// rs1_val == 0x9796959493929190 and rs2_val == 0x9f9e9d9c9b9a9998 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x9796959493929190; op2val:0x9f9e9d9c9b9a9998 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x9796959493929190, 0x9f9e9d9c9b9a9998, x6, 120, x9) - -inst_35: -// rs1_val == 0x8f8e8d8c8b8a8988 and rs2_val == 0x8786858483828180 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x8f8e8d8c8b8a8988; op2val:0x8786858483828180 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x8f8e8d8c8b8a8988, 0x8786858483828180, x6, 128, x9) - -inst_36: -// rs1_val == 0x8786858483828180 and rs2_val == 0x8f8e8d8c8b8a8988 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x8786858483828180; op2val:0x8f8e8d8c8b8a8988 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x8786858483828180, 0x8f8e8d8c8b8a8988, x6, 136, x9) - -inst_37: -// rs1_val == 0x7f7e7d7c7b7a7978 and rs2_val == 0x7776757473727170 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x7f7e7d7c7b7a7978; op2val:0x7776757473727170 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x7f7e7d7c7b7a7978, 0x7776757473727170, x6, 144, x9) - -inst_38: -// rs1_val == 0x7776757473727170 and rs2_val == 0x7f7e7d7c7b7a7978 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x7776757473727170; op2val:0x7f7e7d7c7b7a7978 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x7776757473727170, 0x7f7e7d7c7b7a7978, x6, 152, x9) - -inst_39: -// rs1_val == 0x6f6e6d6c6b6a6968 and rs2_val == 0x6766656463626160 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x6f6e6d6c6b6a6968; op2val:0x6766656463626160 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x6f6e6d6c6b6a6968, 0x6766656463626160, x6, 160, x9) - -inst_40: -// rs1_val == 0x6766656463626160 and rs2_val == 0x6f6e6d6c6b6a6968 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x6766656463626160; op2val:0x6f6e6d6c6b6a6968 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x6766656463626160, 0x6f6e6d6c6b6a6968, x6, 168, x9) - -inst_41: -// rs1_val == 0x5f5e5d5c5b5a5958 and rs2_val == 0x5756555453525150 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x5f5e5d5c5b5a5958; op2val:0x5756555453525150 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x5f5e5d5c5b5a5958, 0x5756555453525150, x6, 176, x9) - -inst_42: -// rs1_val == 0x5756555453525150 and rs2_val == 0x5f5e5d5c5b5a5958 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x5756555453525150; op2val:0x5f5e5d5c5b5a5958 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x5756555453525150, 0x5f5e5d5c5b5a5958, x6, 184, x9) - -inst_43: -// rs1_val == 0x4f4e4d4c4b4a4948 and rs2_val == 0x4746454443424140 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x4f4e4d4c4b4a4948; op2val:0x4746454443424140 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x4f4e4d4c4b4a4948, 0x4746454443424140, x6, 192, x9) - -inst_44: -// rs1_val == 0x4746454443424140 and rs2_val == 0x4f4e4d4c4b4a4948 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x4746454443424140; op2val:0x4f4e4d4c4b4a4948 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x4746454443424140, 0x4f4e4d4c4b4a4948, x6, 200, x9) - -inst_45: -// rs1_val == 0x3f3e3d3c3b3a3938 and rs2_val == 0x3736353433323130 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x3f3e3d3c3b3a3938; op2val:0x3736353433323130 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x3f3e3d3c3b3a3938, 0x3736353433323130, x6, 208, x9) - -inst_46: -// rs1_val == 0x3736353433323130 and rs2_val == 0x3f3e3d3c3b3a3938 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x3736353433323130; op2val:0x3f3e3d3c3b3a3938 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x3736353433323130, 0x3f3e3d3c3b3a3938, x6, 216, x9) - -inst_47: -// rs1_val == 0x2f2e2d2c2b2a2928 and rs2_val == 0x2726252423222120 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x2f2e2d2c2b2a2928; op2val:0x2726252423222120 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x2f2e2d2c2b2a2928, 0x2726252423222120, x6, 224, x9) - -inst_48: -// rs1_val == 0x2726252423222120 and rs2_val == 0x2f2e2d2c2b2a2928 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x2726252423222120; op2val:0x2f2e2d2c2b2a2928 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x2726252423222120, 0x2f2e2d2c2b2a2928, x6, 232, x9) - -inst_49: -// rs1_val == 0x1f1e1d1c1b1a1918 and rs2_val == 0x1716151413121110 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x1f1e1d1c1b1a1918; op2val:0x1716151413121110 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x1f1e1d1c1b1a1918, 0x1716151413121110, x6, 240, x9) - -inst_50: -// rs1_val == 0x1716151413121110 and rs2_val == 0x1f1e1d1c1b1a1918 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x1716151413121110; op2val:0x1f1e1d1c1b1a1918 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x1716151413121110, 0x1f1e1d1c1b1a1918, x6, 248, x9) - -inst_51: -// rs1_val == 0x0f0e0d0c0b0a0908 and rs2_val == 0x0706050403020100 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0xf0e0d0c0b0a0908; op2val:0x706050403020100 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0xf0e0d0c0b0a0908, 0x706050403020100, x6, 256, x9) - -inst_52: -// rs1_val == 0x0706050403020100 and rs2_val == 0x0f0e0d0c0b0a0908 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x706050403020100; op2val:0xf0e0d0c0b0a0908 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x706050403020100, 0xf0e0d0c0b0a0908, x6, 264, x9) - -inst_53: -// rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x6af29145404fd8ed; op2val:0x990e75eafff569c2 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x6af29145404fd8ed, 0x990e75eafff569c2, x6, 272, x9) - -inst_54: -// rs1_val == 0xef1d54db32b81f27 and rs2_val == 0x1826a804284fe16c -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0xef1d54db32b81f27; op2val:0x1826a804284fe16c -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0xef1d54db32b81f27, 0x1826a804284fe16c, x6, 280, x9) - -inst_55: -// rs1_val == 0x79bb7c341d3110bc and rs2_val == 0x8678f5e3d272e229 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0x79bb7c341d3110bc; op2val:0x8678f5e3d272e229 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0x79bb7c341d3110bc, 0x8678f5e3d272e229, x6, 288, x9) - -inst_56: -// rs1_val == 0xf7f6f5f4f3f2f1f0 and rs2_val == 0xfffefdfcfbfaf9f8 -// opcode: aes64esm ; op1:x10; op2:x11; dest:x12; op1val:0xf7f6f5f4f3f2f1f0; op2val:0xfffefdfcfbfaf9f8 -TEST_RR_OP(aes64esm, x12, x10, x11, 0x0000000000000000, 0xf7f6f5f4f3f2f1f0, 0xfffefdfcfbfaf9f8, x6, 296, x9) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x10_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x10_1: - .fill 19*(XLEN/32),4,0xdeadbeef - - -signature_x6_0: - .fill 38*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-rwp1.S deleted file mode 100644 index 2cd8b297e..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-rwp1.S +++ /dev/null @@ -1,392 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",aes64esm) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",aes64esm) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// 1st Instruction => rs1 = x1; rs2 = x2 | 2nd Instruction => rs1 = x2; rs2 = x1 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x1; op2:x2; dest1:x3; dest2:x4; dest3:x5; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x1, x1, x2; -aes64esm x3, x1, x2; -aes64esm x4, x2, x1; -xor x5, x4, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x4,8); -RVTEST_SIGUPD(x31,x5,16); - -inst_1: -// 1st Instruction => rs1 = x2; rs2 = x3 | 2nd Instruction => rs1 = x3; rs2 = x2 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x2; op2:x3; dest1:x4; dest2:x5; dest3:x6; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x2, x2, x3; -aes64esm x4, x2, x3; -aes64esm x5, x3, x2; -xor x6, x5, x3; -RVTEST_SIGUPD(x31,x4,24); -RVTEST_SIGUPD(x31,x5,32); -RVTEST_SIGUPD(x31,x6,40); - -inst_2: -// 1st Instruction => rs1 = x3; rs2 = x4 | 2nd Instruction => rs1 = x4; rs2 = x3 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x3; op2:x4; dest1:x5; dest2:x6; dest3:x7; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x3, x3, x4; -aes64esm x5, x3, x4; -aes64esm x6, x4, x3; -xor x7, x6, x4; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); -RVTEST_SIGUPD(x31,x7,64); - -inst_3: -// 1st Instruction => rs1 = x4; rs2 = x5 | 2nd Instruction => rs1 = x5; rs2 = x4 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x4; op2:x5; dest1:x6; dest2:x7; dest3:x8; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x4, x4, x5; -aes64esm x6, x4, x5; -aes64esm x7, x5, x4; -xor x8, x7, x5; -RVTEST_SIGUPD(x31,x6,72); -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_4: -// 1st Instruction => rs1 = x5; rs2 = x6 | 2nd Instruction => rs1 = x6; rs2 = x5 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x5; op2:x6; dest1:x7; dest2:x8; dest3:x9; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x5, x5, x6; -aes64esm x7, x5, x6; -aes64esm x8, x6, x5; -xor x9, x8, x6; -RVTEST_SIGUPD(x31,x7,96); -RVTEST_SIGUPD(x31,x8,104); -RVTEST_SIGUPD(x31,x9,112); - -inst_5: -// 1st Instruction => rs1 = x6; rs2 = x7 | 2nd Instruction => rs1 = x7; rs2 = x6 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x6; op2:x7; dest1:x8; dest2:x9; dest3:x10; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x6, x6, x7; -aes64esm x8, x6, x7; -aes64esm x9, x7, x6; -xor x10, x9, x7; -RVTEST_SIGUPD(x31,x8,120); -RVTEST_SIGUPD(x31,x9,128); -RVTEST_SIGUPD(x31,x10,136); - -inst_6: -// 1st Instruction => rs1 = x7; rs2 = x8 | 2nd Instruction => rs1 = x8; rs2 = x7 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x7; op2:x8; dest1:x9; dest2:x10; dest3:x11; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x7, x7, x8; -aes64esm x9, x7, x8; -aes64esm x10, x8, x7; -xor x11, x10, x8; -RVTEST_SIGUPD(x31,x9,144); -RVTEST_SIGUPD(x31,x10,152); -RVTEST_SIGUPD(x31,x11,160); - -inst_7: -// 1st Instruction => rs1 = x8; rs2 = x9 | 2nd Instruction => rs1 = x9; rs2 = x8 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x8; op2:x9; dest1:x10; dest2:x11; dest3:x12; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x8, x8, x9; -aes64esm x10, x8, x9; -aes64esm x11, x9, x8; -xor x12, x11, x9; -RVTEST_SIGUPD(x31,x10,168); -RVTEST_SIGUPD(x31,x11,176); -RVTEST_SIGUPD(x31,x12,184); - -inst_8: -// 1st Instruction => rs1 = x9; rs2 = x10 | 2nd Instruction => rs1 = x10; rs2 = x9 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x9; op2:x10; dest1:x11; dest2:x12; dest3:x13; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x9, x9, x10; -aes64esm x11, x9, x10; -aes64esm x12, x10, x9; -xor x13, x12, x10; -RVTEST_SIGUPD(x31,x11,192); -RVTEST_SIGUPD(x31,x12,200); -RVTEST_SIGUPD(x31,x13,208); - -inst_9: -// 1st Instruction => rs1 = x10; rs2 = x11 | 2nd Instruction => rs1 = x11; rs2 = x10 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x10; op2:x11; dest1:x12; dest2:x13; dest3:x14; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x10, x10, x11; -aes64esm x12, x10, x11; -aes64esm x13, x11, x10; -xor x14, x13, x11; -RVTEST_SIGUPD(x31,x12,216); -RVTEST_SIGUPD(x31,x13,224); -RVTEST_SIGUPD(x31,x14,232); - -inst_10: -// 1st Instruction => rs1 = x11; rs2 = x12 | 2nd Instruction => rs1 = x12; rs2 = x11 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x11; op2:x12; dest1:x13; dest2:x14; dest3:x15; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x11, x11, x12; -aes64esm x13, x11, x12; -aes64esm x14, x12, x11; -xor x15, x14, x12; -RVTEST_SIGUPD(x31,x13,240); -RVTEST_SIGUPD(x31,x14,248); -RVTEST_SIGUPD(x31,x15,256); - -inst_11: -// 1st Instruction => rs1 = x12; rs2 = x13 | 2nd Instruction => rs1 = x13; rs2 = x12 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x12; op2:x13; dest1:x14; dest2:x15; dest3:x16; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x12, x12, x13; -aes64esm x14, x12, x13; -aes64esm x15, x13, x12; -xor x16, x15, x13; -RVTEST_SIGUPD(x31,x14,264); -RVTEST_SIGUPD(x31,x15,272); -RVTEST_SIGUPD(x31,x16,280); - -inst_12: -// 1st Instruction => rs1 = x13; rs2 = x14 | 2nd Instruction => rs1 = x14; rs2 = x13 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x13; op2:x14; dest1:x15; dest2:x16; dest3:x17; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x13, x13, x14; -aes64esm x15, x13, x14; -aes64esm x16, x14, x13; -xor x17, x16, x14; -RVTEST_SIGUPD(x31,x15,288); -RVTEST_SIGUPD(x31,x16,296); -RVTEST_SIGUPD(x31,x17,304); - -inst_13: -// 1st Instruction => rs1 = x14; rs2 = x15 | 2nd Instruction => rs1 = x15; rs2 = x14 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x14; op2:x15; dest1:x16; dest2:x17; dest3:x18; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x14, x14, x15; -aes64esm x16, x14, x15; -aes64esm x17, x15, x14; -xor x18, x17, x15; -RVTEST_SIGUPD(x31,x16,312); -RVTEST_SIGUPD(x31,x17,320); -RVTEST_SIGUPD(x31,x18,328); - -inst_14: -// 1st Instruction => rs1 = x15; rs2 = x16 | 2nd Instruction => rs1 = x16; rs2 = x15 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x15; op2:x16; dest1:x17; dest2:x18; dest3:x19; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x15, x15, x16; -aes64esm x17, x15, x16; -aes64esm x18, x16, x15; -xor x19, x18, x16; -RVTEST_SIGUPD(x31,x17,336); -RVTEST_SIGUPD(x31,x18,344); -RVTEST_SIGUPD(x31,x19,352); - -inst_15: -// 1st Instruction => rs1 = x16; rs2 = x17 | 2nd Instruction => rs1 = x17; rs2 = x16 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x16; op2:x17; dest1:x18; dest2:x19; dest3:x20; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x16, x16, x17; -aes64esm x18, x16, x17; -aes64esm x19, x17, x16; -xor x20, x19, x17; -RVTEST_SIGUPD(x31,x18,360); -RVTEST_SIGUPD(x31,x19,368); -RVTEST_SIGUPD(x31,x20,376); - -inst_16: -// 1st Instruction => rs1 = x17; rs2 = x18 | 2nd Instruction => rs1 = x18; rs2 = x17 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x17; op2:x18; dest1:x19; dest2:x20; dest3:x21; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x17, x17, x18; -aes64esm x19, x17, x18; -aes64esm x20, x18, x17; -xor x21, x20, x18; -RVTEST_SIGUPD(x31,x19,384); -RVTEST_SIGUPD(x31,x20,392); -RVTEST_SIGUPD(x31,x21,400); - -inst_17: -// 1st Instruction => rs1 = x18; rs2 = x19 | 2nd Instruction => rs1 = x19; rs2 = x18 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x18; op2:x19; dest1:x20; dest2:x21; dest3:x22; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x18, x18, x19; -aes64esm x20, x18, x19; -aes64esm x21, x19, x18; -xor x22, x21, x19; -RVTEST_SIGUPD(x31,x20,408); -RVTEST_SIGUPD(x31,x21,416); -RVTEST_SIGUPD(x31,x22,424); - -inst_18: -// 1st Instruction => rs1 = x19; rs2 = x20 | 2nd Instruction => rs1 = x20; rs2 = x19 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x19; op2:x20; dest1:x21; dest2:x22; dest3:x23; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x19, x19, x20; -aes64esm x21, x19, x20; -aes64esm x22, x20, x19; -xor x23, x22, x20; -RVTEST_SIGUPD(x31,x21,432); -RVTEST_SIGUPD(x31,x22,440); -RVTEST_SIGUPD(x31,x23,448); - -inst_19: -// 1st Instruction => rs1 = x20; rs2 = x21 | 2nd Instruction => rs1 = x21; rs2 = x20 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x20; op2:x21; dest1:x22; dest2:x23; dest3:x24; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x20, x20, x21; -aes64esm x22, x20, x21; -aes64esm x23, x21, x20; -xor x24, x23, x21; -RVTEST_SIGUPD(x31,x22,456); -RVTEST_SIGUPD(x31,x23,464); -RVTEST_SIGUPD(x31,x24,472); - -inst_20: -// 1st Instruction => rs1 = x21; rs2 = x22 | 2nd Instruction => rs1 = x22; rs2 = x21 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x21; op2:x22; dest1:x23; dest2:x24; dest3:x25; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x21, x21, x22; -aes64esm x23, x21, x22; -aes64esm x24, x22, x21; -xor x25, x24, x22; -RVTEST_SIGUPD(x31,x23,480); -RVTEST_SIGUPD(x31,x24,488); -RVTEST_SIGUPD(x31,x25,496); - -inst_21: -// 1st Instruction => rs1 = x22; rs2 = x23 | 2nd Instruction => rs1 = x23; rs2 = x22 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x22; op2:x23; dest1:x24; dest2:x25; dest3:x26; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x22, x22, x23; -aes64esm x24, x22, x23; -aes64esm x25, x23, x22; -xor x26, x25, x23; -RVTEST_SIGUPD(x31,x24,504); -RVTEST_SIGUPD(x31,x25,512); -RVTEST_SIGUPD(x31,x26,520); - -inst_22: -// 1st Instruction => rs1 = x23; rs2 = x24 | 2nd Instruction => rs1 = x24; rs2 = x23 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x23; op2:x24; dest1:x25; dest2:x26; dest3:x27; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x23, x23, x24; -aes64esm x25, x23, x24; -aes64esm x26, x24, x23; -xor x27, x26, x24; -RVTEST_SIGUPD(x31,x25,528); -RVTEST_SIGUPD(x31,x26,536); -RVTEST_SIGUPD(x31,x27,544); - -inst_23: -// 1st Instruction => rs1 = x24; rs2 = x25 | 2nd Instruction => rs1 = x25; rs2 = x24 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x24; op2:x25; dest1:x26; dest2:x27; dest3:x28; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x24, x24, x25; -aes64esm x26, x24, x25; -aes64esm x27, x25, x24; -xor x28, x27, x25; -RVTEST_SIGUPD(x31,x26,552); -RVTEST_SIGUPD(x31,x27,560); -RVTEST_SIGUPD(x31,x28,568); - -inst_24: -// 1st Instruction => rs1 = x25; rs2 = x26 | 2nd Instruction => rs1 = x26; rs2 = x25 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x25; op2:x26; dest1:x27; dest2:x28; dest3:x29; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x25, x25, x26; -aes64esm x27, x25, x26; -aes64esm x28, x26, x25; -xor x29, x28, x26; -RVTEST_SIGUPD(x31,x27,576); -RVTEST_SIGUPD(x31,x28,584); -RVTEST_SIGUPD(x31,x29,592); - -inst_25: -// 1st Instruction => rs1 = x26; rs2 = x27 | 2nd Instruction => rs1 = x27; rs2 = x26 | Result of xor goes into aes64esm & vice versa -// opcode: aes64esm; op1:x26; op2:x27; dest1:x28; dest2:x29; dest3:x30; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x26, x26, x27; -aes64esm x28, x26, x27; -aes64esm x29, x27, x26; -xor x30, x29, x27; -RVTEST_SIGUPD(x31,x28,600); -RVTEST_SIGUPD(x31,x29,608); -RVTEST_SIGUPD(x31,x30,616); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 78*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-01.S deleted file mode 100644 index 0659bd0e9..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-01.S +++ /dev/null @@ -1,1282 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the aes64im instruction of the RISC-V extension for the aes64im covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",aes64im) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",aes64im) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKnd.*);def TEST_CASE_1=True;",aes64im) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rd, rs1==x26, rd==x0, rs1_val == 0x75a3adb3254a9493 -// opcode: aes64im ; op1:x26; dest:x0; op1val:0x75a3adb3254a9493; -li x26, 0x75a3adb3254a9493 -aes64im x0, x26 -sw x0, 0(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x0, 0x0000000000000000) - -inst_1: -// rs1 == rd, rs1==x28, rd==x28, rs1_val == 9223372036854775807, -// opcode: aes64im ; op1:x28; dest:x28; op1val:0x7fffffffffffffff; -li x28, 0x7fffffffffffffff -aes64im x28, x28 -sw x28, 8(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x28, 0x0000000000000000) - -inst_2: -// rs1==x14, rd==x1, rs1_val == 13835058055282163711, -// opcode: aes64im ; op1:x14; dest:x1; op1val:0xbfffffffffffffff; -li x14, 0xbfffffffffffffff -aes64im x1, x14 -sw x1, 16(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x1, 0x0000000000000000) - -inst_3: -// rs1==x30, rd==x23, rs1_val == 16140901064495857663, -// opcode: aes64im ; op1:x30; dest:x23; op1val:0xdfffffffffffffff; -li x30, 0xdfffffffffffffff -aes64im x23, x30 -sw x23, 24(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x23, 0x0000000000000000) - -inst_4: -// rs1==x4, rd==x27, rs1_val == 17293822569102704639, -// opcode: aes64im ; op1:x4; dest:x27; op1val:0xefffffffffffffff; -li x4, 0xefffffffffffffff -aes64im x27, x4 -sw x27, 32(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x27, 0x0000000000000000) - -inst_5: -// rs1==x29, rd==x15, rs1_val == 17870283321406128127, -// opcode: aes64im ; op1:x29; dest:x15; op1val:0xf7ffffffffffffff; -li x29, 0xf7ffffffffffffff -aes64im x15, x29 -sw x15, 40(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x15, 0x0000000000000000) - -inst_6: -// rs1==x9, rd==x22, rs1_val == 18158513697557839871, -// opcode: aes64im ; op1:x9; dest:x22; op1val:0xfbffffffffffffff; -li x9, 0xfbffffffffffffff -aes64im x22, x9 -sw x22, 48(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x22, 0x0000000000000000) - -inst_7: -// rs1==x2, rd==x24, rs1_val == 18302628885633695743, -// opcode: aes64im ; op1:x2; dest:x24; op1val:0xfdffffffffffffff; -li x2, 0xfdffffffffffffff -aes64im x24, x2 -sw x24, 56(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x24, 0x0000000000000000) - -inst_8: -// rs1==x8, rd==x6, rs1_val == 18374686479671623679, -// opcode: aes64im ; op1:x8; dest:x6; op1val:0xfeffffffffffffff; -li x8, 0xfeffffffffffffff -aes64im x6, x8 -sw x6, 64(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x6, 0x0000000000000000) - -inst_9: -// rs1==x1, rd==x17, rs1_val == 18410715276690587647, -// opcode: aes64im ; op1:x1; dest:x17; op1val:0xff7fffffffffffff; -li x1, 0xff7fffffffffffff -aes64im x17, x1 -sw x17, 72(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x17, 0x0000000000000000) - -inst_10: -// rs1==x11, rd==x30, rs1_val == 18428729675200069631, -// opcode: aes64im ; op1:x11; dest:x30; op1val:0xffbfffffffffffff; -li x11, 0xffbfffffffffffff -aes64im x30, x11 -sw x30, 80(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x30, 0x0000000000000000) - -inst_11: -// rs1==x10, rd==x16, rs1_val == 18437736874454810623, -// opcode: aes64im ; op1:x10; dest:x16; op1val:0xffdfffffffffffff; -li x10, 0xffdfffffffffffff -aes64im x16, x10 -sw x16, 88(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x16, 0x0000000000000000) - -inst_12: -// rs1==x15, rd==x8, rs1_val == 18442240474082181119, -// opcode: aes64im ; op1:x15; dest:x8; op1val:0xffefffffffffffff; -li x15, 0xffefffffffffffff -aes64im x8, x15 -sw x8, 96(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x8, 0x0000000000000000) - -inst_13: -// rs1==x0, rd==x14, rs1_val == 18444492273895866367, -// opcode: aes64im ; op1:x0; dest:x14; op1val:0x0; -li x0, 0x0 -aes64im x14, x0 -sw x14, 104(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x14, 0x0000000000000000) - -inst_14: -// rs1==x19, rd==x18, rs1_val == 18445618173802708991, -// opcode: aes64im ; op1:x19; dest:x18; op1val:0xfffbffffffffffff; -li x19, 0xfffbffffffffffff -aes64im x18, x19 -sw x18, 112(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x18, 0x0000000000000000) - -inst_15: -// rs1==x16, rd==x13, rs1_val == 18446181123756130303, -// opcode: aes64im ; op1:x16; dest:x13; op1val:0xfffdffffffffffff; -li x16, 0xfffdffffffffffff -aes64im x13, x16 -sw x13, 120(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x13, 0x0000000000000000) - -inst_16: -// rs1==x17, rd==x20, rs1_val == 18446462598732840959, -// opcode: aes64im ; op1:x17; dest:x20; op1val:0xfffeffffffffffff; -li x17, 0xfffeffffffffffff -aes64im x20, x17 -sw x20, 128(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x20, 0x0000000000000000) - -inst_17: -// rs1==x23, rd==x29, rs1_val == 18446603336221196287, -// opcode: aes64im ; op1:x23; dest:x29; op1val:0xffff7fffffffffff; -li x23, 0xffff7fffffffffff -aes64im x29, x23 -sw x29, 136(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x29, 0x0000000000000000) - -inst_18: -// rs1==x12, rd==x2, rs1_val == 18446673704965373951, -// opcode: aes64im ; op1:x12; dest:x2; op1val:0xffffbfffffffffff; -li x12, 0xffffbfffffffffff -aes64im x2, x12 -sw x2, 144(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x2, 0x0000000000000000) - -inst_19: -// rs1==x25, rd==x5, rs1_val == 18446708889337462783, -// opcode: aes64im ; op1:x25; dest:x5; op1val:0xffffdfffffffffff; -li x25, 0xffffdfffffffffff -aes64im x5, x25 -sw x5, 152(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x7, x5, 0x0000000000000000) - -inst_20: -// rs1==x22, rd==x12, rs1_val == 18446726481523507199, -// opcode: aes64im ; op1:x22; dest:x12; op1val:0xffffefffffffffff; -li x22, 0xffffefffffffffff -aes64im x12, x22 -sw x12, 160(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x12, 0x0000000000000000) - -inst_21: -// rs1==x5, rd==x4, rs1_val == 18446735277616529407, -// opcode: aes64im ; op1:x5; dest:x4; op1val:0xfffff7ffffffffff; -li x5, 0xfffff7ffffffffff -aes64im x4, x5 -sw x4, 168(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x4, 0x0000000000000000) - -inst_22: -// rs1==x27, rd==x21, rs1_val == 18446739675663040511, -// opcode: aes64im ; op1:x27; dest:x21; op1val:0xfffffbffffffffff; -li x27, 0xfffffbffffffffff -aes64im x21, x27 -sw x21, 176(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x21, 0x0000000000000000) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_23: -// rs1==x24, rd==x19, rs1_val == 18446741874686296063, -// opcode: aes64im ; op1:x24; dest:x19; op1val:0xfffffdffffffffff; -li x24, 0xfffffdffffffffff -aes64im x19, x24 -sw x19, 0(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x19, 0x0000000000000000) - -inst_24: -// rs1==x7, rd==x3, rs1_val == 18446742974197923839, -// opcode: aes64im ; op1:x7; dest:x3; op1val:0xfffffeffffffffff; -li x7, 0xfffffeffffffffff -aes64im x3, x7 -sw x3, 8(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x3, 0x0000000000000000) - -inst_25: -// rs1==x6, rd==x25, rs1_val == 18446743523953737727, -// opcode: aes64im ; op1:x6; dest:x25; op1val:0xffffff7fffffffff; -li x6, 0xffffff7fffffffff -aes64im x25, x6 -sw x25, 16(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x25, 0x0000000000000000) - -inst_26: -// rs1==x18, rd==x26, rs1_val == 18446743798831644671, -// opcode: aes64im ; op1:x18; dest:x26; op1val:0xffffffbfffffffff; -li x18, 0xffffffbfffffffff -aes64im x26, x18 -sw x26, 24(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x26, 0x0000000000000000) - -inst_27: -// rs1==x20, rd==x11, rs1_val == 18446743936270598143, -// opcode: aes64im ; op1:x20; dest:x11; op1val:0xffffffdfffffffff; -li x20, 0xffffffdfffffffff -aes64im x11, x20 -sw x11, 32(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_28: -// rs1==x3, rd==x10, rs1_val == 18446744004990074879, -// opcode: aes64im ; op1:x3; dest:x10; op1val:0xffffffefffffffff; -li x3, 0xffffffefffffffff -aes64im x10, x3 -sw x10, 40(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x10, 0x0000000000000000) - -inst_29: -// rs1==x21, rd==x7, rs1_val == 18446744039349813247, -// opcode: aes64im ; op1:x21; dest:x7; op1val:0xfffffff7ffffffff; -li x21, 0xfffffff7ffffffff -aes64im x7, x21 -sw x7, 48(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x7, 0x0000000000000000) - -inst_30: -// rs1==x31, rd==x9, rs1_val == 18446744056529682431, -// opcode: aes64im ; op1:x31; dest:x9; op1val:0xfffffffbffffffff; -li x31, 0xfffffffbffffffff -aes64im x9, x31 -sw x9, 56(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x9, 0x0000000000000000) - -inst_31: -// rs1==x13, rd==x31, rs1_val == 18446744065119617023, -// opcode: aes64im ; op1:x13; dest:x31; op1val:0xfffffffdffffffff; -li x13, 0xfffffffdffffffff -aes64im x31, x13 -sw x31, 64(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x31, 0x0000000000000000) - -inst_32: -// rs1_val == 18446744069414584319, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffeffffffff; -li x10, 0xfffffffeffffffff -aes64im x11, x10 -sw x11, 72(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_33: -// rs1_val == 18446744071562067967, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffff7fffffff; -li x10, 0xffffffff7fffffff -aes64im x11, x10 -sw x11, 80(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_34: -// rs1_val == 18446744072635809791, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffbfffffff; -li x10, 0xffffffffbfffffff -aes64im x11, x10 -sw x11, 88(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_35: -// rs1_val == 18446744073172680703, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffdfffffff; -li x10, 0xffffffffdfffffff -aes64im x11, x10 -sw x11, 96(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_36: -// rs1_val == 18446744073441116159, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffefffffff; -li x10, 0xffffffffefffffff -aes64im x11, x10 -sw x11, 104(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_37: -// rs1_val == 18446744073575333887, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffff7ffffff; -li x10, 0xfffffffff7ffffff -aes64im x11, x10 -sw x11, 112(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_38: -// rs1_val == 18446744073642442751, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffbffffff; -li x10, 0xfffffffffbffffff -aes64im x11, x10 -sw x11, 120(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_39: -// rs1_val == 18446744073675997183, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffdffffff; -li x10, 0xfffffffffdffffff -aes64im x11, x10 -sw x11, 128(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_40: -// rs1_val == 18446744073692774399, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffeffffff; -li x10, 0xfffffffffeffffff -aes64im x11, x10 -sw x11, 136(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_41: -// rs1_val == 18446744073701163007, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffff7fffff; -li x10, 0xffffffffff7fffff -aes64im x11, x10 -sw x11, 144(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_42: -// rs1_val == 18446744073705357311, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffffbfffff; -li x10, 0xffffffffffbfffff -aes64im x11, x10 -sw x11, 152(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_43: -// rs1_val == 18446744073707454463, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffffdfffff; -li x10, 0xffffffffffdfffff -aes64im x11, x10 -sw x11, 160(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_44: -// rs1_val == 18446744073708503039, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffffefffff; -li x10, 0xffffffffffefffff -aes64im x11, x10 -sw x11, 168(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_45: -// rs1_val == 18446744073709027327, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffff7ffff; -li x10, 0xfffffffffff7ffff -aes64im x11, x10 -sw x11, 176(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_46: -// rs1_val == 18446744073709289471, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffffbffff; -li x10, 0xfffffffffffbffff -aes64im x11, x10 -sw x11, 184(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_47: -// rs1_val == 18446744073709420543, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffffdffff; -li x10, 0xfffffffffffdffff -aes64im x11, x10 -sw x11, 192(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_48: -// rs1_val == 18446744073709486079, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffffeffff; -li x10, 0xfffffffffffeffff -aes64im x11, x10 -sw x11, 200(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_49: -// rs1_val == 18446744073709518847, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffffff7fff; -li x10, 0xffffffffffff7fff -aes64im x11, x10 -sw x11, 208(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_50: -// rs1_val == 18446744073709535231, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffffffbfff; -li x10, 0xffffffffffffbfff -aes64im x11, x10 -sw x11, 216(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_51: -// rs1_val == 18446744073709543423, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffffffdfff; -li x10, 0xffffffffffffdfff -aes64im x11, x10 -sw x11, 224(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_52: -// rs1_val == 18446744073709547519, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffffffefff; -li x10, 0xffffffffffffefff -aes64im x11, x10 -sw x11, 232(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_53: -// rs1_val == 18446744073709549567, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffffff7ff; -li x10, 0xfffffffffffff7ff -aes64im x11, x10 -sw x11, 240(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_54: -// rs1_val == 18446744073709550591, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffffffbff; -li x10, 0xfffffffffffffbff -aes64im x11, x10 -sw x11, 248(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_55: -// rs1_val == 18446744073709551103, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffffffdff; -li x10, 0xfffffffffffffdff -aes64im x11, x10 -sw x11, 256(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_56: -// rs1_val == 18446744073709551359, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffffffeff; -li x10, 0xfffffffffffffeff -aes64im x11, x10 -sw x11, 264(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_57: -// rs1_val == 18446744073709551487, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffffffff7f; -li x10, 0xffffffffffffff7f -aes64im x11, x10 -sw x11, 272(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_58: -// rs1_val == 18446744073709551551, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffffffffbf; -li x10, 0xffffffffffffffbf -aes64im x11, x10 -sw x11, 280(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_59: -// rs1_val == 18446744073709551583, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffffffffdf; -li x10, 0xffffffffffffffdf -aes64im x11, x10 -sw x11, 288(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_60: -// rs1_val == 18446744073709551599, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xffffffffffffffef; -li x10, 0xffffffffffffffef -aes64im x11, x10 -sw x11, 296(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_61: -// rs1_val == 18446744073709551607, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffffffff7; -li x10, 0xfffffffffffffff7 -aes64im x11, x10 -sw x11, 304(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_62: -// rs1_val == 18446744073709551611, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffffffffb; -li x10, 0xfffffffffffffffb -aes64im x11, x10 -sw x11, 312(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_63: -// rs1_val == 18446744073709551613, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; -li x10, 0xfffffffffffffffd -aes64im x11, x10 -sw x11, 320(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_64: -// rs1_val == 18446744073709551614, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; -li x10, 0xfffffffffffffffe -aes64im x11, x10 -sw x11, 328(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_65: -// rs1_val == 9223372036854775808, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x8000000000000000; -li x10, 0x8000000000000000 -aes64im x11, x10 -sw x11, 336(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_66: -// rs1_val == 4611686018427387904, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x4000000000000000; -li x10, 0x4000000000000000 -aes64im x11, x10 -sw x11, 344(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_67: -// rs1_val == 2305843009213693952, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x2000000000000000; -li x10, 0x2000000000000000 -aes64im x11, x10 -sw x11, 352(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_68: -// rs1_val == 1152921504606846976, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x1000000000000000; -li x10, 0x1000000000000000 -aes64im x11, x10 -sw x11, 360(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_69: -// rs1_val == 576460752303423488, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x800000000000000; -li x10, 0x800000000000000 -aes64im x11, x10 -sw x11, 368(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_70: -// rs1_val == 288230376151711744, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x400000000000000; -li x10, 0x400000000000000 -aes64im x11, x10 -sw x11, 376(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_71: -// rs1_val == 144115188075855872, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x200000000000000; -li x10, 0x200000000000000 -aes64im x11, x10 -sw x11, 384(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_72: -// rs1_val == 72057594037927936, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x100000000000000; -li x10, 0x100000000000000 -aes64im x11, x10 -sw x11, 392(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_73: -// rs1_val == 36028797018963968, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x80000000000000; -li x10, 0x80000000000000 -aes64im x11, x10 -sw x11, 400(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_74: -// rs1_val == 18014398509481984, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x40000000000000; -li x10, 0x40000000000000 -aes64im x11, x10 -sw x11, 408(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_75: -// rs1_val == 9007199254740992, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x20000000000000; -li x10, 0x20000000000000 -aes64im x11, x10 -sw x11, 416(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_76: -// rs1_val == 4503599627370496, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x10000000000000; -li x10, 0x10000000000000 -aes64im x11, x10 -sw x11, 424(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_77: -// rs1_val == 2251799813685248, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x8000000000000; -li x10, 0x8000000000000 -aes64im x11, x10 -sw x11, 432(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_78: -// rs1_val == 1125899906842624, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x4000000000000; -li x10, 0x4000000000000 -aes64im x11, x10 -sw x11, 440(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_79: -// rs1_val == 562949953421312, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x2000000000000; -li x10, 0x2000000000000 -aes64im x11, x10 -sw x11, 448(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_80: -// rs1_val == 281474976710656, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x1000000000000; -li x10, 0x1000000000000 -aes64im x11, x10 -sw x11, 456(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_81: -// rs1_val == 140737488355328, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x800000000000; -li x10, 0x800000000000 -aes64im x11, x10 -sw x11, 464(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_82: -// rs1_val == 70368744177664, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x400000000000; -li x10, 0x400000000000 -aes64im x11, x10 -sw x11, 472(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_83: -// rs1_val == 35184372088832, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x200000000000; -li x10, 0x200000000000 -aes64im x11, x10 -sw x11, 480(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_84: -// rs1_val == 17592186044416, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x100000000000; -li x10, 0x100000000000 -aes64im x11, x10 -sw x11, 488(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_85: -// rs1_val == 1, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x1; -li x10, 0x1 -aes64im x11, x10 -sw x11, 496(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_86: -// rs1_val == 0xb6f9706fb4f741aa -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xb6f9706fb4f741aa; -li x10, 0xb6f9706fb4f741aa -aes64im x11, x10 -sw x11, 504(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_87: -// rs1_val == 0x40a5ff526f38a9c7 -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x40a5ff526f38a9c7; -li x10, 0x40a5ff526f38a9c7 -aes64im x11, x10 -sw x11, 512(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_88: -// rs1_val == 0xd05668ae0fdb82bc -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xd05668ae0fdb82bc; -li x10, 0xd05668ae0fdb82bc -aes64im x11, x10 -sw x11, 520(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_89: -// rs1_val == 0x9bedfe390d6ddd9d -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x9bedfe390d6ddd9d; -li x10, 0x9bedfe390d6ddd9d -aes64im x11, x10 -sw x11, 528(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_90: -// rs1_val == 0xaa6bb2bde9ed477d -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xaa6bb2bde9ed477d; -li x10, 0xaa6bb2bde9ed477d -aes64im x11, x10 -sw x11, 536(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_91: -// rs1_val == 0xd75739f82ac177c6 -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xd75739f82ac177c6; -li x10, 0xd75739f82ac177c6 -aes64im x11, x10 -sw x11, 544(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_92: -// rs1_val == 0x299c3bcf90efb625 -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x299c3bcf90efb625; -li x10, 0x299c3bcf90efb625 -aes64im x11, x10 -sw x11, 552(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_93: -// rs1_val == 0x9a4e9ef10171f4df -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x9a4e9ef10171f4df; -li x10, 0x9a4e9ef10171f4df -aes64im x11, x10 -sw x11, 560(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_94: -// rs1_val == 0x1fc493caa371db42 -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x1fc493caa371db42; -li x10, 0x1fc493caa371db42 -aes64im x11, x10 -sw x11, 568(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_95: -// rs1_val == 0xd169a3f8cad5e297 -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xd169a3f8cad5e297; -li x10, 0xd169a3f8cad5e297 -aes64im x11, x10 -sw x11, 576(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_96: -// rs1_val == 0xf4c30307672f666d -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xf4c30307672f666d; -li x10, 0xf4c30307672f666d -aes64im x11, x10 -sw x11, 584(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_97: -// rs1_val == 0xd5b9fe5cf69bdcf3 -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xd5b9fe5cf69bdcf3; -li x10, 0xd5b9fe5cf69bdcf3 -aes64im x11, x10 -sw x11, 592(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_98: -// rs1_val == 0xa0569d765ebc64cb -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xa0569d765ebc64cb; -li x10, 0xa0569d765ebc64cb -aes64im x11, x10 -sw x11, 600(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_99: -// rs1_val == 0xe4921bf73047c198 -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xe4921bf73047c198; -li x10, 0xe4921bf73047c198 -aes64im x11, x10 -sw x11, 608(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_100: -// rs1_val == 0x2daf9ac7f5faf207 -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x2daf9ac7f5faf207; -li x10, 0x2daf9ac7f5faf207 -aes64im x11, x10 -sw x11, 616(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_101: -// rs1_val == 0xfcc1b543c49cd65b -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfcc1b543c49cd65b; -li x10, 0xfcc1b543c49cd65b -aes64im x11, x10 -sw x11, 624(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_102: -// rs1_val == 0x3459294ef273b44c -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x3459294ef273b44c; -li x10, 0x3459294ef273b44c -aes64im x11, x10 -sw x11, 632(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_103: -// rs1_val == 0x436f40f274b8de87 -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x436f40f274b8de87; -li x10, 0x436f40f274b8de87 -aes64im x11, x10 -sw x11, 640(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_104: -// rs1_val == 0xc5521660f3a3c571 -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xc5521660f3a3c571; -li x10, 0xc5521660f3a3c571 -aes64im x11, x10 -sw x11, 648(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_105: -// rs1_val == 8796093022208, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x80000000000; -li x10, 0x80000000000 -aes64im x11, x10 -sw x11, 656(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_106: -// rs1_val == 4398046511104, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x40000000000; -li x10, 0x40000000000 -aes64im x11, x10 -sw x11, 664(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_107: -// rs1_val == 2199023255552, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x20000000000; -li x10, 0x20000000000 -aes64im x11, x10 -sw x11, 672(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_108: -// rs1_val == 1099511627776, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x10000000000; -li x10, 0x10000000000 -aes64im x11, x10 -sw x11, 680(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_109: -// rs1_val == 549755813888, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x8000000000; -li x10, 0x8000000000 -aes64im x11, x10 -sw x11, 688(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_110: -// rs1_val == 274877906944, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x4000000000; -li x10, 0x4000000000 -aes64im x11, x10 -sw x11, 696(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_111: -// rs1_val == 137438953472, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x2000000000; -li x10, 0x2000000000 -aes64im x11, x10 -sw x11, 704(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_112: -// rs1_val == 68719476736, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x1000000000; -li x10, 0x1000000000 -aes64im x11, x10 -sw x11, 712(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_113: -// rs1_val == 34359738368, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x800000000; -li x10, 0x800000000 -aes64im x11, x10 -sw x11, 720(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_114: -// rs1_val == 17179869184, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x400000000; -li x10, 0x400000000 -aes64im x11, x10 -sw x11, 728(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_115: -// rs1_val == 8589934592, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x200000000; -li x10, 0x200000000 -aes64im x11, x10 -sw x11, 736(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_116: -// rs1_val == 4294967296, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x100000000; -li x10, 0x100000000 -aes64im x11, x10 -sw x11, 744(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_117: -// rs1_val == 2147483648, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x80000000; -li x10, 0x80000000 -aes64im x11, x10 -sw x11, 752(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_118: -// rs1_val == 1073741824, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x40000000; -li x10, 0x40000000 -aes64im x11, x10 -sw x11, 760(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_119: -// rs1_val == 536870912, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x20000000; -li x10, 0x20000000 -aes64im x11, x10 -sw x11, 768(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_120: -// rs1_val == 268435456, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x10000000; -li x10, 0x10000000 -aes64im x11, x10 -sw x11, 776(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_121: -// rs1_val == 134217728, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x8000000; -li x10, 0x8000000 -aes64im x11, x10 -sw x11, 784(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_122: -// rs1_val == 67108864, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x4000000; -li x10, 0x4000000 -aes64im x11, x10 -sw x11, 792(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_123: -// rs1_val == 33554432, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x2000000; -li x10, 0x2000000 -aes64im x11, x10 -sw x11, 800(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_124: -// rs1_val == 16777216, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x1000000; -li x10, 0x1000000 -aes64im x11, x10 -sw x11, 808(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_125: -// rs1_val == 8388608, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x800000; -li x10, 0x800000 -aes64im x11, x10 -sw x11, 816(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_126: -// rs1_val == 4194304, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x400000; -li x10, 0x400000 -aes64im x11, x10 -sw x11, 824(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_127: -// rs1_val == 2097152, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x200000; -li x10, 0x200000 -aes64im x11, x10 -sw x11, 832(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_128: -// rs1_val == 1048576, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x100000; -li x10, 0x100000 -aes64im x11, x10 -sw x11, 840(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_129: -// rs1_val == 524288, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x80000; -li x10, 0x80000 -aes64im x11, x10 -sw x11, 848(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_130: -// rs1_val == 262144, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x40000; -li x10, 0x40000 -aes64im x11, x10 -sw x11, 856(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_131: -// rs1_val == 131072, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x20000; -li x10, 0x20000 -aes64im x11, x10 -sw x11, 864(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_132: -// rs1_val == 65536, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x10000; -li x10, 0x10000 -aes64im x11, x10 -sw x11, 872(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_133: -// rs1_val == 32768, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x8000; -li x10, 0x8000 -aes64im x11, x10 -sw x11, 880(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_134: -// rs1_val == 16384, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x4000; -li x10, 0x4000 -aes64im x11, x10 -sw x11, 888(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_135: -// rs1_val == 8192, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x2000; -li x10, 0x2000 -aes64im x11, x10 -sw x11, 896(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_136: -// rs1_val == 4096, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x1000; -li x10, 0x1000 -aes64im x11, x10 -sw x11, 904(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_137: -// rs1_val == 2048, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x800; -li x10, 0x800 -aes64im x11, x10 -sw x11, 912(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_138: -// rs1_val == 1024, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x400; -li x10, 0x400 -aes64im x11, x10 -sw x11, 920(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_139: -// rs1_val == 512, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x200; -li x10, 0x200 -aes64im x11, x10 -sw x11, 928(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_140: -// rs1_val == 256, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x100; -li x10, 0x100 -aes64im x11, x10 -sw x11, 936(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_141: -// rs1_val == 128, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x80; -li x10, 0x80 -aes64im x11, x10 -sw x11, 944(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_142: -// rs1_val == 64, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x40; -li x10, 0x40 -aes64im x11, x10 -sw x11, 952(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_143: -// rs1_val == 32, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x20; -li x10, 0x20 -aes64im x11, x10 -sw x11, 960(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_144: -// rs1_val == 16, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x10; -li x10, 0x10 -aes64im x11, x10 -sw x11, 968(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_145: -// rs1_val == 8, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x8; -li x10, 0x8 -aes64im x11, x10 -sw x11, 976(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_146: -// rs1_val == 4, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x4; -li x10, 0x4 -aes64im x11, x10 -sw x11, 984(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_147: -// rs1_val == 2, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x2; -li x10, 0x2 -aes64im x11, x10 -sw x11, 992(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_148: -// rs1_val == 0x75a3adb3254a9493 -// opcode: aes64im ; op1:x10; dest:x11; op1val:0x75a3adb3254a9493; -li x10, 0x75a3adb3254a9493 -aes64im x11, x10 -sw x11, 1000(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_149: -// rs1_val == 18444492273895866367, -// opcode: aes64im ; op1:x10; dest:x11; op1val:0xfff7ffffffffffff; -li x10, 0xfff7ffffffffffff -aes64im x11, x10 -sw x11, 1008(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 23*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 127*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp1.S deleted file mode 100644 index 63d15021a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp1.S +++ /dev/null @@ -1,972 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",aes64im) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",aes64im) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x3, x1, x2; -aes64im x1, x3; -xor x4, x1, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x1,8); -RVTEST_SIGUPD(x31,x4,16); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -not x3, x2; -aes64im x1, x3; -not x4, x1; -RVTEST_SIGUPD(x31,x3,24); -RVTEST_SIGUPD(x31,x1,32); -RVTEST_SIGUPD(x31,x4,40); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -add x3, x1, x2; -aes64im x1, x3; -add x4, x1, x2; -RVTEST_SIGUPD(x31,x3,48); -RVTEST_SIGUPD(x31,x1,56); -RVTEST_SIGUPD(x31,x4,64); - -inst_1: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x4, x2, x3; -aes64im x2, x4; -xor x5, x2, x3; -RVTEST_SIGUPD(x31,x4,72); -RVTEST_SIGUPD(x31,x2,80); -RVTEST_SIGUPD(x31,x5,88); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -not x4, x3; -aes64im x2, x4; -not x5, x2; -RVTEST_SIGUPD(x31,x4,96); -RVTEST_SIGUPD(x31,x2,104); -RVTEST_SIGUPD(x31,x5,112); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -add x4, x2, x3; -aes64im x2, x4; -add x5, x2, x3; -RVTEST_SIGUPD(x31,x4,120); -RVTEST_SIGUPD(x31,x2,128); -RVTEST_SIGUPD(x31,x5,136); - -inst_2: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x5, x3, x4; -aes64im x3, x5; -xor x6, x3, x4; -RVTEST_SIGUPD(x31,x5,144); -RVTEST_SIGUPD(x31,x3,152); -RVTEST_SIGUPD(x31,x6,160); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -not x5, x4; -aes64im x3, x5; -not x6, x3; -RVTEST_SIGUPD(x31,x5,168); -RVTEST_SIGUPD(x31,x3,176); -RVTEST_SIGUPD(x31,x6,184); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -add x5, x3, x4; -aes64im x3, x5; -add x6, x3, x4; -RVTEST_SIGUPD(x31,x5,192); -RVTEST_SIGUPD(x31,x3,200); -RVTEST_SIGUPD(x31,x6,208); - -inst_3: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x6, x4, x5; -aes64im x4, x6; -xor x7, x4, x5; -RVTEST_SIGUPD(x31,x6,216); -RVTEST_SIGUPD(x31,x4,224); -RVTEST_SIGUPD(x31,x7,232); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -not x6, x5; -aes64im x4, x6; -not x7, x4; -RVTEST_SIGUPD(x31,x6,240); -RVTEST_SIGUPD(x31,x4,248); -RVTEST_SIGUPD(x31,x7,256); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -add x6, x4, x5; -aes64im x4, x6; -add x7, x4, x5; -RVTEST_SIGUPD(x31,x6,264); -RVTEST_SIGUPD(x31,x4,272); -RVTEST_SIGUPD(x31,x7,280); - -inst_4: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x7, x5, x6; -aes64im x5, x7; -xor x8, x5, x6; -RVTEST_SIGUPD(x31,x7,288); -RVTEST_SIGUPD(x31,x5,296); -RVTEST_SIGUPD(x31,x8,304); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -not x7, x6; -aes64im x5, x7; -not x8, x5; -RVTEST_SIGUPD(x31,x7,312); -RVTEST_SIGUPD(x31,x5,320); -RVTEST_SIGUPD(x31,x8,328); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -add x7, x5, x6; -aes64im x5, x7; -add x8, x5, x6; -RVTEST_SIGUPD(x31,x7,336); -RVTEST_SIGUPD(x31,x5,344); -RVTEST_SIGUPD(x31,x8,352); - -inst_5: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x8, x6, x7; -aes64im x6, x8; -xor x9, x6, x7; -RVTEST_SIGUPD(x31,x8,360); -RVTEST_SIGUPD(x31,x6,368); -RVTEST_SIGUPD(x31,x9,376); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -not x8, x7; -aes64im x6, x8; -not x9, x6; -RVTEST_SIGUPD(x31,x8,384); -RVTEST_SIGUPD(x31,x6,392); -RVTEST_SIGUPD(x31,x9,400); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -add x8, x6, x7; -aes64im x6, x8; -add x9, x6, x7; -RVTEST_SIGUPD(x31,x8,408); -RVTEST_SIGUPD(x31,x6,416); -RVTEST_SIGUPD(x31,x9,424); - -inst_6: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x9, x7, x8; -aes64im x7, x9; -xor x10, x7, x8; -RVTEST_SIGUPD(x31,x9,432); -RVTEST_SIGUPD(x31,x7,440); -RVTEST_SIGUPD(x31,x10,448); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -not x9, x8; -aes64im x7, x9; -not x10, x7; -RVTEST_SIGUPD(x31,x9,456); -RVTEST_SIGUPD(x31,x7,464); -RVTEST_SIGUPD(x31,x10,472); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -add x9, x7, x8; -aes64im x7, x9; -add x10, x7, x8; -RVTEST_SIGUPD(x31,x9,480); -RVTEST_SIGUPD(x31,x7,488); -RVTEST_SIGUPD(x31,x10,496); - -inst_7: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x10, x8, x9; -aes64im x8, x10; -xor x11, x8, x9; -RVTEST_SIGUPD(x31,x10,504); -RVTEST_SIGUPD(x31,x8,512); -RVTEST_SIGUPD(x31,x11,520); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -not x10, x9; -aes64im x8, x10; -not x11, x8; -RVTEST_SIGUPD(x31,x10,528); -RVTEST_SIGUPD(x31,x8,536); -RVTEST_SIGUPD(x31,x11,544); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -add x10, x8, x9; -aes64im x8, x10; -add x11, x8, x9; -RVTEST_SIGUPD(x31,x10,552); -RVTEST_SIGUPD(x31,x8,560); -RVTEST_SIGUPD(x31,x11,568); - -inst_8: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x11, x9, x10; -aes64im x9, x11; -xor x12, x9, x10; -RVTEST_SIGUPD(x31,x11,576); -RVTEST_SIGUPD(x31,x9,584); -RVTEST_SIGUPD(x31,x12,592); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -not x11, x10; -aes64im x9, x11; -not x12, x9; -RVTEST_SIGUPD(x31,x11,600); -RVTEST_SIGUPD(x31,x9,608); -RVTEST_SIGUPD(x31,x12,616); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -add x11, x9, x10; -aes64im x9, x11; -add x12, x9, x10; -RVTEST_SIGUPD(x31,x11,624); -RVTEST_SIGUPD(x31,x9,632); -RVTEST_SIGUPD(x31,x12,640); - -inst_9: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x12, x10, x11; -aes64im x10, x12; -xor x13, x10, x11; -RVTEST_SIGUPD(x31,x12,648); -RVTEST_SIGUPD(x31,x10,656); -RVTEST_SIGUPD(x31,x13,664); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -not x12, x11; -aes64im x10, x12; -not x13, x10; -RVTEST_SIGUPD(x31,x12,672); -RVTEST_SIGUPD(x31,x10,680); -RVTEST_SIGUPD(x31,x13,688); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -add x12, x10, x11; -aes64im x10, x12; -add x13, x10, x11; -RVTEST_SIGUPD(x31,x12,696); -RVTEST_SIGUPD(x31,x10,704); -RVTEST_SIGUPD(x31,x13,712); - -inst_10: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x13, x11, x12; -aes64im x11, x13; -xor x14, x11, x12; -RVTEST_SIGUPD(x31,x13,720); -RVTEST_SIGUPD(x31,x11,728); -RVTEST_SIGUPD(x31,x14,736); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -not x13, x12; -aes64im x11, x13; -not x14, x11; -RVTEST_SIGUPD(x31,x13,744); -RVTEST_SIGUPD(x31,x11,752); -RVTEST_SIGUPD(x31,x14,760); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -add x13, x11, x12; -aes64im x11, x13; -add x14, x11, x12; -RVTEST_SIGUPD(x31,x13,768); -RVTEST_SIGUPD(x31,x11,776); -RVTEST_SIGUPD(x31,x14,784); - -inst_11: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x14, x12, x13; -aes64im x12, x14; -xor x15, x12, x13; -RVTEST_SIGUPD(x31,x14,792); -RVTEST_SIGUPD(x31,x12,800); -RVTEST_SIGUPD(x31,x15,808); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -not x14, x13; -aes64im x12, x14; -not x15, x12; -RVTEST_SIGUPD(x31,x14,816); -RVTEST_SIGUPD(x31,x12,824); -RVTEST_SIGUPD(x31,x15,832); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -add x14, x12, x13; -aes64im x12, x14; -add x15, x12, x13; -RVTEST_SIGUPD(x31,x14,840); -RVTEST_SIGUPD(x31,x12,848); -RVTEST_SIGUPD(x31,x15,856); - -inst_12: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x15, x13, x14; -aes64im x13, x15; -xor x16, x13, x14; -RVTEST_SIGUPD(x31,x15,864); -RVTEST_SIGUPD(x31,x13,872); -RVTEST_SIGUPD(x31,x16,880); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -not x15, x14; -aes64im x13, x15; -not x16, x13; -RVTEST_SIGUPD(x31,x15,888); -RVTEST_SIGUPD(x31,x13,896); -RVTEST_SIGUPD(x31,x16,904); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -add x15, x13, x14; -aes64im x13, x15; -add x16, x13, x14; -RVTEST_SIGUPD(x31,x15,912); -RVTEST_SIGUPD(x31,x13,920); -RVTEST_SIGUPD(x31,x16,928); - -inst_13: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x16, x14, x15; -aes64im x14, x16; -xor x17, x14, x15; -RVTEST_SIGUPD(x31,x16,936); -RVTEST_SIGUPD(x31,x14,944); -RVTEST_SIGUPD(x31,x17,952); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -not x16, x15; -aes64im x14, x16; -not x17, x14; -RVTEST_SIGUPD(x31,x16,960); -RVTEST_SIGUPD(x31,x14,968); -RVTEST_SIGUPD(x31,x17,976); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -add x16, x14, x15; -aes64im x14, x16; -add x17, x14, x15; -RVTEST_SIGUPD(x31,x16,984); -RVTEST_SIGUPD(x31,x14,992); -RVTEST_SIGUPD(x31,x17,1000); - -inst_14: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x17, x15, x16; -aes64im x15, x17; -xor x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1008); -RVTEST_SIGUPD(x31,x15,1016); -RVTEST_SIGUPD(x31,x18,1024); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -not x17, x16; -aes64im x15, x17; -not x18, x15; -RVTEST_SIGUPD(x31,x17,1032); -RVTEST_SIGUPD(x31,x15,1040); -RVTEST_SIGUPD(x31,x18,1048); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -add x17, x15, x16; -aes64im x15, x17; -add x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1056); -RVTEST_SIGUPD(x31,x15,1064); -RVTEST_SIGUPD(x31,x18,1072); - -inst_15: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x18, x16, x17; -aes64im x16, x18; -xor x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1080); -RVTEST_SIGUPD(x31,x16,1088); -RVTEST_SIGUPD(x31,x19,1096); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -not x18, x17; -aes64im x16, x18; -not x19, x16; -RVTEST_SIGUPD(x31,x18,1104); -RVTEST_SIGUPD(x31,x16,1112); -RVTEST_SIGUPD(x31,x19,1120); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -add x18, x16, x17; -aes64im x16, x18; -add x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1128); -RVTEST_SIGUPD(x31,x16,1136); -RVTEST_SIGUPD(x31,x19,1144); - -inst_16: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x19, x17, x18; -aes64im x17, x19; -xor x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1152); -RVTEST_SIGUPD(x31,x17,1160); -RVTEST_SIGUPD(x31,x20,1168); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -not x19, x18; -aes64im x17, x19; -not x20, x17; -RVTEST_SIGUPD(x31,x19,1176); -RVTEST_SIGUPD(x31,x17,1184); -RVTEST_SIGUPD(x31,x20,1192); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -add x19, x17, x18; -aes64im x17, x19; -add x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1200); -RVTEST_SIGUPD(x31,x17,1208); -RVTEST_SIGUPD(x31,x20,1216); - -inst_17: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x20, x18, x19; -aes64im x18, x20; -xor x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1224); -RVTEST_SIGUPD(x31,x18,1232); -RVTEST_SIGUPD(x31,x21,1240); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -not x20, x19; -aes64im x18, x20; -not x21, x18; -RVTEST_SIGUPD(x31,x20,1248); -RVTEST_SIGUPD(x31,x18,1256); -RVTEST_SIGUPD(x31,x21,1264); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -add x20, x18, x19; -aes64im x18, x20; -add x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1272); -RVTEST_SIGUPD(x31,x18,1280); -RVTEST_SIGUPD(x31,x21,1288); - -inst_18: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x21, x19, x20; -aes64im x19, x21; -xor x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1296); -RVTEST_SIGUPD(x31,x19,1304); -RVTEST_SIGUPD(x31,x22,1312); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -not x21, x20; -aes64im x19, x21; -not x22, x19; -RVTEST_SIGUPD(x31,x21,1320); -RVTEST_SIGUPD(x31,x19,1328); -RVTEST_SIGUPD(x31,x22,1336); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -add x21, x19, x20; -aes64im x19, x21; -add x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1344); -RVTEST_SIGUPD(x31,x19,1352); -RVTEST_SIGUPD(x31,x22,1360); - -inst_19: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x22, x20, x21; -aes64im x20, x22; -xor x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1368); -RVTEST_SIGUPD(x31,x20,1376); -RVTEST_SIGUPD(x31,x23,1384); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -not x22, x21; -aes64im x20, x22; -not x23, x20; -RVTEST_SIGUPD(x31,x22,1392); -RVTEST_SIGUPD(x31,x20,1400); -RVTEST_SIGUPD(x31,x23,1408); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -add x22, x20, x21; -aes64im x20, x22; -add x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1416); -RVTEST_SIGUPD(x31,x20,1424); -RVTEST_SIGUPD(x31,x23,1432); - -inst_20: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x23, x21, x22; -aes64im x21, x23; -xor x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1440); -RVTEST_SIGUPD(x31,x21,1448); -RVTEST_SIGUPD(x31,x24,1456); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -not x23, x22; -aes64im x21, x23; -not x24, x21; -RVTEST_SIGUPD(x31,x23,1464); -RVTEST_SIGUPD(x31,x21,1472); -RVTEST_SIGUPD(x31,x24,1480); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -add x23, x21, x22; -aes64im x21, x23; -add x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1488); -RVTEST_SIGUPD(x31,x21,1496); -RVTEST_SIGUPD(x31,x24,1504); - -inst_21: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x24, x22, x23; -aes64im x22, x24; -xor x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1512); -RVTEST_SIGUPD(x31,x22,1520); -RVTEST_SIGUPD(x31,x25,1528); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -not x24, x23; -aes64im x22, x24; -not x25, x22; -RVTEST_SIGUPD(x31,x24,1536); -RVTEST_SIGUPD(x31,x22,1544); -RVTEST_SIGUPD(x31,x25,1552); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -add x24, x22, x23; -aes64im x22, x24; -add x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1560); -RVTEST_SIGUPD(x31,x22,1568); -RVTEST_SIGUPD(x31,x25,1576); - -inst_22: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x25, x23, x24; -aes64im x23, x25; -xor x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1584); -RVTEST_SIGUPD(x31,x23,1592); -RVTEST_SIGUPD(x31,x26,1600); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -not x25, x24; -aes64im x23, x25; -not x26, x23; -RVTEST_SIGUPD(x31,x25,1608); -RVTEST_SIGUPD(x31,x23,1616); -RVTEST_SIGUPD(x31,x26,1624); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -add x25, x23, x24; -aes64im x23, x25; -add x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1632); -RVTEST_SIGUPD(x31,x23,1640); -RVTEST_SIGUPD(x31,x26,1648); - -inst_23: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x26, x24, x25; -aes64im x24, x26; -xor x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1656); -RVTEST_SIGUPD(x31,x24,1664); -RVTEST_SIGUPD(x31,x27,1672); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -not x26, x25; -aes64im x24, x26; -not x27, x24; -RVTEST_SIGUPD(x31,x26,1680); -RVTEST_SIGUPD(x31,x24,1688); -RVTEST_SIGUPD(x31,x27,1696); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -add x26, x24, x25; -aes64im x24, x26; -add x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1704); -RVTEST_SIGUPD(x31,x24,1712); -RVTEST_SIGUPD(x31,x27,1720); - -inst_24: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x27, x25, x26; -aes64im x25, x27; -xor x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1728); -RVTEST_SIGUPD(x31,x25,1736); -RVTEST_SIGUPD(x31,x28,1744); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -not x27, x26; -aes64im x25, x27; -not x28, x25; -RVTEST_SIGUPD(x31,x27,1752); -RVTEST_SIGUPD(x31,x25,1760); -RVTEST_SIGUPD(x31,x28,1768); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -add x27, x25, x26; -aes64im x25, x27; -add x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1776); -RVTEST_SIGUPD(x31,x25,1784); -RVTEST_SIGUPD(x31,x28,1792); - -inst_25: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x28, x26, x27; -aes64im x26, x28; -xor x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1800); -RVTEST_SIGUPD(x31,x26,1808); -RVTEST_SIGUPD(x31,x29,1816); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -not x28, x27; -aes64im x26, x28; -not x29, x26; -RVTEST_SIGUPD(x31,x28,1824); -RVTEST_SIGUPD(x31,x26,1832); -RVTEST_SIGUPD(x31,x29,1840); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -add x28, x26, x27; -aes64im x26, x28; -add x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1848); -RVTEST_SIGUPD(x31,x26,1856); -RVTEST_SIGUPD(x31,x29,1864); - -inst_26: -// Forwarded xor into aes64im & the result back into xor -// opcode: aes64im; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -xor x29, x27, x28; -aes64im x27, x29; -xor x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1872); -RVTEST_SIGUPD(x31,x27,1880); -RVTEST_SIGUPD(x31,x30,1888); - -// Forwarded not into aes64im & the result back into not -// opcode: aes64im; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -not x29, x28; -aes64im x27, x29; -not x30, x27; -RVTEST_SIGUPD(x31,x29,1896); -RVTEST_SIGUPD(x31,x27,1904); -RVTEST_SIGUPD(x31,x30,1912); - -// Forwarded add into aes64im & the result back into add -// opcode: aes64im; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -add x29, x27, x28; -aes64im x27, x29; -add x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1920); -RVTEST_SIGUPD(x31,x27,1928); -RVTEST_SIGUPD(x31,x30,1936); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 243*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp2.S deleted file mode 100644 index 4dbb06c87..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp2.S +++ /dev/null @@ -1,307 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",aes64im) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",aes64im) - -RVTEST_SIGBASE( x31,signature_x31_1) - -la x1, rvtest_data - -inst_0: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x2; dest1:x3; -LREG x2, 0(x1); -aes64im x3, x2; -RVTEST_SIGUPD(x31,x2,0); -RVTEST_SIGUPD(x31,x3,8); - -inst_1: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x3; dest1:x4; -LREG x3, 8(x1); -aes64im x4, x3; -RVTEST_SIGUPD(x31,x3,16); -RVTEST_SIGUPD(x31,x4,24); - -inst_2: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x4; dest1:x5; -LREG x4, 16(x1); -aes64im x5, x4; -RVTEST_SIGUPD(x31,x4,32); -RVTEST_SIGUPD(x31,x5,40); - -inst_3: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x5; dest1:x6; -LREG x5, 24(x1); -aes64im x6, x5; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); - -inst_4: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x6; dest1:x7; -LREG x6, 32(x1); -aes64im x7, x6; -RVTEST_SIGUPD(x31,x6,64); -RVTEST_SIGUPD(x31,x7,72); - -inst_5: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x7; dest1:x8; -LREG x7, 40(x1); -aes64im x8, x7; -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_6: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x8; dest1:x9; -LREG x8, 48(x1); -aes64im x9, x8; -RVTEST_SIGUPD(x31,x8,96); -RVTEST_SIGUPD(x31,x9,104); - -inst_7: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x9; dest1:x10; -LREG x9, 56(x1); -aes64im x10, x9; -RVTEST_SIGUPD(x31,x9,112); -RVTEST_SIGUPD(x31,x10,120); - -inst_8: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x10; dest1:x11; -LREG x10, 64(x1); -aes64im x11, x10; -RVTEST_SIGUPD(x31,x10,128); -RVTEST_SIGUPD(x31,x11,136); - -inst_9: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x11; dest1:x12; -LREG x11, 72(x1); -aes64im x12, x11; -RVTEST_SIGUPD(x31,x11,144); -RVTEST_SIGUPD(x31,x12,152); - -inst_10: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x12; dest1:x13; -LREG x12, 80(x1); -aes64im x13, x12; -RVTEST_SIGUPD(x31,x12,160); -RVTEST_SIGUPD(x31,x13,168); - -inst_11: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x13; dest1:x14; -LREG x13, 88(x1); -aes64im x14, x13; -RVTEST_SIGUPD(x31,x13,176); -RVTEST_SIGUPD(x31,x14,184); - -inst_12: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x14; dest1:x15; -LREG x14, 96(x1); -aes64im x15, x14; -RVTEST_SIGUPD(x31,x14,192); -RVTEST_SIGUPD(x31,x15,200); - -inst_13: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x15; dest1:x16; -LREG x15, 104(x1); -aes64im x16, x15; -RVTEST_SIGUPD(x31,x15,208); -RVTEST_SIGUPD(x31,x16,216); - -inst_14: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x16; dest1:x17; -LREG x16, 112(x1); -aes64im x17, x16; -RVTEST_SIGUPD(x31,x16,224); -RVTEST_SIGUPD(x31,x17,232); - -inst_15: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x17; dest1:x18; -LREG x17, 120(x1); -aes64im x18, x17; -RVTEST_SIGUPD(x31,x17,240); -RVTEST_SIGUPD(x31,x18,248); - -inst_16: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x18; dest1:x19; -LREG x18, 128(x1); -aes64im x19, x18; -RVTEST_SIGUPD(x31,x18,256); -RVTEST_SIGUPD(x31,x19,264); - -inst_17: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x19; dest1:x20; -LREG x19, 136(x1); -aes64im x20, x19; -RVTEST_SIGUPD(x31,x19,272); -RVTEST_SIGUPD(x31,x20,280); - -inst_18: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x20; dest1:x21; -LREG x20, 144(x1); -aes64im x21, x20; -RVTEST_SIGUPD(x31,x20,288); -RVTEST_SIGUPD(x31,x21,296); - -inst_19: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x21; dest1:x22; -LREG x21, 152(x1); -aes64im x22, x21; -RVTEST_SIGUPD(x31,x21,304); -RVTEST_SIGUPD(x31,x22,312); - -inst_20: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x22; dest1:x23; -LREG x22, 160(x1); -aes64im x23, x22; -RVTEST_SIGUPD(x31,x22,320); -RVTEST_SIGUPD(x31,x23,328); - -inst_21: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x23; dest1:x24; -LREG x23, 168(x1); -aes64im x24, x23; -RVTEST_SIGUPD(x31,x23,336); -RVTEST_SIGUPD(x31,x24,344); - -inst_22: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x24; dest1:x25; -LREG x24, 176(x1); -aes64im x25, x24; -RVTEST_SIGUPD(x31,x24,352); -RVTEST_SIGUPD(x31,x25,360); - -inst_23: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x25; dest1:x26; -LREG x25, 184(x1); -aes64im x26, x25; -RVTEST_SIGUPD(x31,x25,368); -RVTEST_SIGUPD(x31,x26,376); - -inst_24: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x26; dest1:x27; -LREG x26, 192(x1); -aes64im x27, x26; -RVTEST_SIGUPD(x31,x26,384); -RVTEST_SIGUPD(x31,x27,392); - -inst_25: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x27; dest1:x28; -LREG x27, 200(x1); -aes64im x28, x27; -RVTEST_SIGUPD(x31,x27,400); -RVTEST_SIGUPD(x31,x28,408); - -inst_26: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x28; dest1:x29; -LREG x28, 208(x1); -aes64im x29, x28; -RVTEST_SIGUPD(x31,x28,416); -RVTEST_SIGUPD(x31,x29,424); - -inst_27: -// Checking load-to-use hazard! -// opcode: aes64im; op1:x29; dest1:x30; -LREG x29, 216(x1); -aes64im x30, x29; -RVTEST_SIGUPD(x31,x29,432); -RVTEST_SIGUPD(x31,x30,440); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.dword 0x08577eb1924770d3 -.dword 0x93fdcab87b89296c -.dword 0xd2d6b8777dc59a3a -.dword 0xcf84b683a749f9c5 -.dword 0x854a965708ceac39 -.dword 0x137a977753e8eb43 -.dword 0x5c74e45eff1e5bef -.dword 0xdc3383836b9f15c4 -.dword 0x5ae6a2289a6ab329 -.dword 0x432779eeacca7f0d -.dword 0xaf949e5e2cb7362c -.dword 0x5cd2875ea96ec2b3 -.dword 0x9d02fc90708cc1b6 -.dword 0x953b00b00b54aa22 -.dword 0x224c06013c53d0e3 -.dword 0xe8dac663f0e58650 -.dword 0x3d7c95f9e5f0307e -.dword 0x8c8a18b2aaac3142 -.dword 0x785036de6f9fb997 -.dword 0x95a4d257a7298c66 -.dword 0x807da245d814d575 -.dword 0x3d06143769b1dcbf -.dword 0x7f21682208208d09 -.dword 0x14b91c79dae98554 -.dword 0xc5ec6148c6880007 -.dword 0x7213516d6a013380 -.dword 0x4652f62dae4839a1 -.dword 0x85986adb9e044706 -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 56*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks1i-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks1i-01.S deleted file mode 100644 index 7616326ea..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks1i-01.S +++ /dev/null @@ -1,512 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Thu Aug 12 11:00:04 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the aes64ks1i instruction of the RISC-V K extension for the aes64ks1i covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",aes64ks1i) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",aes64ks1i) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKne.*);def TEST_CASE_1=True;",aes64ks1i) - -RVTEST_SIGBASE( x14,signature_x14_1) - -inst_0: -// rs1 != rd, rs1==x7, rd==x8, rs1_val == 0x0706050403020100 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x7; dest:x8; op1val:0x706050403020100; immval:0xa -TEST_IMM_OP( aes64ks1i, x8, x7, 0x0000000000000000, 0x706050403020100, 0xa, x14, 0, x15) - -inst_1: -// rs1 == rd, rs1==x19, rd==x19, rs1_val == 0x71fad878b369e102 and imm_val == 0x0 -// opcode: aes64ks1i ; op1:x19; dest:x19; op1val:0x71fad878b369e102; immval:0x0 -TEST_IMM_OP( aes64ks1i, x19, x19, 0x0000000000000000, 0x71fad878b369e102, 0x0, x14, 8, x15) - -inst_2: -// rs1==x12, rd==x10, rs1_val == 0xa4b7f979a8e45869 and imm_val == 0x0 -// opcode: aes64ks1i ; op1:x12; dest:x10; op1val:0xa4b7f979a8e45869; immval:0x0 -TEST_IMM_OP( aes64ks1i, x10, x12, 0x0000000000000000, 0xa4b7f979a8e45869, 0x0, x14, 16, x15) - -inst_3: -// rs1==x8, rd==x6, rs1_val == 0x0b3fd605358a9235 and imm_val == 0x1 -// opcode: aes64ks1i ; op1:x8; dest:x6; op1val:0xb3fd605358a9235; immval:0x1 -TEST_IMM_OP( aes64ks1i, x6, x8, 0x0000000000000000, 0xb3fd605358a9235, 0x1, x14, 24, x15) - -inst_4: -// rs1==x30, rd==x28, rs1_val == 0xb0873a0f0334fcca and imm_val == 0x7 -// opcode: aes64ks1i ; op1:x30; dest:x28; op1val:0xb0873a0f0334fcca; immval:0x7 -TEST_IMM_OP( aes64ks1i, x28, x30, 0x0000000000000000, 0xb0873a0f0334fcca, 0x7, x14, 32, x15) - -inst_5: -// rs1==x16, rd==x13, rs1_val == 0x5b730cad91766f62 and imm_val == 0x7 -// opcode: aes64ks1i ; op1:x16; dest:x13; op1val:0x5b730cad91766f62; immval:0x7 -TEST_IMM_OP( aes64ks1i, x13, x16, 0x0000000000000000, 0x5b730cad91766f62, 0x7, x14, 40, x15) - -inst_6: -// rs1==x9, rd==x7, rs1_val == 0xb7c1fc5f1efa1095 and imm_val == 0x3 -// opcode: aes64ks1i ; op1:x9; dest:x7; op1val:0xb7c1fc5f1efa1095; immval:0x3 -TEST_IMM_OP( aes64ks1i, x7, x9, 0x0000000000000000, 0xb7c1fc5f1efa1095, 0x3, x14, 48, x15) - -inst_7: -// rs1==x17, rd==x5, rs1_val == 0x25ae27ee4113ee60 and imm_val == 0x5 -// opcode: aes64ks1i ; op1:x17; dest:x5; op1val:0x25ae27ee4113ee60; immval:0x5 -TEST_IMM_OP( aes64ks1i, x5, x17, 0x0000000000000000, 0x25ae27ee4113ee60, 0x5, x14, 56, x15) - -inst_8: -// rs1==x4, rd==x21, rs1_val == 0xe3f4fca319f046a5 and imm_val == 0x6 -// opcode: aes64ks1i ; op1:x4; dest:x21; op1val:0xe3f4fca319f046a5; immval:0x6 -TEST_IMM_OP( aes64ks1i, x21, x4, 0x0000000000000000, 0xe3f4fca319f046a5, 0x6, x14, 64, x15) - -inst_9: -// rs1==x2, rd==x20, rs1_val == 0xff9a1b805ced7e2e and imm_val == 0x6 -// opcode: aes64ks1i ; op1:x2; dest:x20; op1val:0xff9a1b805ced7e2e; immval:0x6 -TEST_IMM_OP( aes64ks1i, x20, x2, 0x0000000000000000, 0xff9a1b805ced7e2e, 0x6, x14, 72, x15) - -inst_10: -// rs1==x0, rd==x1, rs1_val == 0x9722c9a6b0942992 and imm_val == 0x5 -// opcode: aes64ks1i ; op1:x0; dest:x1; op1val:0x0; immval:0x5 -TEST_IMM_OP( aes64ks1i, x1, x0, 0x0000000000000000, 0x0, 0x5, x14, 80, x15) - -inst_11: -// rs1==x1, rd==x2, rs1_val == 0x9bedfe390d6ddd9d and imm_val == 0x4 -// opcode: aes64ks1i ; op1:x1; dest:x2; op1val:0x9bedfe390d6ddd9d; immval:0x4 -TEST_IMM_OP( aes64ks1i, x2, x1, 0x0000000000000000, 0x9bedfe390d6ddd9d, 0x4, x14, 88, x15) - -inst_12: -// rs1==x13, rd==x27, rs1_val == 0xd75739f82ac177c6 and imm_val == 0x3 -// opcode: aes64ks1i ; op1:x13; dest:x27; op1val:0xd75739f82ac177c6; immval:0x3 -TEST_IMM_OP( aes64ks1i, x27, x13, 0x0000000000000000, 0xd75739f82ac177c6, 0x3, x14, 96, x15) - -inst_13: -// rs1==x23, rd==x22, rs1_val == 0x90efb625d9fbcdb5 and imm_val == 0x3 -// opcode: aes64ks1i ; op1:x23; dest:x22; op1val:0x90efb625d9fbcdb5; immval:0x3 -TEST_IMM_OP( aes64ks1i, x22, x23, 0x0000000000000000, 0x90efb625d9fbcdb5, 0x3, x14, 104, x15) - -inst_14: -// rs1==x21, rd==x18, rs1_val == 0x60067d39d169a3f8 and imm_val == 0x1 -// opcode: aes64ks1i ; op1:x21; dest:x18; op1val:0x60067d39d169a3f8; immval:0x1 -TEST_IMM_OP( aes64ks1i, x18, x21, 0x0000000000000000, 0x60067d39d169a3f8, 0x1, x14, 112, x15) - -inst_15: -// rs1==x18, rd==x24, rs1_val == 0xd5b9fe5cf69bdcf3 and imm_val == 0x6 -// opcode: aes64ks1i ; op1:x18; dest:x24; op1val:0xd5b9fe5cf69bdcf3; immval:0x6 -TEST_IMM_OP( aes64ks1i, x24, x18, 0x0000000000000000, 0xd5b9fe5cf69bdcf3, 0x6, x14, 120, x15) - -inst_16: -// rs1==x3, rd==x29, rs1_val == 0x58d548aae4921bf7 and imm_val == 0x6 -// opcode: aes64ks1i ; op1:x3; dest:x29; op1val:0x58d548aae4921bf7; immval:0x6 -TEST_IMM_OP( aes64ks1i, x29, x3, 0x0000000000000000, 0x58d548aae4921bf7, 0x6, x14, 128, x15) - -inst_17: -// rs1==x26, rd==x17, rs1_val == 0x2daf9ac7f5faf207 and imm_val == 0x3 -// opcode: aes64ks1i ; op1:x26; dest:x17; op1val:0x2daf9ac7f5faf207; immval:0x3 -TEST_IMM_OP( aes64ks1i, x17, x26, 0x0000000000000000, 0x2daf9ac7f5faf207, 0x3, x14, 136, x15) - -inst_18: -// rs1==x6, rd==x11, rs1_val == 0x3acdf61655d98c6e and imm_val == 0x3 -// opcode: aes64ks1i ; op1:x6; dest:x11; op1val:0x3acdf61655d98c6e; immval:0x3 -TEST_IMM_OP( aes64ks1i, x11, x6, 0x0000000000000000, 0x3acdf61655d98c6e, 0x3, x14, 144, x15) - -inst_19: -// rs1==x24, rd==x16, rs1_val == 0x436f40f274b8de87 and imm_val == 0x3 -// opcode: aes64ks1i ; op1:x24; dest:x16; op1val:0x436f40f274b8de87; immval:0x3 -TEST_IMM_OP( aes64ks1i, x16, x24, 0x0000000000000000, 0x436f40f274b8de87, 0x3, x14, 152, x2) - -inst_20: -// rs1==x10, rd==x31, rs1_val == 0x75a3adb3254a9493 and imm_val == 0x2 -// opcode: aes64ks1i ; op1:x10; dest:x31; op1val:0x75a3adb3254a9493; immval:0x2 -TEST_IMM_OP( aes64ks1i, x31, x10, 0x0000000000000000, 0x75a3adb3254a9493, 0x2, x14, 160, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_21: -// rs1==x25, rd==x14, rs1_val == 0x03020100fffefdfc and imm_val == 0xA -// opcode: aes64ks1i ; op1:x25; dest:x14; op1val:0x3020100fffefdfc; immval:0xa -TEST_IMM_OP( aes64ks1i, x14, x25, 0x0000000000000000, 0x3020100fffefdfc, 0xa, x1, 0, x2) - -inst_22: -// rs1==x11, rd==x4, rs1_val == 0xfffefdfcfbfaf9f8 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x11; dest:x4; op1val:0xfffefdfcfbfaf9f8; immval:0xa -TEST_IMM_OP( aes64ks1i, x4, x11, 0x0000000000000000, 0xfffefdfcfbfaf9f8, 0xa, x1, 8, x2) - -inst_23: -// rs1==x27, rd==x23, rs1_val == 0xfbfaf9f8f7f6f5f4 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x27; dest:x23; op1val:0xfbfaf9f8f7f6f5f4; immval:0xa -TEST_IMM_OP( aes64ks1i, x23, x27, 0x0000000000000000, 0xfbfaf9f8f7f6f5f4, 0xa, x1, 16, x2) - -inst_24: -// rs1==x20, rd==x30, rs1_val == 0xf7f6f5f4f3f2f1f0 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x20; dest:x30; op1val:0xf7f6f5f4f3f2f1f0; immval:0xa -TEST_IMM_OP( aes64ks1i, x30, x20, 0x0000000000000000, 0xf7f6f5f4f3f2f1f0, 0xa, x1, 24, x2) - -inst_25: -// rs1==x29, rd==x9, rs1_val == 0xf3f2f1f0efeeedec and imm_val == 0xA -// opcode: aes64ks1i ; op1:x29; dest:x9; op1val:0xf3f2f1f0efeeedec; immval:0xa -TEST_IMM_OP( aes64ks1i, x9, x29, 0x0000000000000000, 0xf3f2f1f0efeeedec, 0xa, x1, 32, x2) - -inst_26: -// rs1==x28, rd==x3, rs1_val == 0xefeeedecebeae9e8 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x28; dest:x3; op1val:0xefeeedecebeae9e8; immval:0xa -TEST_IMM_OP( aes64ks1i, x3, x28, 0x0000000000000000, 0xefeeedecebeae9e8, 0xa, x1, 40, x2) - -inst_27: -// rs1==x31, rd==x15, rs1_val == 0xebeae9e8e7e6e5e4 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x31; dest:x15; op1val:0xebeae9e8e7e6e5e4; immval:0xa -TEST_IMM_OP( aes64ks1i, x15, x31, 0x0000000000000000, 0xebeae9e8e7e6e5e4, 0xa, x1, 48, x2) - -inst_28: -// rs1==x5, rd==x25, rs1_val == 0xe7e6e5e4e3e2e1e0 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x5; dest:x25; op1val:0xe7e6e5e4e3e2e1e0; immval:0xa -TEST_IMM_OP( aes64ks1i, x25, x5, 0x0000000000000000, 0xe7e6e5e4e3e2e1e0, 0xa, x1, 56, x2) - -inst_29: -// rs1==x15, rd==x0, rs1_val == 0xe3e2e1e0dfdedddc and imm_val == 0xA -// opcode: aes64ks1i ; op1:x15; dest:x0; op1val:0xe3e2e1e0dfdedddc; immval:0xa -TEST_IMM_OP( aes64ks1i, x0, x15, 0x0000000000000000, 0xe3e2e1e0dfdedddc, 0xa, x1, 64, x2) - -inst_30: -// rs1==x14, rd==x26, rs1_val == 0xdfdedddcdbdad9d8 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x14; dest:x26; op1val:0xdfdedddcdbdad9d8; immval:0xa -TEST_IMM_OP( aes64ks1i, x26, x14, 0x0000000000000000, 0xdfdedddcdbdad9d8, 0xa, x1, 72, x2) - -inst_31: -// rs1==x22, rd==x12, rs1_val == 0xdbdad9d8d7d6d5d4 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x22; dest:x12; op1val:0xdbdad9d8d7d6d5d4; immval:0xa -TEST_IMM_OP( aes64ks1i, x12, x22, 0x0000000000000000, 0xdbdad9d8d7d6d5d4, 0xa, x1, 80, x2) - -inst_32: -// rs1_val == 0xd7d6d5d4d3d2d1d0 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xd7d6d5d4d3d2d1d0; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xd7d6d5d4d3d2d1d0, 0xa, x1, 88, x2) - -inst_33: -// rs1_val == 0xd3d2d1d0cfcecdcc and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xd3d2d1d0cfcecdcc; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xd3d2d1d0cfcecdcc, 0xa, x1, 96, x2) - -inst_34: -// rs1_val == 0xcfcecdcccbcac9c8 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xcfcecdcccbcac9c8; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xcfcecdcccbcac9c8, 0xa, x1, 104, x2) - -inst_35: -// rs1_val == 0xcbcac9c8c7c6c5c4 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xcbcac9c8c7c6c5c4; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xcbcac9c8c7c6c5c4, 0xa, x1, 112, x2) - -inst_36: -// rs1_val == 0xc7c6c5c4c3c2c1c0 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xc7c6c5c4c3c2c1c0; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xc7c6c5c4c3c2c1c0, 0xa, x1, 120, x2) - -inst_37: -// rs1_val == 0xc3c2c1c0bfbebdbc and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xc3c2c1c0bfbebdbc; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xc3c2c1c0bfbebdbc, 0xa, x1, 128, x2) - -inst_38: -// rs1_val == 0xbfbebdbcbbbab9b8 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xbfbebdbcbbbab9b8; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xbfbebdbcbbbab9b8, 0xa, x1, 136, x2) - -inst_39: -// rs1_val == 0xbbbab9b8b7b6b5b4 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xbbbab9b8b7b6b5b4; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xbbbab9b8b7b6b5b4, 0xa, x1, 144, x2) - -inst_40: -// rs1_val == 0xb7b6b5b4b3b2b1b0 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xb7b6b5b4b3b2b1b0; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xb7b6b5b4b3b2b1b0, 0xa, x1, 152, x2) - -inst_41: -// rs1_val == 0xb3b2b1b0afaeadac and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xb3b2b1b0afaeadac; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xb3b2b1b0afaeadac, 0xa, x1, 160, x2) - -inst_42: -// rs1_val == 0xafaeadacabaaa9a8 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xafaeadacabaaa9a8; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xafaeadacabaaa9a8, 0xa, x1, 168, x2) - -inst_43: -// rs1_val == 0xabaaa9a8a7a6a5a4 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xabaaa9a8a7a6a5a4; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xabaaa9a8a7a6a5a4, 0xa, x1, 176, x2) - -inst_44: -// rs1_val == 0xa7a6a5a4a3a2a1a0 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xa7a6a5a4a3a2a1a0; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xa7a6a5a4a3a2a1a0, 0xa, x1, 184, x2) - -inst_45: -// rs1_val == 0xa3a2a1a09f9e9d9c and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xa3a2a1a09f9e9d9c; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xa3a2a1a09f9e9d9c, 0xa, x1, 192, x2) - -inst_46: -// rs1_val == 0x9f9e9d9c9b9a9998 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x9f9e9d9c9b9a9998; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x9f9e9d9c9b9a9998, 0xa, x1, 200, x2) - -inst_47: -// rs1_val == 0x9b9a999897969594 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x9b9a999897969594; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x9b9a999897969594, 0xa, x1, 208, x2) - -inst_48: -// rs1_val == 0x9796959493929190 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x9796959493929190; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x9796959493929190, 0xa, x1, 216, x2) - -inst_49: -// rs1_val == 0x939291908f8e8d8c and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x939291908f8e8d8c; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x939291908f8e8d8c, 0xa, x1, 224, x2) - -inst_50: -// rs1_val == 0x8f8e8d8c8b8a8988 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x8f8e8d8c8b8a8988; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x8f8e8d8c8b8a8988, 0xa, x1, 232, x2) - -inst_51: -// rs1_val == 0x8b8a898887868584 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x8b8a898887868584; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x8b8a898887868584, 0xa, x1, 240, x2) - -inst_52: -// rs1_val == 0x8786858483828180 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x8786858483828180; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x8786858483828180, 0xa, x1, 248, x2) - -inst_53: -// rs1_val == 0x838281807f7e7d7c and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x838281807f7e7d7c; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x838281807f7e7d7c, 0xa, x1, 256, x2) - -inst_54: -// rs1_val == 0x7f7e7d7c7b7a7978 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x7f7e7d7c7b7a7978; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x7f7e7d7c7b7a7978, 0xa, x1, 264, x2) - -inst_55: -// rs1_val == 0x7b7a797877767574 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x7b7a797877767574; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x7b7a797877767574, 0xa, x1, 272, x2) - -inst_56: -// rs1_val == 0x7776757473727170 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x7776757473727170; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x7776757473727170, 0xa, x1, 280, x2) - -inst_57: -// rs1_val == 0x737271706f6e6d6c and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x737271706f6e6d6c; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x737271706f6e6d6c, 0xa, x1, 288, x2) - -inst_58: -// rs1_val == 0x6f6e6d6c6b6a6968 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x6f6e6d6c6b6a6968; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x6f6e6d6c6b6a6968, 0xa, x1, 296, x2) - -inst_59: -// rs1_val == 0x6b6a696867666564 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x6b6a696867666564; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x6b6a696867666564, 0xa, x1, 304, x2) - -inst_60: -// rs1_val == 0x6766656463626160 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x6766656463626160; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x6766656463626160, 0xa, x1, 312, x2) - -inst_61: -// rs1_val == 0x636261605f5e5d5c and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x636261605f5e5d5c; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x636261605f5e5d5c, 0xa, x1, 320, x2) - -inst_62: -// rs1_val == 0x5f5e5d5c5b5a5958 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x5f5e5d5c5b5a5958; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x5f5e5d5c5b5a5958, 0xa, x1, 328, x2) - -inst_63: -// rs1_val == 0x5b5a595857565554 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x5b5a595857565554; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x5b5a595857565554, 0xa, x1, 336, x2) - -inst_64: -// rs1_val == 0x5756555453525150 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x5756555453525150; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x5756555453525150, 0xa, x1, 344, x2) - -inst_65: -// rs1_val == 0x535251504f4e4d4c and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x535251504f4e4d4c; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x535251504f4e4d4c, 0xa, x1, 352, x2) - -inst_66: -// rs1_val == 0x4f4e4d4c4b4a4948 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x4f4e4d4c4b4a4948; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x4f4e4d4c4b4a4948, 0xa, x1, 360, x2) - -inst_67: -// rs1_val == 0x4b4a494847464544 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x4b4a494847464544; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x4b4a494847464544, 0xa, x1, 368, x2) - -inst_68: -// rs1_val == 0x4746454443424140 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x4746454443424140; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x4746454443424140, 0xa, x1, 376, x2) - -inst_69: -// rs1_val == 0x434241403f3e3d3c and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x434241403f3e3d3c; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x434241403f3e3d3c, 0xa, x1, 384, x2) - -inst_70: -// rs1_val == 0x3f3e3d3c3b3a3938 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x3f3e3d3c3b3a3938; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x3f3e3d3c3b3a3938, 0xa, x1, 392, x2) - -inst_71: -// rs1_val == 0x3b3a393837363534 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x3b3a393837363534; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x3b3a393837363534, 0xa, x1, 400, x2) - -inst_72: -// rs1_val == 0x3736353433323130 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x3736353433323130; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x3736353433323130, 0xa, x1, 408, x2) - -inst_73: -// rs1_val == 0x333231302f2e2d2c and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x333231302f2e2d2c; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x333231302f2e2d2c, 0xa, x1, 416, x2) - -inst_74: -// rs1_val == 0x2f2e2d2c2b2a2928 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x2f2e2d2c2b2a2928; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x2f2e2d2c2b2a2928, 0xa, x1, 424, x2) - -inst_75: -// rs1_val == 0x2b2a292827262524 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x2b2a292827262524; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x2b2a292827262524, 0xa, x1, 432, x2) - -inst_76: -// rs1_val == 0x2726252423222120 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x2726252423222120; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x2726252423222120, 0xa, x1, 440, x2) - -inst_77: -// rs1_val == 0x232221201f1e1d1c and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x232221201f1e1d1c; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x232221201f1e1d1c, 0xa, x1, 448, x2) - -inst_78: -// rs1_val == 0x1f1e1d1c1b1a1918 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x1f1e1d1c1b1a1918; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x1f1e1d1c1b1a1918, 0xa, x1, 456, x2) - -inst_79: -// rs1_val == 0x1b1a191817161514 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x1b1a191817161514; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x1b1a191817161514, 0xa, x1, 464, x2) - -inst_80: -// rs1_val == 0x1716151413121110 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x1716151413121110; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x1716151413121110, 0xa, x1, 472, x2) - -inst_81: -// rs1_val == 0x131211100f0e0d0c and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x131211100f0e0d0c; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x131211100f0e0d0c, 0xa, x1, 480, x2) - -inst_82: -// rs1_val == 0x0f0e0d0c0b0a0908 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xf0e0d0c0b0a0908; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xf0e0d0c0b0a0908, 0xa, x1, 488, x2) - -inst_83: -// rs1_val == 0x0b0a090807060504 and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xb0a090807060504; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xb0a090807060504, 0xa, x1, 496, x2) - -inst_84: -// rs1_val == 0x9722c9a6b0942992 and imm_val == 0x5 -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0x9722c9a6b0942992; immval:0x5 -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0x9722c9a6b0942992, 0x5, x1, 504, x2) - -inst_85: -// rs1_val == 0xe3e2e1e0dfdedddc and imm_val == 0xA -// opcode: aes64ks1i ; op1:x10; dest:x11; op1val:0xe3e2e1e0dfdedddc; immval:0xa -TEST_IMM_OP( aes64ks1i, x11, x10, 0x0000000000000000, 0xe3e2e1e0dfdedddc, 0xa, x1, 512, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x14_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x14_1: - .fill 21*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 65*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks2-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks2-01.S deleted file mode 100644 index 9385c36a9..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks2-01.S +++ /dev/null @@ -1,1187 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the aes64ks2 instruction of the RISC-V extension for the aes64ks2 covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",aes64ks2) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",aes64ks2) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKne.*);def TEST_CASE_1=True;",aes64ks2) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x13, rs1_val == 0x75a3adb3254a9493 and rs2_val == 0xc5521660f3a3c571 -// opcode: aes64ks2 ; op1:x8; op2:x8; dest:x13; op1val:0x75a3adb3254a9493; op2val:0x75a3adb3254a9493 -TEST_RR_OP(aes64ks2, x13, x8, x8, 0x0000000000000000, 0x75a3adb3254a9493, 0x75a3adb3254a9493, x2, 0, x11) - -inst_1: -// rs1 == rs2 == rd, rs1==x28, rs2==x28, rd==x28, rs2_val == 9223372036854775807, -// opcode: aes64ks2 ; op1:x28; op2:x28; dest:x28; op1val:0xd; op2val:0xd -TEST_RR_OP(aes64ks2, x28, x28, x28, 0x0000000000000000, 0xd, 0xd, x2, 8, x11) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x4, rs2==x0, rd==x24, rs2_val == 13835058055282163711, -// opcode: aes64ks2 ; op1:x4; op2:x0; dest:x24; op1val:-0x5; op2val:0x0 -TEST_RR_OP(aes64ks2, x24, x4, x0, 0x0000000000000000, -0x5, 0x0, x2, 16, x11) - -inst_3: -// rs1 == rd != rs2, rs1==x6, rs2==x22, rd==x6, rs2_val == 16140901064495857663, rs1_val == 281474976710656 -// opcode: aes64ks2 ; op1:x6; op2:x22; dest:x6; op1val:0x1000000000000; op2val:0xdfffffffffffffff -TEST_RR_OP(aes64ks2, x6, x6, x22, 0x0000000000000000, 0x1000000000000, 0xdfffffffffffffff, x2, 24, x11) - -inst_4: -// rs2 == rd != rs1, rs1==x10, rs2==x9, rd==x9, rs2_val == 17293822569102704639, rs1_val == 16384 -// opcode: aes64ks2 ; op1:x10; op2:x9; dest:x9; op1val:0x4000; op2val:0xefffffffffffffff -TEST_RR_OP(aes64ks2, x9, x10, x9, 0x0000000000000000, 0x4000, 0xefffffffffffffff, x2, 32, x11) - -inst_5: -// rs1==x1, rs2==x7, rd==x20, rs2_val == 17870283321406128127, rs1_val == 131072 -// opcode: aes64ks2 ; op1:x1; op2:x7; dest:x20; op1val:0x20000; op2val:0xf7ffffffffffffff -TEST_RR_OP(aes64ks2, x20, x1, x7, 0x0000000000000000, 0x20000, 0xf7ffffffffffffff, x2, 40, x11) - -inst_6: -// rs1==x17, rs2==x23, rd==x7, rs2_val == 18158513697557839871, rs1_val == 70368744177664 -// opcode: aes64ks2 ; op1:x17; op2:x23; dest:x7; op1val:0x400000000000; op2val:0xfbffffffffffffff -TEST_RR_OP(aes64ks2, x7, x17, x23, 0x0000000000000000, 0x400000000000, 0xfbffffffffffffff, x2, 48, x11) - -inst_7: -// rs1==x18, rs2==x13, rd==x14, rs2_val == 18302628885633695743, -// opcode: aes64ks2 ; op1:x18; op2:x13; dest:x14; op1val:-0x4000000000000000; op2val:0xfdffffffffffffff -TEST_RR_OP(aes64ks2, x14, x18, x13, 0x0000000000000000, -0x4000000000000000, 0xfdffffffffffffff, x2, 56, x11) - -inst_8: -// rs1==x12, rs2==x27, rd==x16, rs2_val == 18374686479671623679, rs1_val == 18446744069414584319 -// opcode: aes64ks2 ; op1:x12; op2:x27; dest:x16; op1val:0xfffffffeffffffff; op2val:0xfeffffffffffffff -TEST_RR_OP(aes64ks2, x16, x12, x27, 0x0000000000000000, 0xfffffffeffffffff, 0xfeffffffffffffff, x2, 64, x11) - -inst_9: -// rs1==x30, rs2==x17, rd==x31, rs2_val == 18410715276690587647, -// opcode: aes64ks2 ; op1:x30; op2:x17; dest:x31; op1val:-0x200000001; op2val:0xff7fffffffffffff -TEST_RR_OP(aes64ks2, x31, x30, x17, 0x0000000000000000, -0x200000001, 0xff7fffffffffffff, x2, 72, x11) - -inst_10: -// rs1==x22, rs2==x4, rd==x3, rs2_val == 18428729675200069631, rs1_val == 4611686018427387904 -// opcode: aes64ks2 ; op1:x22; op2:x4; dest:x3; op1val:0x4000000000000000; op2val:0xffbfffffffffffff -TEST_RR_OP(aes64ks2, x3, x22, x4, 0x0000000000000000, 0x4000000000000000, 0xffbfffffffffffff, x2, 80, x11) - -inst_11: -// rs1==x9, rs2==x15, rd==x5, rs2_val == 18437736874454810623, -// opcode: aes64ks2 ; op1:x9; op2:x15; dest:x5; op1val:-0x7; op2val:0xffdfffffffffffff -TEST_RR_OP(aes64ks2, x5, x9, x15, 0x0000000000000000, -0x7, 0xffdfffffffffffff, x2, 88, x11) - -inst_12: -// rs1==x27, rs2==x18, rd==x29, rs2_val == 18442240474082181119, rs1_val == 18446744073709551487 -// opcode: aes64ks2 ; op1:x27; op2:x18; dest:x29; op1val:0xffffffffffffff7f; op2val:0xffefffffffffffff -TEST_RR_OP(aes64ks2, x29, x27, x18, 0x0000000000000000, 0xffffffffffffff7f, 0xffefffffffffffff, x2, 96, x11) - -inst_13: -// rs1==x24, rs2==x12, rd==x17, rs2_val == 18444492273895866367, -// opcode: aes64ks2 ; op1:x24; op2:x12; dest:x17; op1val:-0x20000000001; op2val:0xfff7ffffffffffff -TEST_RR_OP(aes64ks2, x17, x24, x12, 0x0000000000000000, -0x20000000001, 0xfff7ffffffffffff, x2, 104, x11) - -inst_14: -// rs1==x21, rs2==x26, rd==x10, rs2_val == 18445618173802708991, rs1_val == 18014398509481984 -// opcode: aes64ks2 ; op1:x21; op2:x26; dest:x10; op1val:0x40000000000000; op2val:0xfffbffffffffffff -TEST_RR_OP(aes64ks2, x10, x21, x26, 0x0000000000000000, 0x40000000000000, 0xfffbffffffffffff, x2, 112, x11) - -inst_15: -// rs1==x0, rs2==x1, rd==x12, rs2_val == 18446181123756130303, -// opcode: aes64ks2 ; op1:x0; op2:x1; dest:x12; op1val:0x0; op2val:0xfffdffffffffffff -TEST_RR_OP(aes64ks2, x12, x0, x1, 0x0000000000000000, 0x0, 0xfffdffffffffffff, x2, 120, x8) - -inst_16: -// rs1==x15, rs2==x19, rd==x25, rs2_val == 18446462598732840959, rs1_val == 18446744073709551614 -// opcode: aes64ks2 ; op1:x15; op2:x19; dest:x25; op1val:0xfffffffffffffffe; op2val:0xfffeffffffffffff -TEST_RR_OP(aes64ks2, x25, x15, x19, 0x0000000000000000, 0xfffffffffffffffe, 0xfffeffffffffffff, x2, 128, x8) -RVTEST_SIGBASE( x9,signature_x9_0) - -inst_17: -// rs1==x13, rs2==x6, rd==x1, rs2_val == 18446603336221196287, -// opcode: aes64ks2 ; op1:x13; op2:x6; dest:x1; op1val:-0x40001; op2val:0xffff7fffffffffff -TEST_RR_OP(aes64ks2, x1, x13, x6, 0x0000000000000000, -0x40001, 0xffff7fffffffffff, x9, 0, x8) - -inst_18: -// rs1==x7, rs2==x2, rd==x30, rs2_val == 18446673704965373951, -// opcode: aes64ks2 ; op1:x7; op2:x2; dest:x30; op1val:0x400000000000; op2val:0xffffbfffffffffff -TEST_RR_OP(aes64ks2, x30, x7, x2, 0x0000000000000000, 0x400000000000, 0xffffbfffffffffff, x9, 8, x8) - -inst_19: -// rs1==x29, rs2==x5, rd==x2, rs2_val == 18446708889337462783, rs1_val == 16 -// opcode: aes64ks2 ; op1:x29; op2:x5; dest:x2; op1val:0x10; op2val:0xffffdfffffffffff -TEST_RR_OP(aes64ks2, x2, x29, x5, 0x0000000000000000, 0x10, 0xffffdfffffffffff, x9, 16, x8) - -inst_20: -// rs1==x25, rs2==x20, rd==x19, rs2_val == 18446726481523507199, -// opcode: aes64ks2 ; op1:x25; op2:x20; dest:x19; op1val:-0x40001; op2val:0xffffefffffffffff -TEST_RR_OP(aes64ks2, x19, x25, x20, 0x0000000000000000, -0x40001, 0xffffefffffffffff, x9, 24, x8) - -inst_21: -// rs1==x26, rs2==x14, rd==x4, rs2_val == 18446735277616529407, -// opcode: aes64ks2 ; op1:x26; op2:x14; dest:x4; op1val:0x400000000000; op2val:0xfffff7ffffffffff -TEST_RR_OP(aes64ks2, x4, x26, x14, 0x0000000000000000, 0x400000000000, 0xfffff7ffffffffff, x9, 32, x8) - -inst_22: -// rs1==x19, rs2==x24, rd==x23, rs2_val == 18446739675663040511, -// opcode: aes64ks2 ; op1:x19; op2:x24; dest:x23; op1val:-0x1000000000001; op2val:0xfffffbffffffffff -TEST_RR_OP(aes64ks2, x23, x19, x24, 0x0000000000000000, -0x1000000000001, 0xfffffbffffffffff, x9, 40, x8) - -inst_23: -// rs1==x3, rs2==x16, rd==x15, rs2_val == 18446741874686296063, rs1_val == 18158513697557839871 -// opcode: aes64ks2 ; op1:x3; op2:x16; dest:x15; op1val:0xfbffffffffffffff; op2val:0xfffffdffffffffff -TEST_RR_OP(aes64ks2, x15, x3, x16, 0x0000000000000000, 0xfbffffffffffffff, 0xfffffdffffffffff, x9, 48, x8) - -inst_24: -// rs1==x31, rs2==x11, rd==x21, rs2_val == 18446742974197923839, rs1_val == 18446744073707454463 -// opcode: aes64ks2 ; op1:x31; op2:x11; dest:x21; op1val:0xffffffffffdfffff; op2val:0xfffffeffffffffff -TEST_RR_OP(aes64ks2, x21, x31, x11, 0x0000000000000000, 0xffffffffffdfffff, 0xfffffeffffffffff, x9, 56, x8) - -inst_25: -// rs1==x14, rs2==x21, rd==x27, rs2_val == 18446743523953737727, -// opcode: aes64ks2 ; op1:x14; op2:x21; dest:x27; op1val:0x4000000000000000; op2val:0xffffff7fffffffff -TEST_RR_OP(aes64ks2, x27, x14, x21, 0x0000000000000000, 0x4000000000000000, 0xffffff7fffffffff, x9, 64, x8) - -inst_26: -// rs1==x5, rs2==x30, rd==x18, rs2_val == 18446743798831644671, rs1_val == 8 -// opcode: aes64ks2 ; op1:x5; op2:x30; dest:x18; op1val:0x8; op2val:0xffffffbfffffffff -TEST_RR_OP(aes64ks2, x18, x5, x30, 0x0000000000000000, 0x8, 0xffffffbfffffffff, x9, 72, x8) - -inst_27: -// rs1==x2, rs2==x29, rd==x11, rs2_val == 18446743936270598143, -// opcode: aes64ks2 ; op1:x2; op2:x29; dest:x11; op1val:-0x800000000000001; op2val:0xffffffdfffffffff -TEST_RR_OP(aes64ks2, x11, x2, x29, 0x0000000000000000, -0x800000000000001, 0xffffffdfffffffff, x9, 80, x8) - -inst_28: -// rs1==x20, rs2==x10, rd==x0, rs2_val == 18446744004990074879, -// opcode: aes64ks2 ; op1:x20; op2:x10; dest:x0; op1val:-0xa; op2val:0xffffffefffffffff -TEST_RR_OP(aes64ks2, x0, x20, x10, 0x0000000000000000, -0xa, 0xffffffefffffffff, x9, 88, x8) - -inst_29: -// rs1==x16, rs2==x25, rd==x26, rs2_val == 18446744039349813247, rs1_val == 2251799813685248 -// opcode: aes64ks2 ; op1:x16; op2:x25; dest:x26; op1val:0x8000000000000; op2val:0xfffffff7ffffffff -TEST_RR_OP(aes64ks2, x26, x16, x25, 0x0000000000000000, 0x8000000000000, 0xfffffff7ffffffff, x9, 96, x8) - -inst_30: -// rs1==x23, rs2==x3, rd==x22, rs2_val == 18446744056529682431, rs1_val == 35184372088832 -// opcode: aes64ks2 ; op1:x23; op2:x3; dest:x22; op1val:0x200000000000; op2val:0xfffffffbffffffff -TEST_RR_OP(aes64ks2, x22, x23, x3, 0x0000000000000000, 0x200000000000, 0xfffffffbffffffff, x9, 104, x8) - -inst_31: -// rs1==x11, rs2==x31, rd==x8, rs2_val == 18446744065119617023, rs1_val == 72057594037927936 -// opcode: aes64ks2 ; op1:x11; op2:x31; dest:x8; op1val:0x100000000000000; op2val:0xfffffffdffffffff -TEST_RR_OP(aes64ks2, x8, x11, x31, 0x0000000000000000, 0x100000000000000, 0xfffffffdffffffff, x9, 112, x2) - -inst_32: -// rs2_val == 18446744069414584319, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0xfffffffeffffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0xfffffffeffffffff, x9, 120, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_33: -// rs2_val == 18446744071562067967, rs1_val == 34359738368 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x800000000; op2val:0xffffffff7fffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x800000000, 0xffffffff7fffffff, x1, 0, x2) - -inst_34: -// rs2_val == 18446744072635809791, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0xffffffffbfffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x40001, 0xffffffffbfffffff, x1, 8, x2) - -inst_35: -// rs2_val == 18446744073172680703, rs1_val == 18446744073709543423 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffdfff; op2val:0xffffffffdfffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffffffffdfff, 0xffffffffdfffffff, x1, 16, x2) - -inst_36: -// rs2_val == 18446744073441116159, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x8000000001; op2val:0xffffffffefffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x8000000001, 0xffffffffefffffff, x1, 24, x2) - -inst_37: -// rs2_val == 18446744073575333887, rs1_val == 18446744056529682431 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbffffffff; op2val:0xfffffffff7ffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffbffffffff, 0xfffffffff7ffffff, x1, 32, x2) - -inst_38: -// rs2_val == 18446744073642442751, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000000001; op2val:0xfffffffffbffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x80000000000001, 0xfffffffffbffffff, x1, 40, x2) - -inst_39: -// rs2_val == 18446744073675997183, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xfffffffffdffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x6, 0xfffffffffdffffff, x1, 48, x2) - -inst_40: -// rs2_val == 18446744073692774399, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x200000000000001; op2val:0xfffffffffeffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x200000000000001, 0xfffffffffeffffff, x1, 56, x2) - -inst_41: -// rs2_val == 18446744073701163007, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x800000000; op2val:0xffffffffff7fffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x800000000, 0xffffffffff7fffff, x1, 64, x2) - -inst_42: -// rs2_val == 18446744073705357311, rs1_val == 33554432 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0xffffffffffbfffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x2000000, 0xffffffffffbfffff, x1, 72, x2) - -inst_43: -// rs2_val == 18446744073707454463, rs1_val == 18446741874686296063 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffdffffffffff; op2val:0xffffffffffdfffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffdffffffffff, 0xffffffffffdfffff, x1, 80, x2) - -inst_44: -// rs2_val == 18446744073708503039, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000; op2val:0xffffffffffefffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x8000000000000, 0xffffffffffefffff, x1, 88, x2) - -inst_45: -// rs2_val == 18446744073709027327, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0xfffffffffff7ffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x1, 0xfffffffffff7ffff, x1, 96, x2) - -inst_46: -// rs2_val == 18446744073709289471, rs1_val == 18446603336221196287 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffff7fffffffffff; op2val:0xfffffffffffbffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffff7fffffffffff, 0xfffffffffffbffff, x1, 104, x2) - -inst_47: -// rs2_val == 18446744073709420543, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x80000001; op2val:0xfffffffffffdffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x80000001, 0xfffffffffffdffff, x1, 112, x2) - -inst_48: -// rs2_val == 18446744073709486079, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffffffffffeffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x5, 0xfffffffffffeffff, x1, 120, x2) - -inst_49: -// rs2_val == 18446744073709518847, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xc; op2val:0xffffffffffff7fff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xc, 0xffffffffffff7fff, x1, 128, x2) - -inst_50: -// rs2_val == 18446744073709535231, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0xffffffffffffbfff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x10, 0xffffffffffffbfff, x1, 136, x2) - -inst_51: -// rs2_val == 18446744073709543423, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0xffffffffffffdfff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x201, 0xffffffffffffdfff, x1, 144, x2) - -inst_52: -// rs2_val == 18446744073709547519, rs1_val == 128 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0xffffffffffffefff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x80, 0xffffffffffffefff, x1, 152, x2) - -inst_53: -// rs2_val == 18446744073709549567, rs1_val == 4398046511104 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x40000000000; op2val:0xfffffffffffff7ff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x40000000000, 0xfffffffffffff7ff, x1, 160, x2) - -inst_54: -// rs2_val == 18446744073709550591, rs1_val == 144115188075855872 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x200000000000000; op2val:0xfffffffffffffbff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x200000000000000, 0xfffffffffffffbff, x1, 168, x2) - -inst_55: -// rs2_val == 18446744073709551103, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xfffffffffffffdff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x200001, 0xfffffffffffffdff, x1, 176, x2) - -inst_56: -// rs2_val == 18446744073709551359, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xfffffffffffffeff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x4000, 0xfffffffffffffeff, x1, 184, x2) - -inst_57: -// rs2_val == 18446744073709551487, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0xffffffffffffff7f -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x201, 0xffffffffffffff7f, x1, 192, x2) - -inst_58: -// rs2_val == 18446744073709551551, rs1_val == 18437736874454810623 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffffffffffff; op2val:0xffffffffffffffbf -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffdfffffffffffff, 0xffffffffffffffbf, x1, 200, x2) - -inst_59: -// rs2_val == 18446744073709551583, rs1_val == 1073741824 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0xffffffffffffffdf -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x40000000, 0xffffffffffffffdf, x1, 208, x2) - -inst_60: -// rs2_val == 18446744073709551599, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x2000000000000001; op2val:0xffffffffffffffef -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x2000000000000001, 0xffffffffffffffef, x1, 216, x2) - -inst_61: -// rs2_val == 18446744073709551607, rs1_val == 1 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xfffffffffffffff7 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x1, 0xfffffffffffffff7, x1, 224, x2) - -inst_62: -// rs2_val == 18446744073709551611, rs1_val == 1152921504606846976 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x1000000000000000; op2val:0xfffffffffffffffb -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x1000000000000000, 0xfffffffffffffffb, x1, 232, x2) - -inst_63: -// rs2_val == 18446744073709551613, rs1_val == 18446744065119617023 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffdffffffff; op2val:0xfffffffffffffffd -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffdffffffff, 0xfffffffffffffffd, x1, 240, x2) - -inst_64: -// rs2_val == 18446744073709551614, rs1_val == 1125899906842624 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000; op2val:0xfffffffffffffffe -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x4000000000000, 0xfffffffffffffffe, x1, 248, x2) - -inst_65: -// rs1_val == 9223372036854775807, rs2_val == 17179869184 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x400000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x400000000, x1, 256, x2) - -inst_66: -// rs1_val == 13835058055282163711, rs2_val == 2305843009213693952 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x2000000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x2000000000000000, x1, 264, x2) - -inst_67: -// rs1_val == 16140901064495857663, rs2_val == 137438953472 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0x2000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0x2000000000, x1, 272, x2) - -inst_68: -// rs1_val == 17293822569102704639, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xefffffffffffffff; op2val:-0x2 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xefffffffffffffff, -0x2, x1, 280, x2) - -inst_69: -// rs1_val == 17870283321406128127, rs2_val == 32 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffffffffffff; op2val:0x20 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xf7ffffffffffffff, 0x20, x1, 288, x2) - -inst_70: -// rs1_val == 18302628885633695743, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffffffffffff; op2val:-0x40000000001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfdffffffffffffff, -0x40000000001, x1, 296, x2) - -inst_71: -// rs1_val == 18374686479671623679, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfeffffffffffffff; op2val:0xfffffffeffffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfeffffffffffffff, 0xfffffffeffffffff, x1, 304, x2) - -inst_72: -// rs1_val == 18410715276690587647, rs2_val == 70368744177664 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xff7fffffffffffff; op2val:0x400000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xff7fffffffffffff, 0x400000000000, x1, 312, x2) - -inst_73: -// rs1_val == 18428729675200069631, rs2_val == 8192 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffffffffffff; op2val:0x2000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffbfffffffffffff, 0x2000, x1, 320, x2) - -inst_74: -// rs1_val == 18442240474082181119, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffefffffffffffff; op2val:0x9 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffefffffffffffff, 0x9, x1, 328, x2) - -inst_75: -// rs1_val == 18444492273895866367, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfff7ffffffffffff; op2val:-0x1000001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfff7ffffffffffff, -0x1000001, x1, 336, x2) - -inst_76: -// rs1_val == 18445618173802708991, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffffffffffff; op2val:-0x100000001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffbffffffffffff, -0x100000001, x1, 344, x2) - -inst_77: -// rs1_val == 18446181123756130303, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffdffffffffffff; op2val:0xffffffffffff7fff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffdffffffffffff, 0xffffffffffff7fff, x1, 352, x2) - -inst_78: -// rs1_val == 18446462598732840959, rs2_val == 4503599627370496 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffeffffffffffff; op2val:0x10000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffeffffffffffff, 0x10000000000000, x1, 360, x2) - -inst_79: -// rs1_val == 18446673704965373951, rs2_val == 2 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffbfffffffffff; op2val:0x2 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffbfffffffffff, 0x2, x1, 368, x2) - -inst_80: -// rs1_val == 18446708889337462783, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfffffffffff; op2val:0xfffffdffffffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffdfffffffffff, 0xfffffdffffffffff, x1, 376, x2) - -inst_81: -// rs1_val == 18446726481523507199, rs2_val == 144115188075855872 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffefffffffffff; op2val:0x200000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffefffffffffff, 0x200000000000000, x1, 384, x2) - -inst_82: -// rs1_val == 18446735277616529407, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffff7ffffffffff; op2val:0xfffffffff7ffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffff7ffffffffff, 0xfffffffff7ffffff, x1, 392, x2) - -inst_83: -// rs1_val == 18446739675663040511, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffbffffffffff; op2val:-0x100001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffbffffffffff, -0x100001, x1, 400, x2) - -inst_84: -// rs1_val == 18446742974197923839, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffeffffffffff; op2val:0xefffffffffffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffeffffffffff, 0xefffffffffffffff, x1, 408, x2) - -inst_85: -// rs1_val == 18446743523953737727, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffff7fffffffff; op2val:-0x4000000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffff7fffffffff, -0x4000000000000000, x1, 416, x2) - -inst_86: -// rs1_val == 18446743798831644671, rs2_val == 64 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbfffffffff; op2val:0x40 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffbfffffffff, 0x40, x1, 424, x2) - -inst_87: -// rs1_val == 18446743936270598143, rs2_val == 18014398509481984 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdfffffffff; op2val:0x40000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffdfffffffff, 0x40000000000000, x1, 432, x2) - -inst_88: -// rs1_val == 18446744004990074879, rs2_val == 131072 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffefffffffff; op2val:0x20000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffefffffffff, 0x20000, x1, 440, x2) - -inst_89: -// rs1_val == 18446744039349813247, rs2_val == 2251799813685248 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7ffffffff; op2val:0x8000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffff7ffffffff, 0x8000000000000, x1, 448, x2) - -inst_90: -// rs1_val == 18446744071562067967, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff7fffffff; op2val:0x6 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffff7fffffff, 0x6, x1, 456, x2) - -inst_91: -// rs1_val == 18446744072635809791, rs2_val == 1099511627776 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffbfffffff; op2val:0x10000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffffbfffffff, 0x10000000000, x1, 464, x2) - -inst_92: -// rs1_val == 18446744073172680703, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffdfffffff; op2val:-0x1000001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffffdfffffff, -0x1000001, x1, 472, x2) - -inst_93: -// rs1_val == 18446744073441116159, rs2_val == 268435456 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffefffffff; op2val:0x10000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffffefffffff, 0x10000000, x1, 480, x2) - -inst_94: -// rs1_val == 18446744073575333887, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff7ffffff; op2val:0x3 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffff7ffffff, 0x3, x1, 488, x2) - -inst_95: -// rs1_val == 18446744073642442751, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffbffffff; op2val:-0x40000000001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffbffffff, -0x40000000001, x1, 496, x2) - -inst_96: -// rs1_val == 18446744073675997183, rs2_val == 1 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffdffffff; op2val:0x1 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffdffffff, 0x1, x1, 504, x2) - -inst_97: -// rs1_val == 18446744073692774399, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffeffffff; op2val:-0x100000001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffeffffff, -0x100000001, x1, 512, x2) - -inst_98: -// rs1_val == 18446744073701163007, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7fffff; op2val:0xfffffffffffffffd -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffffff7fffff, 0xfffffffffffffffd, x1, 520, x2) - -inst_99: -// rs1_val == 18446744073705357311, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffbfffff; op2val:0x7fffffffffffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffffffbfffff, 0x7fffffffffffffff, x1, 528, x2) - -inst_100: -// rs1_val == 18446744073708503039, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffefffff; op2val:0x12 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffffffefffff, 0x12, x1, 536, x2) - -inst_101: -// rs1_val == 18446744073709027327, rs2_val == 140737488355328 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff7ffff; op2val:0x800000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffff7ffff, 0x800000000000, x1, 544, x2) - -inst_102: -// rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x6af29145404fd8ed; op2val:0x990e75eafff569c2 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x6af29145404fd8ed, 0x990e75eafff569c2, x1, 552, x2) - -inst_103: -// rs1_val == 0x6d23c0488a6019c1 and rs2_val == 0x860bdaad7447a088 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x6d23c0488a6019c1; op2val:0x860bdaad7447a088 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x6d23c0488a6019c1, 0x860bdaad7447a088, x1, 560, x2) - -inst_104: -// rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x1f7d946f17168ab3; op2val:0x66eae3d9bbb4f560 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x1f7d946f17168ab3, 0x66eae3d9bbb4f560, x1, 568, x2) - -inst_105: -// rs1_val == 0xef1d54db32b81f27 and rs2_val == 0x1826a804284fe16c -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xef1d54db32b81f27; op2val:0x1826a804284fe16c -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xef1d54db32b81f27, 0x1826a804284fe16c, x1, 576, x2) - -inst_106: -// rs1_val == 0xb694de26ad9e5431 and rs2_val == 0x293f9f6071fad878 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xb694de26ad9e5431; op2val:0x293f9f6071fad878 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xb694de26ad9e5431, 0x293f9f6071fad878, x1, 584, x2) - -inst_107: -// rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x987daa20b858e304; op2val:0x1aa1beebefb902cb -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x987daa20b858e304, 0x1aa1beebefb902cb, x1, 592, x2) - -inst_108: -// rs1_val == 0x79bb7c341d3110bc and rs2_val == 0x8678f5e3d272e229 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x79bb7c341d3110bc; op2val:0x8678f5e3d272e229 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x79bb7c341d3110bc, 0x8678f5e3d272e229, x1, 600, x2) - -inst_109: -// rs1_val == 0xe2eaf4a09869be8c and rs2_val == 0x5b730cad91766f62 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xe2eaf4a09869be8c; op2val:0x5b730cad91766f62 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xe2eaf4a09869be8c, 0x5b730cad91766f62, x1, 608, x2) - -inst_110: -// rs1_val == 0xc0fe15dd0df9564b and rs2_val == 0xb22bbf7eb4c858fb -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xc0fe15dd0df9564b; op2val:0xb22bbf7eb4c858fb -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xc0fe15dd0df9564b, 0xb22bbf7eb4c858fb, x1, 616, x2) - -inst_111: -// rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x4113ee60952acffe; op2val:0x53a66ed1dc80d916 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x4113ee60952acffe, 0x53a66ed1dc80d916, x1, 624, x2) - -inst_112: -// rs1_val == 0x40a5ff526f38a9c7 and rs2_val == 0xb6f9706fb4f741aa -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x40a5ff526f38a9c7; op2val:0xb6f9706fb4f741aa -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x40a5ff526f38a9c7, 0xb6f9706fb4f741aa, x1, 632, x2) - -inst_113: -// rs1_val == 0x9bedfe390d6ddd9d and rs2_val == 0xd05668ae0fdb82bc -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x9bedfe390d6ddd9d; op2val:0xd05668ae0fdb82bc -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x9bedfe390d6ddd9d, 0xd05668ae0fdb82bc, x1, 640, x2) - -inst_114: -// rs1_val == 0xd75739f82ac177c6 and rs2_val == 0xaa6bb2bde9ed477d -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xd75739f82ac177c6; op2val:0xaa6bb2bde9ed477d -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xd75739f82ac177c6, 0xaa6bb2bde9ed477d, x1, 648, x2) - -inst_115: -// rs1_val == 0x9a4e9ef10171f4df and rs2_val == 0x299c3bcf90efb625 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x9a4e9ef10171f4df; op2val:0x299c3bcf90efb625 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x9a4e9ef10171f4df, 0x299c3bcf90efb625, x1, 656, x2) - -inst_116: -// rs1_val == 0xd169a3f8cad5e297 and rs2_val == 0x1fc493caa371db42 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xd169a3f8cad5e297; op2val:0x1fc493caa371db42 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xd169a3f8cad5e297, 0x1fc493caa371db42, x1, 664, x2) - -inst_117: -// rs1_val == 0xd5b9fe5cf69bdcf3 and rs2_val == 0xf4c30307672f666d -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xd5b9fe5cf69bdcf3; op2val:0xf4c30307672f666d -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xd5b9fe5cf69bdcf3, 0xf4c30307672f666d, x1, 672, x2) - -inst_118: -// rs1_val == 0xe4921bf73047c198 and rs2_val == 0xa0569d765ebc64cb -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xe4921bf73047c198; op2val:0xa0569d765ebc64cb -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xe4921bf73047c198, 0xa0569d765ebc64cb, x1, 680, x2) - -inst_119: -// rs1_val == 0xfcc1b543c49cd65b and rs2_val == 0x2daf9ac7f5faf207 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1b543c49cd65b; op2val:0x2daf9ac7f5faf207 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfcc1b543c49cd65b, 0x2daf9ac7f5faf207, x1, 688, x2) - -inst_120: -// rs1_val == 0x436f40f274b8de87 and rs2_val == 0x3459294ef273b44c -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x436f40f274b8de87; op2val:0x3459294ef273b44c -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x436f40f274b8de87, 0x3459294ef273b44c, x1, 696, x2) - -inst_121: -// rs1_val == 18446744073709289471, rs2_val == 72057594037927936 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbffff; op2val:0x100000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbffff, 0x100000000000000, x1, 704, x2) - -inst_122: -// rs1_val == 18446744073709420543, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffdffff; op2val:-0x40000000000001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffffdffff, -0x40000000000001, x1, 712, x2) - -inst_123: -// rs1_val == 18446744073709486079, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffeffff; op2val:-0x10000000001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffffeffff, -0x10000000001, x1, 720, x2) - -inst_124: -// rs1_val == 18446744073709518847, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff7fff; op2val:-0x9 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffffffff7fff, -0x9, x1, 728, x2) - -inst_125: -// rs1_val == 18446744073709535231, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffbfff; op2val:0xfffffffffdffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffffffffbfff, 0xfffffffffdffffff, x1, 736, x2) - -inst_126: -// rs1_val == 18446744073709547519, rs2_val == 1152921504606846976 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffefff; op2val:0x1000000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffffffffefff, 0x1000000000000000, x1, 744, x2) - -inst_127: -// rs1_val == 18446744073709549567, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff7ff; op2val:0x5555555555555555 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff7ff, 0x5555555555555555, x1, 752, x2) - -inst_128: -// rs1_val == 18446744073709550591, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffbff; op2val:0xfffffffdffffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffbff, 0xfffffffdffffffff, x1, 760, x2) - -inst_129: -// rs1_val == 18446744073709551103, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffdff; op2val:0x100000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffdff, 0x100000000000000, x1, 768, x2) - -inst_130: -// rs1_val == 18446744073709551359, rs2_val == 4194304 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffeff; op2val:0x400000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffeff, 0x400000, x1, 776, x2) - -inst_131: -// rs1_val == 18446744073709551551, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffbf; op2val:-0x2000000000001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffbf, -0x2000000000001, x1, 784, x2) - -inst_132: -// rs1_val == 18446744073709551583, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffdf; op2val:0x2 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffdf, 0x2, x1, 792, x2) - -inst_133: -// rs1_val == 18446744073709551599, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffef; op2val:0x2000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffef, 0x2000000000, x1, 800, x2) - -inst_134: -// rs1_val == 18446744073709551607, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff7; op2val:0x40000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff7, 0x40000000000000, x1, 808, x2) - -inst_135: -// rs1_val == 18446744073709551611, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0x400000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0x400000000000, x1, 816, x2) - -inst_136: -// rs1_val == 18446744073709551613, rs2_val == 2097152 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffd; op2val:0x200000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffd, 0x200000, x1, 824, x2) - -inst_137: -// rs2_val == 9223372036854775808, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdfffffffff; op2val:0x8000000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffdfffffffff, 0x8000000000000000, x1, 832, x2) - -inst_138: -// rs2_val == 4611686018427387904, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x5555555555555555; op2val:0x4000000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x5555555555555555, 0x4000000000000000, x1, 840, x2) - -inst_139: -// rs2_val == 576460752303423488, rs1_val == 576460752303423488 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x800000000000000; op2val:0x800000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x800000000000000, 0x800000000000000, x1, 848, x2) - -inst_140: -// rs2_val == 288230376151711744, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xd; op2val:0x400000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xd, 0x400000000000000, x1, 856, x2) - -inst_141: -// rs2_val == 36028797018963968, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffffffffffff; op2val:0x80000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffbfffffffffffff, 0x80000000000000, x1, 864, x2) - -inst_142: -// rs2_val == 9007199254740992, rs1_val == 9007199254740992 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x20000000000000; op2val:0x20000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x20000000000000, 0x20000000000000, x1, 872, x2) - -inst_143: -// rs2_val == 1125899906842624, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000; op2val:0x4000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x8000000000000, 0x4000000000000, x1, 880, x2) - -inst_144: -// rs2_val == 562949953421312, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffdffffff; op2val:0x2000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffdffffff, 0x2000000000000, x1, 888, x2) - -inst_145: -// rs2_val == 281474976710656, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x1000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x81, 0x1000000000000, x1, 896, x2) - -inst_146: -// rs2_val == 35184372088832, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000001; op2val:0x200000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x80000000001, 0x200000000000, x1, 904, x2) - -inst_147: -// rs2_val == 17592186044416, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x20000000000000; op2val:0x100000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x20000000000000, 0x100000000000, x1, 912, x2) - -inst_148: -// rs2_val == 8796093022208, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff7fffffff; op2val:0x80000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffff7fffffff, 0x80000000000, x1, 920, x2) - -inst_149: -// rs2_val == 4398046511104, rs1_val == 2305843009213693952 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0x40000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0x40000000000, x1, 928, x2) - -inst_150: -// rs2_val == 2199023255552, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffbfffffff; op2val:0x20000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffffffbfffffff, 0x20000000000, x1, 936, x2) - -inst_151: -// rs2_val == 549755813888, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x8000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x8, 0x8000000000, x1, 944, x2) - -inst_152: -// rs2_val == 274877906944, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x400000000000; op2val:0x4000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x400000000000, 0x4000000000, x1, 952, x2) - -inst_153: -// rs2_val == 68719476736, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x11, 0x1000000000, x1, 960, x2) - -inst_154: -// rs2_val == 34359738368, rs1_val == 1048576 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x800000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x100000, 0x800000000, x1, 968, x2) - -inst_155: -// rs2_val == 8589934592, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x200000000000; op2val:0x200000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x200000000000, 0x200000000, x1, 976, x2) - -inst_156: -// rs2_val == 4294967296, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x100000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x100000, 0x100000000, x1, 984, x2) - -inst_157: -// rs2_val == 2147483648, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffd; op2val:0x80000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffd, 0x80000000, x1, 992, x2) - -inst_158: -// rs2_val == 1073741824, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x40000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x5, 0x40000000, x1, 1000, x2) - -inst_159: -// rs2_val == 536870912, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7ffffffff; op2val:0x20000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffff7ffffffff, 0x20000000, x1, 1008, x2) - -inst_160: -// rs2_val == 134217728, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x8000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x0, 0x8000000, x1, 1016, x2) - -inst_161: -// rs2_val == 67108864, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x4000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x4000000, x1, 1024, x2) - -inst_162: -// rs2_val == 33554432, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffdffffffffff; op2val:0x2000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffdffffffffff, 0x2000000, x1, 1032, x2) - -inst_163: -// rs2_val == 16777216, rs1_val == 65536 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x10000, 0x1000000, x1, 1040, x2) - -inst_164: -// rs2_val == 8388608, rs1_val == 9223372036854775808 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0x800000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0x800000, x1, 1048, x2) - -inst_165: -// rs2_val == 1048576, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x100000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x9, 0x100000, x1, 1056, x2) - -inst_166: -// rs2_val == 524288, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeffffffff; op2val:0x80000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffeffffffff, 0x80000, x1, 1064, x2) - -inst_167: -// rs2_val == 262144, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfffffffffff; op2val:0x40000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffdfffffffffff, 0x40000, x1, 1072, x2) - -inst_168: -// rs2_val == 65536, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffdff; op2val:0x10000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffdff, 0x10000, x1, 1080, x2) - -inst_169: -// rs2_val == 32768, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x8; op2val:0x8000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x8, 0x8000, x1, 1088, x2) - -inst_170: -// rs2_val == 16384, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xffffbfffffffffff; op2val:0x4000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xffffbfffffffffff, 0x4000, x1, 1096, x2) - -inst_171: -// rs2_val == 4096, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbffffffff; op2val:0x1000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0xfffffffbffffffff, 0x1000, x1, 1104, x2) - -inst_172: -// rs2_val == 2048, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x800 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x2, 0x800, x1, 1112, x2) - -inst_173: -// rs2_val == 1024, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x400 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x11, 0x400, x1, 1120, x2) - -inst_174: -// rs2_val == 512, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x5555555555555556; op2val:0x200 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x5555555555555556, 0x200, x1, 1128, x2) - -inst_175: -// rs2_val == 256, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x20000000001; op2val:0x100 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x20000000001, 0x100, x1, 1136, x2) - -inst_176: -// rs2_val == 128, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x80 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x21, 0x80, x1, 1144, x2) - -inst_177: -// rs2_val == 16, rs1_val == 268435456 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x10 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x10000000, 0x10, x1, 1152, x2) - -inst_178: -// rs2_val == 8, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0x8 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0x8, x1, 1160, x2) - -inst_179: -// rs2_val == 4, rs1_val == 68719476736 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x1000000000; op2val:0x4 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x1000000000, 0x4, x1, 1168, x2) - -inst_180: -// rs1_val == 288230376151711744, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x400000000000000; op2val:0xffffffbfffffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x400000000000000, 0xffffffbfffffffff, x1, 1176, x2) - -inst_181: -// rs1_val == 36028797018963968, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x80000000000000; op2val:0x80000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x80000000000000, 0x80000000, x1, 1184, x2) - -inst_182: -// rs1_val == 4503599627370496, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x10000000000000; op2val:-0x40000001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x10000000000000, -0x40000001, x1, 1192, x2) - -inst_183: -// rs1_val == 562949953421312, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000; op2val:-0x80000000001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x2000000000000, -0x80000000001, x1, 1200, x2) - -inst_184: -// rs1_val == 140737488355328, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x800000000000; op2val:-0xa -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x800000000000, -0xa, x1, 1208, x2) - -inst_185: -// rs1_val == 17592186044416, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x100000000000; op2val:0x5555555555555555 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x100000000000, 0x5555555555555555, x1, 1216, x2) - -inst_186: -// rs1_val == 8796093022208, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x80000000000; op2val:0xe -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x80000000000, 0xe, x1, 1224, x2) - -inst_187: -// rs1_val == 2199023255552, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x20000000000; op2val:0x4 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x20000000000, 0x4, x1, 1232, x2) - -inst_188: -// rs1_val == 1099511627776, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x10000000000; op2val:0xdfffffffffffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x10000000000, 0xdfffffffffffffff, x1, 1240, x2) - -inst_189: -// rs1_val == 549755813888, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000; op2val:-0x6 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x8000000000, -0x6, x1, 1248, x2) - -inst_190: -// rs1_val == 274877906944, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000; op2val:-0xa -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x4000000000, -0xa, x1, 1256, x2) - -inst_191: -// rs1_val == 137438953472, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000; op2val:0x0 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x2000000000, 0x0, x1, 1264, x2) - -inst_192: -// rs1_val == 17179869184, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x400000000; op2val:0x400000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x400000000, 0x400000, x1, 1272, x2) - -inst_193: -// rs1_val == 8589934592, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x200000000; op2val:0x800 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x200000000, 0x800, x1, 1280, x2) - -inst_194: -// rs1_val == 4294967296, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x100000000; op2val:0x10 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x100000000, 0x10, x1, 1288, x2) - -inst_195: -// rs1_val == 2147483648, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:-0x11 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x80000000, -0x11, x1, 1296, x2) - -inst_196: -// rs1_val == 536870912, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x80000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x20000000, 0x80000000000, x1, 1304, x2) - -inst_197: -// rs1_val == 134217728, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0xfffffffffff7ffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x8000000, 0xfffffffffff7ffff, x1, 1312, x2) - -inst_198: -// rs1_val == 67108864, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xa -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x4000000, 0xa, x1, 1320, x2) - -inst_199: -// rs1_val == 16777216, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x100000000000001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x1000000, -0x100000000000001, x1, 1328, x2) - -inst_200: -// rs1_val == 8388608, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0xfffbffffffffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x800000, 0xfffbffffffffffff, x1, 1336, x2) - -inst_201: -// rs1_val == 4194304, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x20 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x400000, 0x20, x1, 1344, x2) - -inst_202: -// rs1_val == 2097152, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x81 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x200000, -0x81, x1, 1352, x2) - -inst_203: -// rs1_val == 524288, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:-0x2000001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x80000, -0x2000001, x1, 1360, x2) - -inst_204: -// rs1_val == 262144, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x11 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x40000, -0x11, x1, 1368, x2) - -inst_205: -// rs1_val == 32768, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xfffffffbffffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x8000, 0xfffffffbffffffff, x1, 1376, x2) - -inst_206: -// rs1_val == 8192, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x801 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x2000, -0x801, x1, 1384, x2) - -inst_207: -// rs1_val == 4096, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x4000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x1000, 0x4000000, x1, 1392, x2) - -inst_208: -// rs1_val == 2048, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x5 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x800, 0x5, x1, 1400, x2) - -inst_209: -// rs1_val == 1024, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x400001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x400, -0x400001, x1, 1408, x2) - -inst_210: -// rs1_val == 512, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x1 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x200, -0x1, x1, 1416, x2) - -inst_211: -// rs1_val == 256, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x100000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x100, 0x100000000, x1, 1424, x2) - -inst_212: -// rs1_val == 64, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xfffffffffffffeff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x40, 0xfffffffffffffeff, x1, 1432, x2) - -inst_213: -// rs1_val == 32, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x40000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x20, 0x40000000, x1, 1440, x2) - -inst_214: -// rs1_val == 4, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x40000001 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x4, -0x40000001, x1, 1448, x2) - -inst_215: -// rs1_val == 2, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000000000000 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x2, 0x10000000000000, x1, 1456, x2) - -inst_216: -// rs1_val == 0x75a3adb3254a9493 and rs2_val == 0xc5521660f3a3c571 -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x75a3adb3254a9493; op2val:0xc5521660f3a3c571 -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x75a3adb3254a9493, 0xc5521660f3a3c571, x1, 1464, x2) - -inst_217: -// rs2_val == 13835058055282163711, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xbfffffffffffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0x5, 0xbfffffffffffffff, x1, 1472, x2) - -inst_218: -// rs2_val == 18446181123756130303, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xfffdffffffffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, 0x4000, 0xfffdffffffffffff, x1, 1480, x2) - -inst_219: -// rs2_val == 18446744004990074879, -// opcode: aes64ks2 ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0xffffffefffffffff -TEST_RR_OP(aes64ks2, x12, x10, x11, 0x0000000000000000, -0xa, 0xffffffefffffffff, x1, 1488, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 17*(XLEN/32),4,0xdeadbeef - - -signature_x9_0: - .fill 16*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 187*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/andn-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/andn-01.S deleted file mode 100644 index 49b72ae25..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/andn-01.S +++ /dev/null @@ -1,2714 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the andn instruction of the RISC-V extension for the andn covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",andn) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",andn) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",andn) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",andn) - -RVTEST_SIGBASE( x16,signature_x16_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x14, rs2==x14, rd==x1, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: andn ; op1:x14; op2:x14; dest:x1; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(andn, x1, x14, x14, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x16, 0, x12) - -inst_1: -// rs1 == rs2 == rd, rs1==x2, rs2==x2, rd==x2, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: andn ; op1:x2; op2:x2; dest:x2; op1val:0x5ba2c7dd5ae10604; op2val:0x5ba2c7dd5ae10604 -TEST_RR_OP(andn, x2, x2, x2, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x5ba2c7dd5ae10604, x16, 8, x12) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x3, rd==x26, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: andn ; op1:x5; op2:x3; dest:x26; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(andn, x26, x5, x3, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x16, 16, x12) - -inst_3: -// rs1 == rd != rs2, rs1==x0, rs2==x23, rd==x0, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: andn ; op1:x0; op2:x23; dest:x0; op1val:0x0; op2val:0x4000000000000000 -TEST_RR_OP(andn, x0, x0, x23, 0x0000000000000000, 0x0, 0x4000000000000000, x16, 24, x12) - -inst_4: -// rs2 == rd != rs1, rs1==x29, rs2==x17, rd==x17, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: andn ; op1:x29; op2:x17; dest:x17; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(andn, x17, x29, x17, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x16, 32, x12) - -inst_5: -// rs1==x13, rs2==x5, rd==x15, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: andn ; op1:x13; op2:x5; dest:x15; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(andn, x15, x13, x5, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x16, 40, x12) - -inst_6: -// rs1==x6, rs2==x18, rd==x7, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: andn ; op1:x6; op2:x18; dest:x7; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(andn, x7, x6, x18, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x16, 48, x12) - -inst_7: -// rs1==x18, rs2==x1, rd==x24, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: andn ; op1:x18; op2:x1; dest:x24; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(andn, x24, x18, x1, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x16, 56, x12) - -inst_8: -// rs1==x24, rs2==x6, rd==x13, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: andn ; op1:x24; op2:x6; dest:x13; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(andn, x13, x24, x6, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x16, 64, x12) - -inst_9: -// rs1==x21, rs2==x13, rd==x28, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: andn ; op1:x21; op2:x13; dest:x28; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(andn, x28, x21, x13, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x16, 72, x12) - -inst_10: -// rs1==x11, rs2==x7, rd==x25, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: andn ; op1:x11; op2:x7; dest:x25; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(andn, x25, x11, x7, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x16, 80, x12) - -inst_11: -// rs1==x3, rs2==x28, rd==x10, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: andn ; op1:x3; op2:x28; dest:x10; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(andn, x10, x3, x28, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x16, 88, x12) - -inst_12: -// rs1==x10, rs2==x25, rd==x20, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: andn ; op1:x10; op2:x25; dest:x20; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(andn, x20, x10, x25, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x16, 96, x12) - -inst_13: -// rs1==x30, rs2==x26, rd==x9, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: andn ; op1:x30; op2:x26; dest:x9; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(andn, x9, x30, x26, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x16, 104, x12) - -inst_14: -// rs1==x19, rs2==x8, rd==x4, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: andn ; op1:x19; op2:x8; dest:x4; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(andn, x4, x19, x8, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x16, 112, x12) - -inst_15: -// rs1==x9, rs2==x29, rd==x31, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: andn ; op1:x9; op2:x29; dest:x31; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(andn, x31, x9, x29, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x16, 120, x12) - -inst_16: -// rs1==x25, rs2==x19, rd==x12, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: andn ; op1:x25; op2:x19; dest:x12; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(andn, x12, x25, x19, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x16, 128, x1) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_17: -// rs1==x31, rs2==x9, rd==x19, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: andn ; op1:x31; op2:x9; dest:x19; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(andn, x19, x31, x9, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x2, 0, x1) - -inst_18: -// rs1==x27, rs2==x24, rd==x29, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: andn ; op1:x27; op2:x24; dest:x29; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(andn, x29, x27, x24, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x2, 8, x1) - -inst_19: -// rs1==x28, rs2==x20, rd==x30, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: andn ; op1:x28; op2:x20; dest:x30; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(andn, x30, x28, x20, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x2, 16, x1) - -inst_20: -// rs1==x17, rs2==x10, rd==x3, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: andn ; op1:x17; op2:x10; dest:x3; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(andn, x3, x17, x10, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x2, 24, x1) - -inst_21: -// rs1==x16, rs2==x11, rd==x23, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: andn ; op1:x16; op2:x11; dest:x23; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(andn, x23, x16, x11, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x2, 32, x1) - -inst_22: -// rs1==x20, rs2==x12, rd==x14, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: andn ; op1:x20; op2:x12; dest:x14; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(andn, x14, x20, x12, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x2, 40, x1) - -inst_23: -// rs1==x26, rs2==x21, rd==x27, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: andn ; op1:x26; op2:x21; dest:x27; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(andn, x27, x26, x21, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x2, 48, x1) - -inst_24: -// rs1==x23, rs2==x16, rd==x6, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: andn ; op1:x23; op2:x16; dest:x6; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(andn, x6, x23, x16, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x2, 56, x1) - -inst_25: -// rs1==x7, rs2==x15, rd==x18, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: andn ; op1:x7; op2:x15; dest:x18; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(andn, x18, x7, x15, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x2, 64, x1) - -inst_26: -// rs1==x4, rs2==x31, rd==x21, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: andn ; op1:x4; op2:x31; dest:x21; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(andn, x21, x4, x31, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x2, 72, x1) - -inst_27: -// rs1==x8, rs2==x22, rd==x16, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: andn ; op1:x8; op2:x22; dest:x16; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(andn, x16, x8, x22, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x2, 80, x1) - -inst_28: -// rs1==x12, rs2==x30, rd==x11, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: andn ; op1:x12; op2:x30; dest:x11; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(andn, x11, x12, x30, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x2, 88, x3) - -inst_29: -// rs1==x1, rs2==x4, rd==x5, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: andn ; op1:x1; op2:x4; dest:x5; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(andn, x5, x1, x4, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x2, 96, x3) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_30: -// rs1==x15, rs2==x0, rd==x22, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: andn ; op1:x15; op2:x0; dest:x22; op1val:0xb6de8bcfd96429c9; op2val:0x0 -TEST_RR_OP(andn, x22, x15, x0, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x0, x1, 0, x3) - -inst_31: -// rs1==x22, rs2==x27, rd==x8, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: andn ; op1:x22; op2:x27; dest:x8; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(andn, x8, x22, x27, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x1, 8, x3) - -inst_32: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x1, 16, x3) - -inst_33: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x1, 24, x3) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x1, 32, x3) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x1, 40, x3) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x1, 48, x3) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x1, 56, x3) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x1, 64, x3) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x1, 72, x3) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x1, 80, x3) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x1, 88, x3) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x1, 96, x3) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x1, 104, x3) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x1, 112, x3) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x1, 120, x3) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x1, 128, x3) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x1, 136, x3) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x1, 144, x3) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x1, 152, x3) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x1, 160, x3) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x1, 168, x3) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x1, 176, x3) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x1, 184, x3) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x1, 192, x3) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x1, 200, x3) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x1, 208, x3) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x1, 216, x3) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x1, 224, x3) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x1, 232, x3) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x1, 240, x3) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x1, 248, x3) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x1, 256, x3) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x1, 264, x3) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x1, 272, x3) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x1, 280, x3) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x1, 288, x3) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x1, 296, x3) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x1, 304, x3) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x1, 312, x3) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x1, 320, x3) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x1, 328, x3) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x1, 336, x3) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x1, 344, x3) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x1, 352, x3) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x1, 360, x3) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x1, 368, x3) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x1, 376, x3) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x1, 384, x3) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x1, 392, x3) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x1, 400, x3) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x1, 408, x3) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x1, 416, x3) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x1, 424, x3) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x1, 432, x3) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x1, 440, x3) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x1, 448, x3) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x1, 456, x3) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x1, 464, x3) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x1, 472, x3) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x1, 480, x3) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x1, 488, x3) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x1, 496, x3) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x1, 504, x3) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x1, 512, x3) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x1, 520, x3) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x1, 528, x3) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x1, 536, x3) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x1, 544, x3) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x1, 552, x3) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x1, 560, x3) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x1, 568, x3) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x1, 576, x3) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x1, 584, x3) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x1, 592, x3) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x1, 600, x3) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x1, 608, x3) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x1, 616, x3) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x1, 624, x3) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x1, 632, x3) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x1, 640, x3) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x1, 648, x3) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x1, 656, x3) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x1, 664, x3) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x1, 672, x3) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x1, 680, x3) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x1, 688, x3) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x1, 696, x3) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x1, 704, x3) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x1, 712, x3) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x1, 720, x3) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x1, 728, x3) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x1, 736, x3) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x1, 744, x3) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x1, 752, x3) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x1, 760, x3) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x1, 768, x3) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x1, 776, x3) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x1, 784, x3) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x1, 792, x3) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x1, 800, x3) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x1, 808, x3) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x1, 816, x3) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x1, 824, x3) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x1, 832, x3) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x1, 840, x3) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x1, 848, x3) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x1, 856, x3) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x1, 864, x3) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x1, 872, x3) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x1, 880, x3) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x1, 888, x3) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x1, 896, x3) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x1, 904, x3) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x1, 912, x3) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x1, 920, x3) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x1, 928, x3) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x1, 936, x3) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x1, 944, x3) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x1, 952, x3) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x1, 960, x3) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x1, 968, x3) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x1, 976, x3) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x1, 984, x3) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x1, 992, x3) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x1, 1000, x3) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x1, 1008, x3) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x1, 1016, x3) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x1, 1024, x3) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x1, 1032, x3) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x1, 1040, x3) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x1, 1048, x3) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x1, 1056, x3) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x1, 1064, x3) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x1, 1072, x3) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x1, 1080, x3) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x1, 1088, x3) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x1, 1096, x3) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x1, 1104, x3) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x1, 1112, x3) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x1, 1120, x3) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x1, 1128, x3) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x1, 1136, x3) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x1, 1144, x3) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x1, 1152, x3) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x1, 1160, x3) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x1, 1168, x3) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x1, 1176, x3) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x1, 1184, x3) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x1, 1192, x3) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x1, 1200, x3) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x1, 1208, x3) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x1, 1216, x3) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x1, 1224, x3) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x1, 1232, x3) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x1, 1240, x3) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x1, 1248, x3) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x1, 1256, x3) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x1, 1264, x3) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x1, 1272, x3) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x1, 1280, x3) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x1, 1288, x3) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x1, 1296, x3) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x1, 1304, x3) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x1, 1312, x3) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x1, 1320, x3) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x1, 1328, x3) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x1, 1336, x3) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x1, 1344, x3) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x1, 1352, x3) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x1, 1360, x3) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x1, 1368, x3) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x1, 1376, x3) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x1, 1384, x3) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x1, 1392, x3) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x1, 1400, x3) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x1, 1408, x3) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x1, 1416, x3) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x1, 1424, x3) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x1, 1432, x3) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x1, 1440, x3) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x1, 1448, x3) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x1, 1456, x3) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x1, 1464, x3) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x1, 1472, x3) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x1, 1480, x3) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x1, 1488, x3) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x1, 1496, x3) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x1, 1504, x3) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x1, 1512, x3) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x1, 1520, x3) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x1, 1528, x3) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x1, 1536, x3) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x1, 1544, x3) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x1, 1552, x3) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x1, 1560, x3) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x1, 1568, x3) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x1, 1576, x3) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x1, 1584, x3) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x1, 1592, x3) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x1, 1600, x3) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x1, 1608, x3) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x1, 1616, x3) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x1, 1624, x3) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x1, 1632, x3) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x1, 1640, x3) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x1, 1648, x3) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x1, 1656, x3) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x1, 1664, x3) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x1, 1672, x3) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x1, 1680, x3) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x1, 1688, x3) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x1, 1696, x3) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x1, 1704, x3) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x1, 1712, x3) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x1, 1720, x3) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x1, 1728, x3) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x1, 1736, x3) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x1, 1744, x3) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x1, 1752, x3) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x1, 1760, x3) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x1, 1768, x3) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x1, 1776, x3) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x1, 1784, x3) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x1, 1792, x3) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x1, 1800, x3) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x1, 1808, x3) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x1, 1816, x3) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x1, 1824, x3) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x1, 1832, x3) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x1, 1840, x3) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x1, 1848, x3) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x1, 1856, x3) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x1, 1864, x3) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x1, 1872, x3) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x1, 1880, x3) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x1, 1888, x3) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x1, 1896, x3) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x1, 1904, x3) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x1, 1912, x3) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x1, 1920, x3) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x1, 1928, x3) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x1, 1936, x3) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x1, 1944, x3) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x1, 1952, x3) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x1, 1960, x3) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x1, 1968, x3) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x1, 1976, x3) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x1, 1984, x3) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x1, 1992, x3) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x1, 2000, x3) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x1, 2008, x3) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x1, 2016, x3) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x1, 2024, x3) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x1, 2032, x3) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x1, 2040, x3) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x1, 0, x3) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x1, 8, x3) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x1, 16, x3) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x1, 24, x3) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x1, 32, x3) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x1, 40, x3) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x1, 48, x3) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x1, 56, x3) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x1, 64, x3) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x1, 72, x3) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x1, 80, x3) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x1, 88, x3) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x1, 96, x3) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x1, 104, x3) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x1, 112, x3) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x1, 120, x3) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x1, 128, x3) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x1, 136, x3) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x1, 144, x3) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x1, 152, x3) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x1, 160, x3) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x1, 168, x3) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x1, 176, x3) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x1, 184, x3) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x1, 192, x3) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x1, 200, x3) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x1, 208, x3) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x1, 216, x3) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x1, 224, x3) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x1, 232, x3) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x1, 240, x3) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x1, 248, x3) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x1, 256, x3) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x1, 264, x3) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x1, 272, x3) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x1, 280, x3) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x1, 288, x3) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x1, 296, x3) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x1, 304, x3) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x1, 312, x3) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x1, 320, x3) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x1, 328, x3) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x1, 336, x3) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x1, 344, x3) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x1, 352, x3) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x1, 360, x3) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x1, 368, x3) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x1, 376, x3) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x1, 384, x3) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x1, 392, x3) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x1, 400, x3) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x1, 408, x3) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x1, 416, x3) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x1, 424, x3) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x1, 432, x3) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x1, 440, x3) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x1, 448, x3) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x1, 456, x3) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x1, 464, x3) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x1, 472, x3) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x1, 480, x3) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x1, 488, x3) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x1, 496, x3) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x1, 504, x3) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x1, 512, x3) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x1, 520, x3) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x1, 528, x3) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x1, 536, x3) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x1, 544, x3) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x1, 552, x3) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x1, 560, x3) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x1, 568, x3) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x1, 576, x3) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x1, 584, x3) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x1, 592, x3) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x1, 600, x3) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x1, 608, x3) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x1, 616, x3) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x1, 624, x3) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x1, 632, x3) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x1, 640, x3) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x1, 648, x3) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x1, 656, x3) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x1, 664, x3) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x1, 672, x3) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x1, 680, x3) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x1, 688, x3) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x1, 696, x3) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x1, 704, x3) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x1, 712, x3) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x1, 720, x3) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x1, 728, x3) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x1, 736, x3) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x1, 744, x3) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x1, 752, x3) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x1, 760, x3) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x1, 768, x3) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x1, 776, x3) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x1, 784, x3) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x1, 792, x3) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x1, 800, x3) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x1, 808, x3) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x1, 816, x3) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x1, 824, x3) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x1, 832, x3) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x1, 840, x3) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x1, 848, x3) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x1, 856, x3) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x1, 864, x3) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x1, 872, x3) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x1, 880, x3) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x1, 888, x3) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x1, 896, x3) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x1, 904, x3) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x1, 912, x3) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x1, 920, x3) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x1, 928, x3) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x1, 936, x3) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x1, 944, x3) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x1, 952, x3) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x1, 960, x3) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x1, 968, x3) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x1, 976, x3) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x1, 984, x3) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x1, 992, x3) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x1, 1000, x3) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x1, 1008, x3) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x1, 1016, x3) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x1, 1024, x3) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x1, 1032, x3) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x1, 1040, x3) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x1, 1048, x3) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x1, 1056, x3) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x1, 1064, x3) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x1, 1072, x3) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x1, 1080, x3) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x1, 1088, x3) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x1, 1096, x3) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x1, 1104, x3) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x1, 1112, x3) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x1, 1120, x3) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x1, 1128, x3) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x1, 1136, x3) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x1, 1144, x3) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x1, 1152, x3) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x1, 1160, x3) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x1, 1168, x3) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x1, 1176, x3) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x1, 1184, x3) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x1, 1192, x3) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x1, 1200, x3) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x1, 1208, x3) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x1, 1216, x3) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x1, 1224, x3) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x1, 1232, x3) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x1, 1240, x3) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x1, 1248, x3) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x1, 1256, x3) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x1, 1264, x3) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x1, 1272, x3) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x1, 1280, x3) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x1, 1288, x3) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x1, 1296, x3) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x1, 1304, x3) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x1, 1312, x3) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x1, 1320, x3) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x1, 1328, x3) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x1, 1336, x3) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x1, 1344, x3) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x1, 1352, x3) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x1, 1360, x3) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x1, 1368, x3) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x1, 1376, x3) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x1, 1384, x3) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x1, 1392, x3) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x1, 1400, x3) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x1, 1408, x3) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x1, 1416, x3) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x1, 1424, x3) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x1, 1432, x3) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x1, 1440, x3) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x1, 1448, x3) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x1, 1456, x3) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x1, 1464, x3) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x1, 1472, x3) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x1, 1480, x3) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x1, 1488, x3) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x1, 1496, x3) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x1, 1504, x3) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x1, 1512, x3) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x1, 1520, x3) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x1, 1528, x3) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x1, 1536, x3) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x1, 1544, x3) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x1, 1552, x3) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x1, 1560, x3) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x1, 1568, x3) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x1, 1576, x3) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x1, 1584, x3) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x1, 1592, x3) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x1, 1600, x3) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x1, 1608, x3) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x1, 1616, x3) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x1, 1624, x3) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x1, 1632, x3) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x1, 1640, x3) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x1, 1648, x3) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x1, 1656, x3) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x1, 1664, x3) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x1, 1672, x3) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x1, 1680, x3) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x1, 1688, x3) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x1, 1696, x3) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x1, 1704, x3) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x1, 1712, x3) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x1, 1720, x3) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x1, 1728, x3) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x1, 1736, x3) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x1, 1744, x3) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x1, 1752, x3) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x1, 1760, x3) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x1, 1768, x3) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x1, 1776, x3) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x1, 1784, x3) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x1, 1792, x3) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x1, 1800, x3) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x1, 1808, x3) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x1, 1816, x3) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x1, 1824, x3) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x1, 1832, x3) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x1, 1840, x3) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x1, 1848, x3) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x1, 1856, x3) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x1, 1864, x3) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x1, 1872, x3) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x1, 1880, x3) - -inst_522: -// rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x1, 1888, x3) - -inst_523: -// rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: andn ; op1:x10; op2:x11; dest:x12; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(andn, x12, x10, x11, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x1, 1896, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x16_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x16_1: - .fill 17*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 13*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 238*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/clmul-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/clmul-01.S deleted file mode 100644 index 611aca7cb..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/clmul-01.S +++ /dev/null @@ -1,2714 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the clmul instruction of the RISC-V extension for the clmul covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",clmul) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",clmul) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",clmul) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",clmul) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x16, rs2==x16, rd==x17, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: clmul ; op1:x16; op2:x16; dest:x17; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(clmul, x17, x16, x16, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x1, 0, x12) - -inst_1: -// rs1 == rs2 == rd, rs1==x29, rs2==x29, rd==x29, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: clmul ; op1:x29; op2:x29; dest:x29; op1val:0x5ba2c7dd5ae10604; op2val:0x5ba2c7dd5ae10604 -TEST_RR_OP(clmul, x29, x29, x29, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x5ba2c7dd5ae10604, x1, 8, x12) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x6, rs2==x10, rd==x21, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: clmul ; op1:x6; op2:x10; dest:x21; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(clmul, x21, x6, x10, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x1, 16, x12) - -inst_3: -// rs1 == rd != rs2, rs1==x9, rs2==x8, rd==x9, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: clmul ; op1:x9; op2:x8; dest:x9; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(clmul, x9, x9, x8, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x1, 24, x12) - -inst_4: -// rs2 == rd != rs1, rs1==x30, rs2==x20, rd==x20, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: clmul ; op1:x30; op2:x20; dest:x20; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(clmul, x20, x30, x20, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x1, 32, x12) - -inst_5: -// rs1==x27, rs2==x23, rd==x3, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: clmul ; op1:x27; op2:x23; dest:x3; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(clmul, x3, x27, x23, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x1, 40, x12) - -inst_6: -// rs1==x26, rs2==x28, rd==x13, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: clmul ; op1:x26; op2:x28; dest:x13; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(clmul, x13, x26, x28, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x1, 48, x12) - -inst_7: -// rs1==x2, rs2==x19, rd==x30, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: clmul ; op1:x2; op2:x19; dest:x30; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(clmul, x30, x2, x19, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x1, 56, x12) - -inst_8: -// rs1==x15, rs2==x6, rd==x18, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: clmul ; op1:x15; op2:x6; dest:x18; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(clmul, x18, x15, x6, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x1, 64, x12) - -inst_9: -// rs1==x5, rs2==x18, rd==x24, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: clmul ; op1:x5; op2:x18; dest:x24; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(clmul, x24, x5, x18, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x1, 72, x12) - -inst_10: -// rs1==x20, rs2==x27, rd==x5, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: clmul ; op1:x20; op2:x27; dest:x5; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(clmul, x5, x20, x27, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x1, 80, x12) - -inst_11: -// rs1==x18, rs2==x15, rd==x4, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: clmul ; op1:x18; op2:x15; dest:x4; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(clmul, x4, x18, x15, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x1, 88, x12) - -inst_12: -// rs1==x8, rs2==x4, rd==x28, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: clmul ; op1:x8; op2:x4; dest:x28; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(clmul, x28, x8, x4, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x1, 96, x12) - -inst_13: -// rs1==x24, rs2==x11, rd==x23, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: clmul ; op1:x24; op2:x11; dest:x23; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(clmul, x23, x24, x11, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x1, 104, x12) - -inst_14: -// rs1==x28, rs2==x30, rd==x8, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: clmul ; op1:x28; op2:x30; dest:x8; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(clmul, x8, x28, x30, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x1, 112, x12) - -inst_15: -// rs1==x22, rs2==x3, rd==x31, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: clmul ; op1:x22; op2:x3; dest:x31; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(clmul, x31, x22, x3, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x1, 120, x12) - -inst_16: -// rs1==x10, rs2==x31, rd==x26, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: clmul ; op1:x10; op2:x31; dest:x26; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(clmul, x26, x10, x31, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x1, 128, x12) - -inst_17: -// rs1==x7, rs2==x24, rd==x19, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: clmul ; op1:x7; op2:x24; dest:x19; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(clmul, x19, x7, x24, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x1, 136, x12) - -inst_18: -// rs1==x0, rs2==x2, rd==x14, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: clmul ; op1:x0; op2:x2; dest:x14; op1val:0x0; op2val:0x3b0b800000000000 -TEST_RR_OP(clmul, x14, x0, x2, 0x0000000000000000, 0x0, 0x3b0b800000000000, x1, 144, x18) -RVTEST_SIGBASE( x8,signature_x8_0) - -inst_19: -// rs1==x11, rs2==x21, rd==x7, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: clmul ; op1:x11; op2:x21; dest:x7; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(clmul, x7, x11, x21, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x8, 0, x18) - -inst_20: -// rs1==x14, rs2==x22, rd==x2, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: clmul ; op1:x14; op2:x22; dest:x2; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(clmul, x2, x14, x22, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x8, 8, x18) - -inst_21: -// rs1==x23, rs2==x5, rd==x11, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: clmul ; op1:x23; op2:x5; dest:x11; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(clmul, x11, x23, x5, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x8, 16, x18) - -inst_22: -// rs1==x3, rs2==x26, rd==x22, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: clmul ; op1:x3; op2:x26; dest:x22; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(clmul, x22, x3, x26, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x8, 24, x18) - -inst_23: -// rs1==x1, rs2==x17, rd==x25, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: clmul ; op1:x1; op2:x17; dest:x25; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(clmul, x25, x1, x17, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x8, 32, x18) - -inst_24: -// rs1==x13, rs2==x9, rd==x0, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: clmul ; op1:x13; op2:x9; dest:x0; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(clmul, x0, x13, x9, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x8, 40, x18) - -inst_25: -// rs1==x19, rs2==x0, rd==x1, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: clmul ; op1:x19; op2:x0; dest:x1; op1val:0x2466b4600d42ea01; op2val:0x0 -TEST_RR_OP(clmul, x1, x19, x0, 0x0000000000000000, 0x2466b4600d42ea01, 0x0, x8, 48, x18) - -inst_26: -// rs1==x31, rs2==x25, rd==x12, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: clmul ; op1:x31; op2:x25; dest:x12; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(clmul, x12, x31, x25, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x8, 56, x18) - -inst_27: -// rs1==x21, rs2==x1, rd==x15, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: clmul ; op1:x21; op2:x1; dest:x15; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(clmul, x15, x21, x1, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x8, 64, x18) - -inst_28: -// rs1==x17, rs2==x7, rd==x10, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: clmul ; op1:x17; op2:x7; dest:x10; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(clmul, x10, x17, x7, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x8, 72, x18) - -inst_29: -// rs1==x25, rs2==x12, rd==x6, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: clmul ; op1:x25; op2:x12; dest:x6; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(clmul, x6, x25, x12, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x8, 80, x18) - -inst_30: -// rs1==x4, rs2==x14, rd==x27, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: clmul ; op1:x4; op2:x14; dest:x27; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(clmul, x27, x4, x14, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x8, 88, x18) - -inst_31: -// rs1==x12, rs2==x13, rd==x16, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: clmul ; op1:x12; op2:x13; dest:x16; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(clmul, x16, x12, x13, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x8, 96, x18) - -inst_32: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x8, 104, x18) - -inst_33: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x8, 112, x18) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x8, 120, x18) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x8, 128, x18) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x8, 136, x18) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x8, 144, x18) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x8, 152, x18) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x8, 160, x18) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x8, 168, x18) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x8, 176, x18) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x8, 184, x18) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x8, 192, x18) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x8, 200, x18) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x8, 208, x18) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x8, 216, x18) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x8, 224, x18) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x8, 232, x18) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x8, 240, x18) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x8, 248, x18) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x8, 256, x18) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x8, 264, x18) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x8, 272, x18) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x8, 280, x18) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x8, 288, x18) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x8, 296, x18) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x8, 304, x18) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x8, 312, x18) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x8, 320, x18) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x8, 328, x18) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x8, 336, x18) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x8, 344, x18) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x8, 352, x18) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x8, 360, x18) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x8, 368, x18) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x8, 376, x18) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x8, 384, x18) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x8, 392, x18) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x8, 400, x18) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x8, 408, x18) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x8, 416, x18) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x8, 424, x18) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x8, 432, x18) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x8, 440, x18) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x8, 448, x18) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x8, 456, x18) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x8, 464, x18) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x8, 472, x18) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x8, 480, x18) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x8, 488, x18) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x8, 496, x18) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x8, 504, x18) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x8, 512, x18) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x8, 520, x18) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x8, 528, x18) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x8, 536, x18) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x8, 544, x18) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x8, 552, x18) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x8, 560, x18) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x8, 568, x18) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x8, 576, x18) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x8, 584, x18) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x8, 592, x18) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x8, 600, x18) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x8, 608, x18) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x8, 616, x18) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x8, 624, x18) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x8, 632, x18) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x8, 640, x18) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x8, 648, x18) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x8, 656, x18) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x8, 664, x18) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x8, 672, x18) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x8, 680, x18) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x8, 688, x18) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x8, 696, x18) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x8, 704, x18) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x8, 712, x18) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x8, 720, x18) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x8, 728, x18) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x8, 736, x18) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x8, 744, x18) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x8, 752, x18) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x8, 760, x18) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x8, 768, x18) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x8, 776, x18) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x8, 784, x18) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x8, 792, x18) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x8, 800, x18) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x8, 808, x18) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x8, 816, x18) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x8, 824, x18) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x8, 832, x18) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x8, 840, x18) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x8, 848, x18) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x8, 856, x18) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x8, 864, x18) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x8, 872, x18) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x8, 880, x18) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x8, 888, x18) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x8, 896, x18) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x8, 904, x18) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x8, 912, x18) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x8, 920, x18) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x8, 928, x18) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x8, 936, x18) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x8, 944, x18) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x8, 952, x18) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x8, 960, x18) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x8, 968, x18) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x8, 976, x18) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x8, 984, x18) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x8, 992, x18) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x8, 1000, x18) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x8, 1008, x18) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x8, 1016, x18) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x8, 1024, x18) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x8, 1032, x18) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x8, 1040, x18) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x8, 1048, x18) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x8, 1056, x18) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x8, 1064, x18) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x8, 1072, x18) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x8, 1080, x18) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x8, 1088, x18) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x8, 1096, x18) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x8, 1104, x18) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x8, 1112, x18) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x8, 1120, x18) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x8, 1128, x18) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x8, 1136, x18) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x8, 1144, x18) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x8, 1152, x18) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x8, 1160, x18) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x8, 1168, x18) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x8, 1176, x18) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x8, 1184, x18) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x8, 1192, x18) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x8, 1200, x18) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x8, 1208, x18) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x8, 1216, x18) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x8, 1224, x18) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x8, 1232, x18) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x8, 1240, x18) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x8, 1248, x18) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x8, 1256, x18) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x8, 1264, x18) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x8, 1272, x18) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x8, 1280, x18) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x8, 1288, x18) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x8, 1296, x18) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x8, 1304, x18) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x8, 1312, x18) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x8, 1320, x18) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x8, 1328, x18) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x8, 1336, x18) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x8, 1344, x18) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x8, 1352, x18) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x8, 1360, x18) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x8, 1368, x18) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x8, 1376, x18) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x8, 1384, x18) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x8, 1392, x18) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x8, 1400, x18) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x8, 1408, x18) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x8, 1416, x18) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x8, 1424, x18) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x8, 1432, x18) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x8, 1440, x18) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x8, 1448, x18) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x8, 1456, x18) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x8, 1464, x18) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x8, 1472, x18) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x8, 1480, x18) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x8, 1488, x18) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x8, 1496, x18) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x8, 1504, x18) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x8, 1512, x18) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x8, 1520, x18) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x8, 1528, x18) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x8, 1536, x18) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x8, 1544, x18) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x8, 1552, x18) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x8, 1560, x18) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x8, 1568, x18) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x8, 1576, x18) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x8, 1584, x18) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x8, 1592, x18) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x8, 1600, x18) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x8, 1608, x18) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x8, 1616, x18) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x8, 1624, x18) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x8, 1632, x18) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x8, 1640, x18) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x8, 1648, x18) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x8, 1656, x18) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x8, 1664, x18) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x8, 1672, x18) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x8, 1680, x18) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x8, 1688, x18) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x8, 1696, x18) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x8, 1704, x18) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x8, 1712, x18) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x8, 1720, x18) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x8, 1728, x18) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x8, 1736, x18) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x8, 1744, x18) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x8, 1752, x18) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x8, 1760, x18) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x8, 1768, x18) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x8, 1776, x18) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x8, 1784, x18) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x8, 1792, x18) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x8, 1800, x18) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x8, 1808, x18) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x8, 1816, x18) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x8, 1824, x18) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x8, 1832, x18) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x8, 1840, x18) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x8, 1848, x18) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x8, 1856, x18) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x8, 1864, x18) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x8, 1872, x18) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x8, 1880, x18) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x8, 1888, x18) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x8, 1896, x18) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x8, 1904, x18) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x8, 1912, x18) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x8, 1920, x18) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x8, 1928, x18) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x8, 1936, x18) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x8, 1944, x18) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x8, 1952, x18) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x8, 1960, x18) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x8, 1968, x18) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x8, 1976, x18) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x8, 1984, x18) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x8, 1992, x18) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x8, 2000, x18) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x8, 2008, x18) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x8, 2016, x18) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x8, 2024, x18) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x8, 2032, x18) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x8, 2040, x18) -RVTEST_SIGBASE( x8,signature_x8_1) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x8, 0, x18) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x8, 8, x18) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x8, 16, x18) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x8, 24, x18) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x8, 32, x18) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x8, 40, x18) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x8, 48, x18) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x8, 56, x18) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x8, 64, x18) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x8, 72, x18) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x8, 80, x18) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x8, 88, x18) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x8, 96, x18) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x8, 104, x18) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x8, 112, x18) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x8, 120, x18) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x8, 128, x18) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x8, 136, x18) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x8, 144, x18) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x8, 152, x18) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x8, 160, x18) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x8, 168, x18) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x8, 176, x18) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x8, 184, x18) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x8, 192, x18) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x8, 200, x18) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x8, 208, x18) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x8, 216, x18) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x8, 224, x18) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x8, 232, x18) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x8, 240, x18) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x8, 248, x18) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x8, 256, x18) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x8, 264, x18) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x8, 272, x18) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x8, 280, x18) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x8, 288, x18) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x8, 296, x18) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x8, 304, x18) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x8, 312, x18) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x8, 320, x18) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x8, 328, x18) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x8, 336, x18) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x8, 344, x18) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x8, 352, x18) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x8, 360, x18) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x8, 368, x18) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x8, 376, x18) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x8, 384, x18) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x8, 392, x18) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x8, 400, x18) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x8, 408, x18) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x8, 416, x18) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x8, 424, x18) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x8, 432, x18) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x8, 440, x18) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x8, 448, x18) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x8, 456, x18) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x8, 464, x18) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x8, 472, x18) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x8, 480, x18) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x8, 488, x18) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x8, 496, x18) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x8, 504, x18) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x8, 512, x18) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x8, 520, x18) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x8, 528, x18) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x8, 536, x18) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x8, 544, x18) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x8, 552, x18) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x8, 560, x18) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x8, 568, x18) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x8, 576, x18) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x8, 584, x18) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x8, 592, x18) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x8, 600, x18) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x8, 608, x18) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x8, 616, x18) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x8, 624, x18) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x8, 632, x18) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x8, 640, x18) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x8, 648, x18) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x8, 656, x18) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x8, 664, x18) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x8, 672, x18) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x8, 680, x18) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x8, 688, x18) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x8, 696, x18) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x8, 704, x18) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x8, 712, x18) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x8, 720, x18) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x8, 728, x18) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x8, 736, x18) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x8, 744, x18) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x8, 752, x18) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x8, 760, x18) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x8, 768, x18) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x8, 776, x18) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x8, 784, x18) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x8, 792, x18) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x8, 800, x18) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x8, 808, x18) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x8, 816, x18) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x8, 824, x18) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x8, 832, x18) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x8, 840, x18) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x8, 848, x18) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x8, 856, x18) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x8, 864, x18) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x8, 872, x18) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x8, 880, x18) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x8, 888, x18) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x8, 896, x18) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x8, 904, x18) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x8, 912, x18) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x8, 920, x18) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x8, 928, x18) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x8, 936, x18) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x8, 944, x18) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x8, 952, x18) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x8, 960, x18) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x8, 968, x18) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x8, 976, x18) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x8, 984, x18) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x8, 992, x18) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x8, 1000, x18) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x8, 1008, x18) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x8, 1016, x18) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x8, 1024, x18) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x8, 1032, x18) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x8, 1040, x18) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x8, 1048, x18) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x8, 1056, x18) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x8, 1064, x18) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x8, 1072, x18) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x8, 1080, x18) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x8, 1088, x18) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x8, 1096, x18) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x8, 1104, x18) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x8, 1112, x18) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x8, 1120, x18) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x8, 1128, x18) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x8, 1136, x18) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x8, 1144, x18) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x8, 1152, x18) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x8, 1160, x18) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x8, 1168, x18) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x8, 1176, x18) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x8, 1184, x18) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x8, 1192, x18) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x8, 1200, x18) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x8, 1208, x18) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x8, 1216, x18) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x8, 1224, x18) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x8, 1232, x18) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x8, 1240, x18) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x8, 1248, x18) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x8, 1256, x18) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x8, 1264, x18) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x8, 1272, x18) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x8, 1280, x18) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x8, 1288, x18) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x8, 1296, x18) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x8, 1304, x18) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x8, 1312, x18) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x8, 1320, x18) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x8, 1328, x18) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x8, 1336, x18) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x8, 1344, x18) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x8, 1352, x18) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x8, 1360, x18) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x8, 1368, x18) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x8, 1376, x18) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x8, 1384, x18) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x8, 1392, x18) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x8, 1400, x18) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x8, 1408, x18) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x8, 1416, x18) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x8, 1424, x18) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x8, 1432, x18) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x8, 1440, x18) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x8, 1448, x18) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x8, 1456, x18) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x8, 1464, x18) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x8, 1472, x18) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x8, 1480, x18) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x8, 1488, x18) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x8, 1496, x18) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x8, 1504, x18) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x8, 1512, x18) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x8, 1520, x18) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x8, 1528, x18) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x8, 1536, x18) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x8, 1544, x18) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x8, 1552, x18) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x8, 1560, x18) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x8, 1568, x18) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x8, 1576, x18) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x8, 1584, x18) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x8, 1592, x18) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x8, 1600, x18) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x8, 1608, x18) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x8, 1616, x18) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x8, 1624, x18) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x8, 1632, x18) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x8, 1640, x18) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x8, 1648, x18) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x8, 1656, x18) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x8, 1664, x18) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x8, 1672, x18) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x8, 1680, x18) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x8, 1688, x18) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x8, 1696, x18) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x8, 1704, x18) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x8, 1712, x18) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x8, 1720, x18) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x8, 1728, x18) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x8, 1736, x18) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x8, 1744, x18) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x8, 1752, x18) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x8, 1760, x18) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x8, 1768, x18) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x8, 1776, x18) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x8, 1784, x18) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x8, 1792, x18) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x8, 1800, x18) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x8, 1808, x18) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x8, 1816, x18) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x8, 1824, x18) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x8, 1832, x18) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x8, 1840, x18) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x8, 1848, x18) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x8, 1856, x18) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x8, 1864, x18) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x8, 1872, x18) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x8, 1880, x18) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x8, 1888, x18) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x8, 1896, x18) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x8, 1904, x18) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x8, 1912, x18) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x8, 1920, x18) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x8, 1928, x18) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x8, 1936, x18) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x8, 1944, x18) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x8, 1952, x18) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x8, 1960, x18) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x8, 1968, x18) - -inst_522: -// rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x8, 1976, x18) - -inst_523: -// rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x8, 1984, x18) - -inst_524: -// rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: clmul ; op1:x10; op2:x11; dest:x12; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(clmul, x12, x10, x11, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x8, 1992, x18) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 19*(XLEN/32),4,0xdeadbeef - - -signature_x8_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x8_1: - .fill 250*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/clmulh-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/clmulh-01.S deleted file mode 100644 index 937e4980c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/clmulh-01.S +++ /dev/null @@ -1,2719 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the clmulh instruction of the RISC-V extension for the clmulh covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",clmulh) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",clmulh) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",clmulh) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",clmulh) - -RVTEST_SIGBASE( x18,signature_x18_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x14, rs2==x14, rd==x1, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: clmulh ; op1:x14; op2:x14; dest:x1; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(clmulh, x1, x14, x14, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x18, 0, x3) - -inst_1: -// rs1 == rs2 == rd, rs1==x24, rs2==x24, rd==x24, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: clmulh ; op1:x24; op2:x24; dest:x24; op1val:0x5ba2c7dd5ae10604; op2val:0x5ba2c7dd5ae10604 -TEST_RR_OP(clmulh, x24, x24, x24, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x5ba2c7dd5ae10604, x18, 8, x3) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x4, rs2==x0, rd==x12, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: clmulh ; op1:x4; op2:x0; dest:x12; op1val:0x6f061d6dc38671b6; op2val:0x0 -TEST_RR_OP(clmulh, x12, x4, x0, 0x0000000000000000, 0x6f061d6dc38671b6, 0x0, x18, 16, x3) - -inst_3: -// rs1 == rd != rs2, rs1==x8, rs2==x17, rd==x8, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: clmulh ; op1:x8; op2:x17; dest:x8; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(clmulh, x8, x8, x17, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x18, 24, x3) - -inst_4: -// rs2 == rd != rs1, rs1==x0, rs2==x25, rd==x25, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: clmulh ; op1:x0; op2:x25; dest:x25; op1val:0x0; op2val:0xa000000000000000 -TEST_RR_OP(clmulh, x25, x0, x25, 0x0000000000000000, 0x0, 0xa000000000000000, x18, 32, x3) - -inst_5: -// rs1==x11, rs2==x9, rd==x21, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: clmulh ; op1:x11; op2:x9; dest:x21; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(clmulh, x21, x11, x9, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x18, 40, x3) - -inst_6: -// rs1==x5, rs2==x29, rd==x7, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: clmulh ; op1:x5; op2:x29; dest:x7; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(clmulh, x7, x5, x29, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x18, 48, x3) - -inst_7: -// rs1==x13, rs2==x30, rd==x20, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: clmulh ; op1:x13; op2:x30; dest:x20; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(clmulh, x20, x13, x30, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x18, 56, x3) - -inst_8: -// rs1==x21, rs2==x15, rd==x31, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: clmulh ; op1:x21; op2:x15; dest:x31; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(clmulh, x31, x21, x15, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x18, 64, x3) - -inst_9: -// rs1==x6, rs2==x26, rd==x16, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: clmulh ; op1:x6; op2:x26; dest:x16; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(clmulh, x16, x6, x26, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x18, 72, x3) - -inst_10: -// rs1==x10, rs2==x2, rd==x30, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: clmulh ; op1:x10; op2:x2; dest:x30; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(clmulh, x30, x10, x2, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x18, 80, x3) - -inst_11: -// rs1==x1, rs2==x12, rd==x17, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: clmulh ; op1:x1; op2:x12; dest:x17; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(clmulh, x17, x1, x12, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x18, 88, x3) - -inst_12: -// rs1==x15, rs2==x27, rd==x26, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: clmulh ; op1:x15; op2:x27; dest:x26; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(clmulh, x26, x15, x27, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x18, 96, x3) - -inst_13: -// rs1==x28, rs2==x16, rd==x22, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: clmulh ; op1:x28; op2:x16; dest:x22; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(clmulh, x22, x28, x16, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x18, 104, x3) - -inst_14: -// rs1==x26, rs2==x21, rd==x10, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: clmulh ; op1:x26; op2:x21; dest:x10; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(clmulh, x10, x26, x21, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x18, 112, x14) - -inst_15: -// rs1==x7, rs2==x28, rd==x9, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: clmulh ; op1:x7; op2:x28; dest:x9; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(clmulh, x9, x7, x28, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x18, 120, x14) - -inst_16: -// rs1==x12, rs2==x20, rd==x3, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: clmulh ; op1:x12; op2:x20; dest:x3; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(clmulh, x3, x12, x20, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x18, 128, x14) -RVTEST_SIGBASE( x21,signature_x21_0) - -inst_17: -// rs1==x23, rs2==x18, rd==x19, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: clmulh ; op1:x23; op2:x18; dest:x19; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(clmulh, x19, x23, x18, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x21, 0, x14) - -inst_18: -// rs1==x22, rs2==x8, rd==x13, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: clmulh ; op1:x22; op2:x8; dest:x13; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(clmulh, x13, x22, x8, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x21, 8, x14) - -inst_19: -// rs1==x19, rs2==x5, rd==x6, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: clmulh ; op1:x19; op2:x5; dest:x6; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(clmulh, x6, x19, x5, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x21, 16, x14) - -inst_20: -// rs1==x18, rs2==x11, rd==x15, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: clmulh ; op1:x18; op2:x11; dest:x15; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(clmulh, x15, x18, x11, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x21, 24, x14) - -inst_21: -// rs1==x3, rs2==x6, rd==x23, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: clmulh ; op1:x3; op2:x6; dest:x23; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(clmulh, x23, x3, x6, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x21, 32, x14) - -inst_22: -// rs1==x29, rs2==x22, rd==x2, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: clmulh ; op1:x29; op2:x22; dest:x2; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(clmulh, x2, x29, x22, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x21, 40, x14) - -inst_23: -// rs1==x16, rs2==x19, rd==x4, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: clmulh ; op1:x16; op2:x19; dest:x4; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(clmulh, x4, x16, x19, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x21, 48, x14) - -inst_24: -// rs1==x20, rs2==x31, rd==x27, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: clmulh ; op1:x20; op2:x31; dest:x27; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(clmulh, x27, x20, x31, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x21, 56, x14) - -inst_25: -// rs1==x25, rs2==x7, rd==x5, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: clmulh ; op1:x25; op2:x7; dest:x5; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(clmulh, x5, x25, x7, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x21, 64, x14) - -inst_26: -// rs1==x30, rs2==x1, rd==x18, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: clmulh ; op1:x30; op2:x1; dest:x18; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(clmulh, x18, x30, x1, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x21, 72, x14) - -inst_27: -// rs1==x9, rs2==x13, rd==x14, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: clmulh ; op1:x9; op2:x13; dest:x14; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(clmulh, x14, x9, x13, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x21, 80, x5) - -inst_28: -// rs1==x17, rs2==x4, rd==x11, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: clmulh ; op1:x17; op2:x4; dest:x11; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(clmulh, x11, x17, x4, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x21, 88, x5) - -inst_29: -// rs1==x2, rs2==x23, rd==x28, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: clmulh ; op1:x2; op2:x23; dest:x28; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(clmulh, x28, x2, x23, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x21, 96, x5) - -inst_30: -// rs1==x27, rs2==x10, rd==x0, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: clmulh ; op1:x27; op2:x10; dest:x0; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(clmulh, x0, x27, x10, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x21, 104, x5) - -inst_31: -// rs1==x31, rs2==x3, rd==x29, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: clmulh ; op1:x31; op2:x3; dest:x29; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(clmulh, x29, x31, x3, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x21, 112, x5) - -inst_32: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x21, 120, x5) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_33: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x1, 0, x5) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x1, 8, x5) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x1, 16, x5) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x1, 24, x5) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x1, 32, x5) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x1, 40, x5) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x1, 48, x5) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x1, 56, x5) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x1, 64, x5) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x1, 72, x5) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x1, 80, x5) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x1, 88, x5) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x1, 96, x5) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x1, 104, x5) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x1, 112, x5) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x1, 120, x5) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x1, 128, x5) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x1, 136, x5) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x1, 144, x5) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x1, 152, x5) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x1, 160, x5) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x1, 168, x5) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x1, 176, x5) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x1, 184, x5) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x1, 192, x5) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x1, 200, x5) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x1, 208, x5) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x1, 216, x5) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x1, 224, x5) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x1, 232, x5) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x1, 240, x5) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x1, 248, x5) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x1, 256, x5) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x1, 264, x5) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x1, 272, x5) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x1, 280, x5) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x1, 288, x5) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x1, 296, x5) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x1, 304, x5) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x1, 312, x5) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x1, 320, x5) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x1, 328, x5) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x1, 336, x5) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x1, 344, x5) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x1, 352, x5) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x1, 360, x5) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x1, 368, x5) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x1, 376, x5) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x1, 384, x5) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x1, 392, x5) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x1, 400, x5) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x1, 408, x5) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x1, 416, x5) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x1, 424, x5) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x1, 432, x5) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x1, 440, x5) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x1, 448, x5) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x1, 456, x5) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x1, 464, x5) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x1, 472, x5) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x1, 480, x5) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x1, 488, x5) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x1, 496, x5) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x1, 504, x5) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x1, 512, x5) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x1, 520, x5) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x1, 528, x5) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x1, 536, x5) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x1, 544, x5) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x1, 552, x5) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x1, 560, x5) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x1, 568, x5) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x1, 576, x5) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x1, 584, x5) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x1, 592, x5) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x1, 600, x5) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x1, 608, x5) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x1, 616, x5) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x1, 624, x5) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x1, 632, x5) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x1, 640, x5) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x1, 648, x5) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x1, 656, x5) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x1, 664, x5) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x1, 672, x5) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x1, 680, x5) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x1, 688, x5) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x1, 696, x5) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x1, 704, x5) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x1, 712, x5) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x1, 720, x5) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x1, 728, x5) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x1, 736, x5) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x1, 744, x5) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x1, 752, x5) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x1, 760, x5) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x1, 768, x5) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x1, 776, x5) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x1, 784, x5) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x1, 792, x5) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x1, 800, x5) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x1, 808, x5) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x1, 816, x5) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x1, 824, x5) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x1, 832, x5) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x1, 840, x5) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x1, 848, x5) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x1, 856, x5) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x1, 864, x5) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x1, 872, x5) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x1, 880, x5) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x1, 888, x5) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x1, 896, x5) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x1, 904, x5) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x1, 912, x5) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x1, 920, x5) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x1, 928, x5) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x1, 936, x5) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x1, 944, x5) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x1, 952, x5) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x1, 960, x5) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x1, 968, x5) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x1, 976, x5) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x1, 984, x5) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x1, 992, x5) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x1, 1000, x5) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x1, 1008, x5) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x1, 1016, x5) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x1, 1024, x5) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x1, 1032, x5) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x1, 1040, x5) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x1, 1048, x5) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x1, 1056, x5) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x1, 1064, x5) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x1, 1072, x5) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x1, 1080, x5) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x1, 1088, x5) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x1, 1096, x5) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x1, 1104, x5) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x1, 1112, x5) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x1, 1120, x5) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x1, 1128, x5) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x1, 1136, x5) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x1, 1144, x5) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x1, 1152, x5) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x1, 1160, x5) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x1, 1168, x5) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x1, 1176, x5) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x1, 1184, x5) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x1, 1192, x5) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x1, 1200, x5) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x1, 1208, x5) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x1, 1216, x5) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x1, 1224, x5) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x1, 1232, x5) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x1, 1240, x5) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x1, 1248, x5) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x1, 1256, x5) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x1, 1264, x5) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x1, 1272, x5) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x1, 1280, x5) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x1, 1288, x5) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x1, 1296, x5) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x1, 1304, x5) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x1, 1312, x5) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x1, 1320, x5) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x1, 1328, x5) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x1, 1336, x5) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x1, 1344, x5) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x1, 1352, x5) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x1, 1360, x5) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x1, 1368, x5) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x1, 1376, x5) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x1, 1384, x5) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x1, 1392, x5) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x1, 1400, x5) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x1, 1408, x5) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x1, 1416, x5) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x1, 1424, x5) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x1, 1432, x5) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x1, 1440, x5) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x1, 1448, x5) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x1, 1456, x5) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x1, 1464, x5) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x1, 1472, x5) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x1, 1480, x5) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x1, 1488, x5) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x1, 1496, x5) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x1, 1504, x5) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x1, 1512, x5) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x1, 1520, x5) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x1, 1528, x5) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x1, 1536, x5) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x1, 1544, x5) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x1, 1552, x5) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x1, 1560, x5) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x1, 1568, x5) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x1, 1576, x5) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x1, 1584, x5) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x1, 1592, x5) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x1, 1600, x5) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x1, 1608, x5) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x1, 1616, x5) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x1, 1624, x5) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x1, 1632, x5) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x1, 1640, x5) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x1, 1648, x5) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x1, 1656, x5) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x1, 1664, x5) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x1, 1672, x5) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x1, 1680, x5) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x1, 1688, x5) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x1, 1696, x5) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x1, 1704, x5) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x1, 1712, x5) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x1, 1720, x5) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x1, 1728, x5) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x1, 1736, x5) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x1, 1744, x5) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x1, 1752, x5) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x1, 1760, x5) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x1, 1768, x5) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x1, 1776, x5) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x1, 1784, x5) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x1, 1792, x5) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x1, 1800, x5) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x1, 1808, x5) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x1, 1816, x5) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x1, 1824, x5) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x1, 1832, x5) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x1, 1840, x5) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x1, 1848, x5) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x1, 1856, x5) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x1, 1864, x5) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x1, 1872, x5) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x1, 1880, x5) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x1, 1888, x5) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x1, 1896, x5) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x1, 1904, x5) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x1, 1912, x5) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x1, 1920, x5) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x1, 1928, x5) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x1, 1936, x5) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x1, 1944, x5) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x1, 1952, x5) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x1, 1960, x5) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x1, 1968, x5) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x1, 1976, x5) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x1, 1984, x5) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x1, 1992, x5) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x1, 2000, x5) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x1, 2008, x5) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x1, 2016, x5) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x1, 2024, x5) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x1, 2032, x5) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x1, 2040, x5) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x1, 0, x5) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x1, 8, x5) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x1, 16, x5) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x1, 24, x5) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x1, 32, x5) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x1, 40, x5) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x1, 48, x5) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x1, 56, x5) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x1, 64, x5) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x1, 72, x5) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x1, 80, x5) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x1, 88, x5) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x1, 96, x5) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x1, 104, x5) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x1, 112, x5) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x1, 120, x5) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x1, 128, x5) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x1, 136, x5) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x1, 144, x5) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x1, 152, x5) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x1, 160, x5) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x1, 168, x5) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x1, 176, x5) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x1, 184, x5) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x1, 192, x5) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x1, 200, x5) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x1, 208, x5) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x1, 216, x5) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x1, 224, x5) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x1, 232, x5) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x1, 240, x5) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x1, 248, x5) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x1, 256, x5) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x1, 264, x5) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x1, 272, x5) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x1, 280, x5) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x1, 288, x5) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x1, 296, x5) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x1, 304, x5) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x1, 312, x5) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x1, 320, x5) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x1, 328, x5) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x1, 336, x5) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x1, 344, x5) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x1, 352, x5) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x1, 360, x5) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x1, 368, x5) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x1, 376, x5) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x1, 384, x5) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x1, 392, x5) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x1, 400, x5) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x1, 408, x5) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x1, 416, x5) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x1, 424, x5) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x1, 432, x5) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x1, 440, x5) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x1, 448, x5) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x1, 456, x5) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x1, 464, x5) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x1, 472, x5) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x1, 480, x5) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x1, 488, x5) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x1, 496, x5) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x1, 504, x5) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x1, 512, x5) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x1, 520, x5) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x1, 528, x5) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x1, 536, x5) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x1, 544, x5) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x1, 552, x5) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x1, 560, x5) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x1, 568, x5) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x1, 576, x5) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x1, 584, x5) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x1, 592, x5) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x1, 600, x5) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x1, 608, x5) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x1, 616, x5) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x1, 624, x5) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x1, 632, x5) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x1, 640, x5) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x1, 648, x5) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x1, 656, x5) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x1, 664, x5) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x1, 672, x5) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x1, 680, x5) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x1, 688, x5) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x1, 696, x5) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x1, 704, x5) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x1, 712, x5) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x1, 720, x5) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x1, 728, x5) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x1, 736, x5) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x1, 744, x5) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x1, 752, x5) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x1, 760, x5) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x1, 768, x5) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x1, 776, x5) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x1, 784, x5) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x1, 792, x5) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x1, 800, x5) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x1, 808, x5) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x1, 816, x5) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x1, 824, x5) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x1, 832, x5) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x1, 840, x5) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x1, 848, x5) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x1, 856, x5) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x1, 864, x5) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x1, 872, x5) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x1, 880, x5) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x1, 888, x5) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x1, 896, x5) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x1, 904, x5) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x1, 912, x5) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x1, 920, x5) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x1, 928, x5) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x1, 936, x5) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x1, 944, x5) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x1, 952, x5) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x1, 960, x5) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x1, 968, x5) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x1, 976, x5) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x1, 984, x5) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x1, 992, x5) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x1, 1000, x5) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x1, 1008, x5) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x1, 1016, x5) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x1, 1024, x5) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x1, 1032, x5) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x1, 1040, x5) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x1, 1048, x5) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x1, 1056, x5) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x1, 1064, x5) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x1, 1072, x5) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x1, 1080, x5) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x1, 1088, x5) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x1, 1096, x5) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x1, 1104, x5) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x1, 1112, x5) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x1, 1120, x5) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x1, 1128, x5) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x1, 1136, x5) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x1, 1144, x5) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x1, 1152, x5) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x1, 1160, x5) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x1, 1168, x5) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x1, 1176, x5) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x1, 1184, x5) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x1, 1192, x5) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x1, 1200, x5) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x1, 1208, x5) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x1, 1216, x5) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x1, 1224, x5) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x1, 1232, x5) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x1, 1240, x5) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x1, 1248, x5) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x1, 1256, x5) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x1, 1264, x5) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x1, 1272, x5) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x1, 1280, x5) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x1, 1288, x5) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x1, 1296, x5) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x1, 1304, x5) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x1, 1312, x5) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x1, 1320, x5) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x1, 1328, x5) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x1, 1336, x5) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x1, 1344, x5) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x1, 1352, x5) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x1, 1360, x5) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x1, 1368, x5) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x1, 1376, x5) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x1, 1384, x5) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x1, 1392, x5) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x1, 1400, x5) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x1, 1408, x5) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x1, 1416, x5) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x1, 1424, x5) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x1, 1432, x5) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x1, 1440, x5) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x1, 1448, x5) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x1, 1456, x5) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x1, 1464, x5) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x1, 1472, x5) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x1, 1480, x5) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x1, 1488, x5) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x1, 1496, x5) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x1, 1504, x5) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x1, 1512, x5) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x1, 1520, x5) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x1, 1528, x5) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x1, 1536, x5) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x1, 1544, x5) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x1, 1552, x5) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x1, 1560, x5) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x1, 1568, x5) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x1, 1576, x5) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x1, 1584, x5) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x1, 1592, x5) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x1, 1600, x5) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x1, 1608, x5) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x1, 1616, x5) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x1, 1624, x5) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x1, 1632, x5) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x1, 1640, x5) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x1, 1648, x5) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x1, 1656, x5) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x1, 1664, x5) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x1, 1672, x5) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x1, 1680, x5) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x1, 1688, x5) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x1, 1696, x5) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x1, 1704, x5) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x1, 1712, x5) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x1, 1720, x5) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x1, 1728, x5) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x1, 1736, x5) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x1, 1744, x5) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x1, 1752, x5) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x1, 1760, x5) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x1, 1768, x5) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x1, 1776, x5) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x1, 1784, x5) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x1, 1792, x5) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x1, 1800, x5) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x1, 1808, x5) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x1, 1816, x5) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x1, 1824, x5) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x1, 1832, x5) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x1, 1840, x5) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x1, 1848, x5) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x1, 1856, x5) - -inst_522: -// rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x1, 1864, x5) - -inst_523: -// rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x1, 1872, x5) - -inst_524: -// rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: clmulh ; op1:x10; op2:x11; dest:x12; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(clmulh, x12, x10, x11, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x1, 1880, x5) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x18_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x18_1: - .fill 17*(XLEN/32),4,0xdeadbeef - - -signature_x21_0: - .fill 16*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 236*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/orn-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/orn-01.S deleted file mode 100644 index 1903398fe..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/orn-01.S +++ /dev/null @@ -1,2719 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the orn instruction of the RISC-V extension for the orn covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",orn) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",orn) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",orn) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",orn) - -RVTEST_SIGBASE( x10,signature_x10_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x11, rs2==x11, rd==x16, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: orn ; op1:x11; op2:x11; dest:x16; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(orn, x16, x11, x11, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x10, 0, x23) - -inst_1: -// rs1 == rs2 == rd, rs1==x8, rs2==x8, rd==x8, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: orn ; op1:x8; op2:x8; dest:x8; op1val:0x5ba2c7dd5ae10604; op2val:0x5ba2c7dd5ae10604 -TEST_RR_OP(orn, x8, x8, x8, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x5ba2c7dd5ae10604, x10, 8, x23) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x27, rs2==x21, rd==x15, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: orn ; op1:x27; op2:x21; dest:x15; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(orn, x15, x27, x21, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x10, 16, x23) - -inst_3: -// rs1 == rd != rs2, rs1==x6, rs2==x31, rd==x6, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: orn ; op1:x6; op2:x31; dest:x6; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(orn, x6, x6, x31, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x10, 24, x23) - -inst_4: -// rs2 == rd != rs1, rs1==x5, rs2==x14, rd==x14, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: orn ; op1:x5; op2:x14; dest:x14; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(orn, x14, x5, x14, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x10, 32, x23) - -inst_5: -// rs1==x20, rs2==x1, rd==x26, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: orn ; op1:x20; op2:x1; dest:x26; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(orn, x26, x20, x1, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x10, 40, x23) - -inst_6: -// rs1==x2, rs2==x7, rd==x9, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: orn ; op1:x2; op2:x7; dest:x9; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(orn, x9, x2, x7, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x10, 48, x23) - -inst_7: -// rs1==x9, rs2==x3, rd==x17, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: orn ; op1:x9; op2:x3; dest:x17; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(orn, x17, x9, x3, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x10, 56, x23) - -inst_8: -// rs1==x4, rs2==x27, rd==x30, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: orn ; op1:x4; op2:x27; dest:x30; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(orn, x30, x4, x27, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x10, 64, x23) - -inst_9: -// rs1==x24, rs2==x0, rd==x22, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: orn ; op1:x24; op2:x0; dest:x22; op1val:0xde81bcad0b815ef0; op2val:0x0 -TEST_RR_OP(orn, x22, x24, x0, 0x0000000000000000, 0xde81bcad0b815ef0, 0x0, x10, 72, x23) - -inst_10: -// rs1==x31, rs2==x30, rd==x19, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: orn ; op1:x31; op2:x30; dest:x19; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(orn, x19, x31, x30, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x10, 80, x23) - -inst_11: -// rs1==x15, rs2==x12, rd==x20, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: orn ; op1:x15; op2:x12; dest:x20; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(orn, x20, x15, x12, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x10, 88, x23) - -inst_12: -// rs1==x19, rs2==x13, rd==x31, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: orn ; op1:x19; op2:x13; dest:x31; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(orn, x31, x19, x13, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x10, 96, x23) - -inst_13: -// rs1==x14, rs2==x24, rd==x28, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: orn ; op1:x14; op2:x24; dest:x28; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(orn, x28, x14, x24, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x10, 104, x23) - -inst_14: -// rs1==x29, rs2==x18, rd==x0, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: orn ; op1:x29; op2:x18; dest:x0; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(orn, x0, x29, x18, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x10, 112, x23) -RVTEST_SIGBASE( x8,signature_x8_0) - -inst_15: -// rs1==x23, rs2==x4, rd==x11, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: orn ; op1:x23; op2:x4; dest:x11; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(orn, x11, x23, x4, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x8, 0, x14) - -inst_16: -// rs1==x22, rs2==x25, rd==x10, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: orn ; op1:x22; op2:x25; dest:x10; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(orn, x10, x22, x25, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x8, 8, x14) - -inst_17: -// rs1==x26, rs2==x28, rd==x18, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: orn ; op1:x26; op2:x28; dest:x18; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(orn, x18, x26, x28, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x8, 16, x14) - -inst_18: -// rs1==x30, rs2==x26, rd==x3, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: orn ; op1:x30; op2:x26; dest:x3; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(orn, x3, x30, x26, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x8, 24, x14) - -inst_19: -// rs1==x17, rs2==x9, rd==x2, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: orn ; op1:x17; op2:x9; dest:x2; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(orn, x2, x17, x9, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x8, 32, x14) - -inst_20: -// rs1==x10, rs2==x17, rd==x4, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: orn ; op1:x10; op2:x17; dest:x4; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(orn, x4, x10, x17, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x8, 40, x14) - -inst_21: -// rs1==x12, rs2==x19, rd==x23, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: orn ; op1:x12; op2:x19; dest:x23; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(orn, x23, x12, x19, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x8, 48, x14) - -inst_22: -// rs1==x18, rs2==x23, rd==x13, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: orn ; op1:x18; op2:x23; dest:x13; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(orn, x13, x18, x23, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x8, 56, x14) - -inst_23: -// rs1==x3, rs2==x6, rd==x5, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: orn ; op1:x3; op2:x6; dest:x5; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(orn, x5, x3, x6, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x8, 64, x14) - -inst_24: -// rs1==x0, rs2==x5, rd==x24, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: orn ; op1:x0; op2:x5; dest:x24; op1val:0x0; op2val:0xf37b4e0000000000 -TEST_RR_OP(orn, x24, x0, x5, 0x0000000000000000, 0x0, 0xf37b4e0000000000, x8, 72, x14) - -inst_25: -// rs1==x1, rs2==x20, rd==x12, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: orn ; op1:x1; op2:x20; dest:x12; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(orn, x12, x1, x20, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x8, 80, x14) - -inst_26: -// rs1==x16, rs2==x22, rd==x1, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: orn ; op1:x16; op2:x22; dest:x1; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(orn, x1, x16, x22, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x8, 88, x14) - -inst_27: -// rs1==x7, rs2==x16, rd==x21, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: orn ; op1:x7; op2:x16; dest:x21; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(orn, x21, x7, x16, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x8, 96, x14) - -inst_28: -// rs1==x21, rs2==x2, rd==x27, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: orn ; op1:x21; op2:x2; dest:x27; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(orn, x27, x21, x2, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x8, 104, x14) - -inst_29: -// rs1==x13, rs2==x29, rd==x7, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: orn ; op1:x13; op2:x29; dest:x7; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(orn, x7, x13, x29, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x8, 112, x14) - -inst_30: -// rs1==x25, rs2==x15, rd==x29, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: orn ; op1:x25; op2:x15; dest:x29; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(orn, x29, x25, x15, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x8, 120, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_31: -// rs1==x28, rs2==x10, rd==x25, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: orn ; op1:x28; op2:x10; dest:x25; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(orn, x25, x28, x10, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x1, 0, x2) - -inst_32: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x1, 8, x2) - -inst_33: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x1, 16, x2) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x1, 24, x2) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x1, 32, x2) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x1, 40, x2) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x1, 48, x2) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x1, 56, x2) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x1, 64, x2) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x1, 72, x2) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x1, 80, x2) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x1, 88, x2) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x1, 96, x2) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x1, 104, x2) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x1, 112, x2) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x1, 120, x2) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x1, 128, x2) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x1, 136, x2) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x1, 144, x2) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x1, 152, x2) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x1, 160, x2) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x1, 168, x2) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x1, 176, x2) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x1, 184, x2) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x1, 192, x2) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x1, 200, x2) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x1, 208, x2) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x1, 216, x2) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x1, 224, x2) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x1, 232, x2) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x1, 240, x2) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x1, 248, x2) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x1, 256, x2) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x1, 264, x2) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x1, 272, x2) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x1, 280, x2) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x1, 288, x2) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x1, 296, x2) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x1, 304, x2) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x1, 312, x2) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x1, 320, x2) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x1, 328, x2) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x1, 336, x2) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x1, 344, x2) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x1, 352, x2) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x1, 360, x2) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x1, 368, x2) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x1, 376, x2) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x1, 384, x2) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x1, 392, x2) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x1, 400, x2) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x1, 408, x2) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x1, 416, x2) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x1, 424, x2) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x1, 432, x2) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x1, 440, x2) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x1, 448, x2) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x1, 456, x2) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x1, 464, x2) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x1, 472, x2) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x1, 480, x2) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x1, 488, x2) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x1, 496, x2) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x1, 504, x2) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x1, 512, x2) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x1, 520, x2) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x1, 528, x2) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x1, 536, x2) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x1, 544, x2) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x1, 552, x2) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x1, 560, x2) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x1, 568, x2) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x1, 576, x2) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x1, 584, x2) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x1, 592, x2) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x1, 600, x2) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x1, 608, x2) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x1, 616, x2) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x1, 624, x2) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x1, 632, x2) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x1, 640, x2) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x1, 648, x2) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x1, 656, x2) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x1, 664, x2) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x1, 672, x2) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x1, 680, x2) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x1, 688, x2) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x1, 696, x2) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x1, 704, x2) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x1, 712, x2) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x1, 720, x2) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x1, 728, x2) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x1, 736, x2) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x1, 744, x2) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x1, 752, x2) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x1, 760, x2) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x1, 768, x2) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x1, 776, x2) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x1, 784, x2) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x1, 792, x2) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x1, 800, x2) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x1, 808, x2) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x1, 816, x2) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x1, 824, x2) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x1, 832, x2) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x1, 840, x2) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x1, 848, x2) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x1, 856, x2) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x1, 864, x2) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x1, 872, x2) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x1, 880, x2) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x1, 888, x2) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x1, 896, x2) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x1, 904, x2) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x1, 912, x2) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x1, 920, x2) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x1, 928, x2) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x1, 936, x2) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x1, 944, x2) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x1, 952, x2) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x1, 960, x2) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x1, 968, x2) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x1, 976, x2) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x1, 984, x2) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x1, 992, x2) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x1, 1000, x2) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x1, 1008, x2) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x1, 1016, x2) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x1, 1024, x2) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x1, 1032, x2) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x1, 1040, x2) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x1, 1048, x2) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x1, 1056, x2) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x1, 1064, x2) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x1, 1072, x2) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x1, 1080, x2) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x1, 1088, x2) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x1, 1096, x2) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x1, 1104, x2) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x1, 1112, x2) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x1, 1120, x2) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x1, 1128, x2) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x1, 1136, x2) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x1, 1144, x2) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x1, 1152, x2) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x1, 1160, x2) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x1, 1168, x2) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x1, 1176, x2) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x1, 1184, x2) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x1, 1192, x2) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x1, 1200, x2) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x1, 1208, x2) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x1, 1216, x2) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x1, 1224, x2) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x1, 1232, x2) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x1, 1240, x2) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x1, 1248, x2) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x1, 1256, x2) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x1, 1264, x2) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x1, 1272, x2) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x1, 1280, x2) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x1, 1288, x2) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x1, 1296, x2) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x1, 1304, x2) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x1, 1312, x2) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x1, 1320, x2) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x1, 1328, x2) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x1, 1336, x2) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x1, 1344, x2) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x1, 1352, x2) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x1, 1360, x2) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x1, 1368, x2) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x1, 1376, x2) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x1, 1384, x2) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x1, 1392, x2) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x1, 1400, x2) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x1, 1408, x2) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x1, 1416, x2) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x1, 1424, x2) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x1, 1432, x2) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x1, 1440, x2) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x1, 1448, x2) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x1, 1456, x2) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x1, 1464, x2) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x1, 1472, x2) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x1, 1480, x2) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x1, 1488, x2) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x1, 1496, x2) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x1, 1504, x2) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x1, 1512, x2) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x1, 1520, x2) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x1, 1528, x2) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x1, 1536, x2) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x1, 1544, x2) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x1, 1552, x2) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x1, 1560, x2) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x1, 1568, x2) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x1, 1576, x2) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x1, 1584, x2) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x1, 1592, x2) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x1, 1600, x2) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x1, 1608, x2) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x1, 1616, x2) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x1, 1624, x2) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x1, 1632, x2) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x1, 1640, x2) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x1, 1648, x2) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x1, 1656, x2) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x1, 1664, x2) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x1, 1672, x2) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x1, 1680, x2) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x1, 1688, x2) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x1, 1696, x2) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x1, 1704, x2) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x1, 1712, x2) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x1, 1720, x2) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x1, 1728, x2) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x1, 1736, x2) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x1, 1744, x2) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x1, 1752, x2) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x1, 1760, x2) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x1, 1768, x2) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x1, 1776, x2) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x1, 1784, x2) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x1, 1792, x2) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x1, 1800, x2) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x1, 1808, x2) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x1, 1816, x2) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x1, 1824, x2) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x1, 1832, x2) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x1, 1840, x2) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x1, 1848, x2) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x1, 1856, x2) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x1, 1864, x2) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x1, 1872, x2) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x1, 1880, x2) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x1, 1888, x2) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x1, 1896, x2) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x1, 1904, x2) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x1, 1912, x2) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x1, 1920, x2) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x1, 1928, x2) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x1, 1936, x2) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x1, 1944, x2) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x1, 1952, x2) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x1, 1960, x2) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x1, 1968, x2) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x1, 1976, x2) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x1, 1984, x2) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x1, 1992, x2) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x1, 2000, x2) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x1, 2008, x2) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x1, 2016, x2) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x1, 2024, x2) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x1, 2032, x2) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x1, 2040, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x1, 0, x2) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x1, 8, x2) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x1, 16, x2) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x1, 24, x2) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x1, 32, x2) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x1, 40, x2) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x1, 48, x2) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x1, 56, x2) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x1, 64, x2) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x1, 72, x2) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x1, 80, x2) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x1, 88, x2) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x1, 96, x2) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x1, 104, x2) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x1, 112, x2) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x1, 120, x2) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x1, 128, x2) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x1, 136, x2) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x1, 144, x2) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x1, 152, x2) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x1, 160, x2) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x1, 168, x2) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x1, 176, x2) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x1, 184, x2) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x1, 192, x2) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x1, 200, x2) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x1, 208, x2) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x1, 216, x2) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x1, 224, x2) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x1, 232, x2) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x1, 240, x2) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x1, 248, x2) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x1, 256, x2) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x1, 264, x2) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x1, 272, x2) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x1, 280, x2) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x1, 288, x2) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x1, 296, x2) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x1, 304, x2) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x1, 312, x2) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x1, 320, x2) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x1, 328, x2) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x1, 336, x2) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x1, 344, x2) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x1, 352, x2) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x1, 360, x2) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x1, 368, x2) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x1, 376, x2) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x1, 384, x2) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x1, 392, x2) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x1, 400, x2) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x1, 408, x2) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x1, 416, x2) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x1, 424, x2) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x1, 432, x2) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x1, 440, x2) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x1, 448, x2) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x1, 456, x2) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x1, 464, x2) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x1, 472, x2) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x1, 480, x2) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x1, 488, x2) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x1, 496, x2) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x1, 504, x2) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x1, 512, x2) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x1, 520, x2) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x1, 528, x2) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x1, 536, x2) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x1, 544, x2) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x1, 552, x2) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x1, 560, x2) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x1, 568, x2) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x1, 576, x2) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x1, 584, x2) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x1, 592, x2) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x1, 600, x2) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x1, 608, x2) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x1, 616, x2) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x1, 624, x2) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x1, 632, x2) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x1, 640, x2) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x1, 648, x2) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x1, 656, x2) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x1, 664, x2) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x1, 672, x2) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x1, 680, x2) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x1, 688, x2) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x1, 696, x2) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x1, 704, x2) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x1, 712, x2) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x1, 720, x2) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x1, 728, x2) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x1, 736, x2) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x1, 744, x2) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x1, 752, x2) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x1, 760, x2) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x1, 768, x2) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x1, 776, x2) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x1, 784, x2) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x1, 792, x2) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x1, 800, x2) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x1, 808, x2) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x1, 816, x2) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x1, 824, x2) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x1, 832, x2) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x1, 840, x2) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x1, 848, x2) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x1, 856, x2) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x1, 864, x2) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x1, 872, x2) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x1, 880, x2) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x1, 888, x2) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x1, 896, x2) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x1, 904, x2) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x1, 912, x2) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x1, 920, x2) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x1, 928, x2) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x1, 936, x2) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x1, 944, x2) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x1, 952, x2) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x1, 960, x2) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x1, 968, x2) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x1, 976, x2) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x1, 984, x2) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x1, 992, x2) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x1, 1000, x2) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x1, 1008, x2) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x1, 1016, x2) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x1, 1024, x2) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x1, 1032, x2) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x1, 1040, x2) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x1, 1048, x2) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x1, 1056, x2) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x1, 1064, x2) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x1, 1072, x2) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x1, 1080, x2) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x1, 1088, x2) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x1, 1096, x2) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x1, 1104, x2) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x1, 1112, x2) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x1, 1120, x2) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x1, 1128, x2) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x1, 1136, x2) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x1, 1144, x2) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x1, 1152, x2) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x1, 1160, x2) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x1, 1168, x2) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x1, 1176, x2) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x1, 1184, x2) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x1, 1192, x2) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x1, 1200, x2) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x1, 1208, x2) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x1, 1216, x2) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x1, 1224, x2) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x1, 1232, x2) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x1, 1240, x2) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x1, 1248, x2) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x1, 1256, x2) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x1, 1264, x2) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x1, 1272, x2) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x1, 1280, x2) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x1, 1288, x2) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x1, 1296, x2) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x1, 1304, x2) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x1, 1312, x2) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x1, 1320, x2) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x1, 1328, x2) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x1, 1336, x2) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x1, 1344, x2) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x1, 1352, x2) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x1, 1360, x2) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x1, 1368, x2) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x1, 1376, x2) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x1, 1384, x2) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x1, 1392, x2) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x1, 1400, x2) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x1, 1408, x2) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x1, 1416, x2) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x1, 1424, x2) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x1, 1432, x2) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x1, 1440, x2) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x1, 1448, x2) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x1, 1456, x2) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x1, 1464, x2) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x1, 1472, x2) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x1, 1480, x2) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x1, 1488, x2) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x1, 1496, x2) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x1, 1504, x2) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x1, 1512, x2) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x1, 1520, x2) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x1, 1528, x2) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x1, 1536, x2) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x1, 1544, x2) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x1, 1552, x2) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x1, 1560, x2) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x1, 1568, x2) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x1, 1576, x2) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x1, 1584, x2) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x1, 1592, x2) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x1, 1600, x2) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x1, 1608, x2) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x1, 1616, x2) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x1, 1624, x2) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x1, 1632, x2) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x1, 1640, x2) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x1, 1648, x2) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x1, 1656, x2) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x1, 1664, x2) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x1, 1672, x2) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x1, 1680, x2) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x1, 1688, x2) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x1, 1696, x2) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x1, 1704, x2) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x1, 1712, x2) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x1, 1720, x2) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x1, 1728, x2) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x1, 1736, x2) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x1, 1744, x2) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x1, 1752, x2) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x1, 1760, x2) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x1, 1768, x2) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x1, 1776, x2) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x1, 1784, x2) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x1, 1792, x2) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x1, 1800, x2) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x1, 1808, x2) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x1, 1816, x2) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x1, 1824, x2) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x1, 1832, x2) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x1, 1840, x2) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x1, 1848, x2) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x1, 1856, x2) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x1, 1864, x2) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x1, 1872, x2) - -inst_522: -// rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x1, 1880, x2) - -inst_523: -// rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x1, 1888, x2) - -inst_524: -// rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: orn ; op1:x10; op2:x11; dest:x12; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(orn, x12, x10, x11, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x1, 1896, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x10_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x10_1: - .fill 15*(XLEN/32),4,0xdeadbeef - - -signature_x8_0: - .fill 16*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 238*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/pack-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/pack-01.S deleted file mode 100644 index ee1995cf4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/pack-01.S +++ /dev/null @@ -1,2709 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the pack instruction of the RISC-V extension for the pack covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",pack) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",pack) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",pack) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",pack) - -RVTEST_SIGBASE( x8,signature_x8_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x23, rs2==x23, rd==x0, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: pack ; op1:x23; op2:x23; dest:x0; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(pack, x0, x23, x23, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x8, 0, x15) - -inst_1: -// rs1 == rs2 == rd, rs1==x18, rs2==x18, rd==x18, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: pack ; op1:x18; op2:x18; dest:x18; op1val:0x5ba2c7dd5ae10604; op2val:0x5ba2c7dd5ae10604 -TEST_RR_OP(pack, x18, x18, x18, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x5ba2c7dd5ae10604, x8, 8, x15) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x30, rd==x17, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: pack ; op1:x5; op2:x30; dest:x17; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(pack, x17, x5, x30, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x8, 16, x15) - -inst_3: -// rs1 == rd != rs2, rs1==x7, rs2==x24, rd==x7, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: pack ; op1:x7; op2:x24; dest:x7; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(pack, x7, x7, x24, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x8, 24, x15) - -inst_4: -// rs2 == rd != rs1, rs1==x12, rs2==x13, rd==x13, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: pack ; op1:x12; op2:x13; dest:x13; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(pack, x13, x12, x13, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x8, 32, x15) - -inst_5: -// rs1==x21, rs2==x12, rd==x16, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: pack ; op1:x21; op2:x12; dest:x16; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(pack, x16, x21, x12, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x8, 40, x15) - -inst_6: -// rs1==x17, rs2==x14, rd==x1, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: pack ; op1:x17; op2:x14; dest:x1; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(pack, x1, x17, x14, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x8, 48, x15) - -inst_7: -// rs1==x27, rs2==x21, rd==x28, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: pack ; op1:x27; op2:x21; dest:x28; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(pack, x28, x27, x21, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x8, 56, x15) - -inst_8: -// rs1==x22, rs2==x19, rd==x11, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: pack ; op1:x22; op2:x19; dest:x11; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(pack, x11, x22, x19, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x8, 64, x15) - -inst_9: -// rs1==x3, rs2==x29, rd==x30, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: pack ; op1:x3; op2:x29; dest:x30; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(pack, x30, x3, x29, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x8, 72, x15) - -inst_10: -// rs1==x11, rs2==x1, rd==x25, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: pack ; op1:x11; op2:x1; dest:x25; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(pack, x25, x11, x1, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x8, 80, x15) - -inst_11: -// rs1==x2, rs2==x27, rd==x9, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: pack ; op1:x2; op2:x27; dest:x9; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(pack, x9, x2, x27, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x8, 88, x15) - -inst_12: -// rs1==x13, rs2==x28, rd==x14, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: pack ; op1:x13; op2:x28; dest:x14; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(pack, x14, x13, x28, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x8, 96, x15) - -inst_13: -// rs1==x29, rs2==x9, rd==x20, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: pack ; op1:x29; op2:x9; dest:x20; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(pack, x20, x29, x9, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x8, 104, x15) - -inst_14: -// rs1==x24, rs2==x7, rd==x6, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: pack ; op1:x24; op2:x7; dest:x6; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(pack, x6, x24, x7, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x8, 112, x15) - -inst_15: -// rs1==x6, rs2==x11, rd==x29, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: pack ; op1:x6; op2:x11; dest:x29; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(pack, x29, x6, x11, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x8, 120, x15) - -inst_16: -// rs1==x10, rs2==x4, rd==x12, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: pack ; op1:x10; op2:x4; dest:x12; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(pack, x12, x10, x4, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x8, 128, x15) - -inst_17: -// rs1==x1, rs2==x17, rd==x26, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: pack ; op1:x1; op2:x17; dest:x26; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(pack, x26, x1, x17, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x8, 136, x7) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_18: -// rs1==x16, rs2==x22, rd==x27, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: pack ; op1:x16; op2:x22; dest:x27; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(pack, x27, x16, x22, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x1, 0, x7) - -inst_19: -// rs1==x0, rs2==x10, rd==x31, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: pack ; op1:x0; op2:x10; dest:x31; op1val:0x0; op2val:0x3da8400000000000 -TEST_RR_OP(pack, x31, x0, x10, 0x0000000000000000, 0x0, 0x3da8400000000000, x1, 8, x7) - -inst_20: -// rs1==x30, rs2==x8, rd==x2, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: pack ; op1:x30; op2:x8; dest:x2; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(pack, x2, x30, x8, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x1, 16, x7) - -inst_21: -// rs1==x26, rs2==x25, rd==x24, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: pack ; op1:x26; op2:x25; dest:x24; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(pack, x24, x26, x25, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x1, 24, x7) - -inst_22: -// rs1==x25, rs2==x2, rd==x3, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: pack ; op1:x25; op2:x2; dest:x3; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(pack, x3, x25, x2, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x1, 32, x7) - -inst_23: -// rs1==x4, rs2==x16, rd==x19, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: pack ; op1:x4; op2:x16; dest:x19; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(pack, x19, x4, x16, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x1, 40, x7) - -inst_24: -// rs1==x20, rs2==x26, rd==x23, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: pack ; op1:x20; op2:x26; dest:x23; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(pack, x23, x20, x26, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x1, 48, x7) - -inst_25: -// rs1==x28, rs2==x20, rd==x4, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: pack ; op1:x28; op2:x20; dest:x4; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(pack, x4, x28, x20, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x1, 56, x7) - -inst_26: -// rs1==x31, rs2==x0, rd==x21, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: pack ; op1:x31; op2:x0; dest:x21; op1val:0x4256f18f2de4eb20; op2val:0x0 -TEST_RR_OP(pack, x21, x31, x0, 0x0000000000000000, 0x4256f18f2de4eb20, 0x0, x1, 64, x7) - -inst_27: -// rs1==x14, rs2==x5, rd==x8, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: pack ; op1:x14; op2:x5; dest:x8; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(pack, x8, x14, x5, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x1, 72, x7) - -inst_28: -// rs1==x9, rs2==x15, rd==x22, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: pack ; op1:x9; op2:x15; dest:x22; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(pack, x22, x9, x15, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x1, 80, x7) - -inst_29: -// rs1==x19, rs2==x6, rd==x5, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: pack ; op1:x19; op2:x6; dest:x5; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(pack, x5, x19, x6, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x1, 88, x7) - -inst_30: -// rs1==x8, rs2==x3, rd==x10, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: pack ; op1:x8; op2:x3; dest:x10; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(pack, x10, x8, x3, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x1, 96, x7) - -inst_31: -// rs1==x15, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: pack ; op1:x15; op2:x18; dest:x24; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(pack, x24, x15, x18, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x1, 104, x7) - -inst_32: -// rs2==x31, rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: pack ; op1:x14; op2:x31; dest:x4; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(pack, x4, x14, x31, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x1, 112, x7) - -inst_33: -// rd==x15, rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: pack ; op1:x16; op2:x8; dest:x15; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(pack, x15, x16, x8, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x1, 120, x7) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x1, 128, x7) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x1, 136, x2) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x1, 144, x2) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x1, 152, x2) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x1, 160, x2) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x1, 168, x2) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x1, 176, x2) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x1, 184, x2) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x1, 192, x2) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x1, 200, x2) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x1, 208, x2) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x1, 216, x2) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x1, 224, x2) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x1, 232, x2) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x1, 240, x2) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x1, 248, x2) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x1, 256, x2) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x1, 264, x2) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x1, 272, x2) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x1, 280, x2) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x1, 288, x2) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x1, 296, x2) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x1, 304, x2) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x1, 312, x2) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x1, 320, x2) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x1, 328, x2) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x1, 336, x2) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x1, 344, x2) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x1, 352, x2) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x1, 360, x2) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x1, 368, x2) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x1, 376, x2) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x1, 384, x2) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x1, 392, x2) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x1, 400, x2) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x1, 408, x2) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x1, 416, x2) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x1, 424, x2) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x1, 432, x2) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x1, 440, x2) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x1, 448, x2) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x1, 456, x2) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x1, 464, x2) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x1, 472, x2) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x1, 480, x2) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x1, 488, x2) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x1, 496, x2) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x1, 504, x2) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x1, 512, x2) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x1, 520, x2) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x1, 528, x2) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x1, 536, x2) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x1, 544, x2) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x1, 552, x2) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x1, 560, x2) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x1, 568, x2) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x1, 576, x2) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x1, 584, x2) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x1, 592, x2) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x1, 600, x2) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x1, 608, x2) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x1, 616, x2) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x1, 624, x2) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x1, 632, x2) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x1, 640, x2) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x1, 648, x2) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x1, 656, x2) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x1, 664, x2) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x1, 672, x2) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x1, 680, x2) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x1, 688, x2) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x1, 696, x2) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x1, 704, x2) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x1, 712, x2) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x1, 720, x2) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x1, 728, x2) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x1, 736, x2) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x1, 744, x2) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x1, 752, x2) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x1, 760, x2) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x1, 768, x2) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x1, 776, x2) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x1, 784, x2) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x1, 792, x2) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x1, 800, x2) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x1, 808, x2) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x1, 816, x2) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x1, 824, x2) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x1, 832, x2) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x1, 840, x2) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x1, 848, x2) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x1, 856, x2) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x1, 864, x2) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x1, 872, x2) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x1, 880, x2) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x1, 888, x2) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x1, 896, x2) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x1, 904, x2) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x1, 912, x2) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x1, 920, x2) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x1, 928, x2) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x1, 936, x2) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x1, 944, x2) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x1, 952, x2) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x1, 960, x2) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x1, 968, x2) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x1, 976, x2) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x1, 984, x2) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x1, 992, x2) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x1, 1000, x2) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x1, 1008, x2) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x1, 1016, x2) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x1, 1024, x2) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x1, 1032, x2) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x1, 1040, x2) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x1, 1048, x2) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x1, 1056, x2) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x1, 1064, x2) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x1, 1072, x2) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x1, 1080, x2) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x1, 1088, x2) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x1, 1096, x2) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x1, 1104, x2) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x1, 1112, x2) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x1, 1120, x2) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x1, 1128, x2) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x1, 1136, x2) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x1, 1144, x2) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x1, 1152, x2) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x1, 1160, x2) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x1, 1168, x2) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x1, 1176, x2) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x1, 1184, x2) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x1, 1192, x2) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x1, 1200, x2) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x1, 1208, x2) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x1, 1216, x2) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x1, 1224, x2) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x1, 1232, x2) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x1, 1240, x2) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x1, 1248, x2) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x1, 1256, x2) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x1, 1264, x2) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x1, 1272, x2) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x1, 1280, x2) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x1, 1288, x2) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x1, 1296, x2) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x1, 1304, x2) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x1, 1312, x2) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x1, 1320, x2) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x1, 1328, x2) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x1, 1336, x2) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x1, 1344, x2) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x1, 1352, x2) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x1, 1360, x2) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x1, 1368, x2) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x1, 1376, x2) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x1, 1384, x2) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x1, 1392, x2) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x1, 1400, x2) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x1, 1408, x2) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x1, 1416, x2) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x1, 1424, x2) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x1, 1432, x2) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x1, 1440, x2) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x1, 1448, x2) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x1, 1456, x2) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x1, 1464, x2) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x1, 1472, x2) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x1, 1480, x2) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x1, 1488, x2) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x1, 1496, x2) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x1, 1504, x2) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x1, 1512, x2) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x1, 1520, x2) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x1, 1528, x2) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x1, 1536, x2) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x1, 1544, x2) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x1, 1552, x2) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x1, 1560, x2) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x1, 1568, x2) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x1, 1576, x2) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x1, 1584, x2) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x1, 1592, x2) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x1, 1600, x2) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x1, 1608, x2) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x1, 1616, x2) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x1, 1624, x2) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x1, 1632, x2) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x1, 1640, x2) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x1, 1648, x2) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x1, 1656, x2) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x1, 1664, x2) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x1, 1672, x2) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x1, 1680, x2) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x1, 1688, x2) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x1, 1696, x2) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x1, 1704, x2) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x1, 1712, x2) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x1, 1720, x2) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x1, 1728, x2) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x1, 1736, x2) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x1, 1744, x2) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x1, 1752, x2) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x1, 1760, x2) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x1, 1768, x2) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x1, 1776, x2) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x1, 1784, x2) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x1, 1792, x2) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x1, 1800, x2) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x1, 1808, x2) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x1, 1816, x2) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x1, 1824, x2) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x1, 1832, x2) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x1, 1840, x2) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x1, 1848, x2) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x1, 1856, x2) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x1, 1864, x2) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x1, 1872, x2) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x1, 1880, x2) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x1, 1888, x2) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x1, 1896, x2) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x1, 1904, x2) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x1, 1912, x2) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x1, 1920, x2) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x1, 1928, x2) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x1, 1936, x2) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x1, 1944, x2) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x1, 1952, x2) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x1, 1960, x2) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x1, 1968, x2) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x1, 1976, x2) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x1, 1984, x2) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x1, 1992, x2) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x1, 2000, x2) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x1, 2008, x2) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x1, 2016, x2) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x1, 2024, x2) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x1, 2032, x2) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x1, 2040, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x1, 0, x2) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x1, 8, x2) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x1, 16, x2) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x1, 24, x2) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x1, 32, x2) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x1, 40, x2) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x1, 48, x2) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x1, 56, x2) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x1, 64, x2) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x1, 72, x2) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x1, 80, x2) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x1, 88, x2) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x1, 96, x2) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x1, 104, x2) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x1, 112, x2) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x1, 120, x2) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x1, 128, x2) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x1, 136, x2) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x1, 144, x2) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x1, 152, x2) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x1, 160, x2) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x1, 168, x2) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x1, 176, x2) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x1, 184, x2) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x1, 192, x2) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x1, 200, x2) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x1, 208, x2) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x1, 216, x2) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x1, 224, x2) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x1, 232, x2) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x1, 240, x2) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x1, 248, x2) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x1, 256, x2) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x1, 264, x2) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x1, 272, x2) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x1, 280, x2) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x1, 288, x2) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x1, 296, x2) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x1, 304, x2) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x1, 312, x2) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x1, 320, x2) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x1, 328, x2) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x1, 336, x2) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x1, 344, x2) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x1, 352, x2) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x1, 360, x2) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x1, 368, x2) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x1, 376, x2) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x1, 384, x2) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x1, 392, x2) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x1, 400, x2) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x1, 408, x2) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x1, 416, x2) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x1, 424, x2) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x1, 432, x2) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x1, 440, x2) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x1, 448, x2) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x1, 456, x2) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x1, 464, x2) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x1, 472, x2) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x1, 480, x2) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x1, 488, x2) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x1, 496, x2) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x1, 504, x2) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x1, 512, x2) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x1, 520, x2) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x1, 528, x2) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x1, 536, x2) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x1, 544, x2) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x1, 552, x2) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x1, 560, x2) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x1, 568, x2) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x1, 576, x2) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x1, 584, x2) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x1, 592, x2) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x1, 600, x2) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x1, 608, x2) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x1, 616, x2) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x1, 624, x2) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x1, 632, x2) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x1, 640, x2) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x1, 648, x2) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x1, 656, x2) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x1, 664, x2) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x1, 672, x2) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x1, 680, x2) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x1, 688, x2) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x1, 696, x2) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x1, 704, x2) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x1, 712, x2) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x1, 720, x2) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x1, 728, x2) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x1, 736, x2) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x1, 744, x2) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x1, 752, x2) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x1, 760, x2) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x1, 768, x2) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x1, 776, x2) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x1, 784, x2) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x1, 792, x2) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x1, 800, x2) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x1, 808, x2) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x1, 816, x2) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x1, 824, x2) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x1, 832, x2) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x1, 840, x2) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x1, 848, x2) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x1, 856, x2) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x1, 864, x2) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x1, 872, x2) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x1, 880, x2) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x1, 888, x2) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x1, 896, x2) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x1, 904, x2) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x1, 912, x2) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x1, 920, x2) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x1, 928, x2) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x1, 936, x2) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x1, 944, x2) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x1, 952, x2) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x1, 960, x2) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x1, 968, x2) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x1, 976, x2) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x1, 984, x2) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x1, 992, x2) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x1, 1000, x2) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x1, 1008, x2) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x1, 1016, x2) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x1, 1024, x2) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x1, 1032, x2) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x1, 1040, x2) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x1, 1048, x2) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x1, 1056, x2) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x1, 1064, x2) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x1, 1072, x2) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x1, 1080, x2) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x1, 1088, x2) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x1, 1096, x2) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x1, 1104, x2) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x1, 1112, x2) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x1, 1120, x2) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x1, 1128, x2) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x1, 1136, x2) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x1, 1144, x2) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x1, 1152, x2) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x1, 1160, x2) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x1, 1168, x2) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x1, 1176, x2) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x1, 1184, x2) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x1, 1192, x2) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x1, 1200, x2) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x1, 1208, x2) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x1, 1216, x2) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x1, 1224, x2) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x1, 1232, x2) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x1, 1240, x2) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x1, 1248, x2) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x1, 1256, x2) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x1, 1264, x2) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x1, 1272, x2) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x1, 1280, x2) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x1, 1288, x2) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x1, 1296, x2) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x1, 1304, x2) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x1, 1312, x2) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x1, 1320, x2) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x1, 1328, x2) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x1, 1336, x2) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x1, 1344, x2) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x1, 1352, x2) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x1, 1360, x2) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x1, 1368, x2) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x1, 1376, x2) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x1, 1384, x2) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x1, 1392, x2) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x1, 1400, x2) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x1, 1408, x2) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x1, 1416, x2) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x1, 1424, x2) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x1, 1432, x2) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x1, 1440, x2) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x1, 1448, x2) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x1, 1456, x2) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x1, 1464, x2) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x1, 1472, x2) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x1, 1480, x2) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x1, 1488, x2) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x1, 1496, x2) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x1, 1504, x2) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x1, 1512, x2) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x1, 1520, x2) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x1, 1528, x2) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x1, 1536, x2) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x1, 1544, x2) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x1, 1552, x2) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x1, 1560, x2) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x1, 1568, x2) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x1, 1576, x2) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x1, 1584, x2) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x1, 1592, x2) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x1, 1600, x2) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x1, 1608, x2) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x1, 1616, x2) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x1, 1624, x2) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x1, 1632, x2) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x1, 1640, x2) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x1, 1648, x2) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x1, 1656, x2) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x1, 1664, x2) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x1, 1672, x2) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x1, 1680, x2) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x1, 1688, x2) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x1, 1696, x2) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x1, 1704, x2) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x1, 1712, x2) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x1, 1720, x2) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x1, 1728, x2) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x1, 1736, x2) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x1, 1744, x2) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x1, 1752, x2) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x1, 1760, x2) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x1, 1768, x2) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x1, 1776, x2) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x1, 1784, x2) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x1, 1792, x2) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x1, 1800, x2) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x1, 1808, x2) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x1, 1816, x2) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x1, 1824, x2) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x1, 1832, x2) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x1, 1840, x2) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x1, 1848, x2) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x1, 1856, x2) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x1, 1864, x2) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x1, 1872, x2) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x1, 1880, x2) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x1, 1888, x2) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x1, 1896, x2) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x1, 1904, x2) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x1, 1912, x2) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x1, 1920, x2) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x1, 1928, x2) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x1, 1936, x2) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x1, 1944, x2) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x1, 1952, x2) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x1, 1960, x2) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x1, 1968, x2) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x1, 1976, x2) - -inst_522: -// rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x1, 1984, x2) - -inst_523: -// rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: pack ; op1:x10; op2:x11; dest:x12; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(pack, x12, x10, x11, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x1, 1992, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x8_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x8_1: - .fill 18*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 250*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packh-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packh-01.S deleted file mode 100644 index ac7884aad..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packh-01.S +++ /dev/null @@ -1,2714 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the packh instruction of the RISC-V extension for the packh covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",packh) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",packh) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",packh) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",packh) - -RVTEST_SIGBASE( x16,signature_x16_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x29, rs2==x29, rd==x6, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: packh ; op1:x29; op2:x29; dest:x6; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(packh, x6, x29, x29, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x16, 0, x15) - -inst_1: -// rs1 == rs2 == rd, rs1==x18, rs2==x18, rd==x18, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: packh ; op1:x18; op2:x18; dest:x18; op1val:0x5ba2c7dd5ae10604; op2val:0x5ba2c7dd5ae10604 -TEST_RR_OP(packh, x18, x18, x18, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x5ba2c7dd5ae10604, x16, 8, x15) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x20, rs2==x26, rd==x5, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: packh ; op1:x20; op2:x26; dest:x5; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(packh, x5, x20, x26, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x16, 16, x15) - -inst_3: -// rs1 == rd != rs2, rs1==x17, rs2==x24, rd==x17, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: packh ; op1:x17; op2:x24; dest:x17; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(packh, x17, x17, x24, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x16, 24, x15) - -inst_4: -// rs2 == rd != rs1, rs1==x12, rs2==x2, rd==x2, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: packh ; op1:x12; op2:x2; dest:x2; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(packh, x2, x12, x2, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x16, 32, x15) - -inst_5: -// rs1==x30, rs2==x7, rd==x3, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: packh ; op1:x30; op2:x7; dest:x3; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(packh, x3, x30, x7, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x16, 40, x15) - -inst_6: -// rs1==x7, rs2==x28, rd==x22, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: packh ; op1:x7; op2:x28; dest:x22; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(packh, x22, x7, x28, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x16, 48, x15) - -inst_7: -// rs1==x8, rs2==x1, rd==x14, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: packh ; op1:x8; op2:x1; dest:x14; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(packh, x14, x8, x1, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x16, 56, x15) - -inst_8: -// rs1==x3, rs2==x10, rd==x12, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: packh ; op1:x3; op2:x10; dest:x12; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(packh, x12, x3, x10, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x16, 64, x15) - -inst_9: -// rs1==x5, rs2==x6, rd==x20, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: packh ; op1:x5; op2:x6; dest:x20; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(packh, x20, x5, x6, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x16, 72, x15) - -inst_10: -// rs1==x11, rs2==x22, rd==x29, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: packh ; op1:x11; op2:x22; dest:x29; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(packh, x29, x11, x22, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x16, 80, x15) - -inst_11: -// rs1==x24, rs2==x0, rd==x27, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: packh ; op1:x24; op2:x0; dest:x27; op1val:0xfd8bf41246e4abd8; op2val:0x0 -TEST_RR_OP(packh, x27, x24, x0, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x0, x16, 88, x15) - -inst_12: -// rs1==x13, rs2==x23, rd==x4, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: packh ; op1:x13; op2:x23; dest:x4; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(packh, x4, x13, x23, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x16, 96, x15) - -inst_13: -// rs1==x22, rs2==x3, rd==x0, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: packh ; op1:x22; op2:x3; dest:x0; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(packh, x0, x22, x3, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x16, 104, x15) - -inst_14: -// rs1==x9, rs2==x5, rd==x24, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: packh ; op1:x9; op2:x5; dest:x24; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(packh, x24, x9, x5, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x16, 112, x15) - -inst_15: -// rs1==x19, rs2==x30, rd==x13, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: packh ; op1:x19; op2:x30; dest:x13; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(packh, x13, x19, x30, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x16, 120, x15) - -inst_16: -// rs1==x1, rs2==x20, rd==x7, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: packh ; op1:x1; op2:x20; dest:x7; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(packh, x7, x1, x20, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x16, 128, x15) - -inst_17: -// rs1==x10, rs2==x9, rd==x21, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: packh ; op1:x10; op2:x9; dest:x21; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(packh, x21, x10, x9, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x16, 136, x15) - -inst_18: -// rs1==x26, rs2==x8, rd==x1, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: packh ; op1:x26; op2:x8; dest:x1; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(packh, x1, x26, x8, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x16, 144, x3) - -inst_19: -// rs1==x21, rs2==x13, rd==x11, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: packh ; op1:x21; op2:x13; dest:x11; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(packh, x11, x21, x13, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x16, 152, x3) - -inst_20: -// rs1==x2, rs2==x4, rd==x30, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: packh ; op1:x2; op2:x4; dest:x30; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(packh, x30, x2, x4, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x16, 160, x3) - -inst_21: -// rs1==x4, rs2==x17, rd==x8, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: packh ; op1:x4; op2:x17; dest:x8; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(packh, x8, x4, x17, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x16, 168, x3) - -inst_22: -// rs1==x14, rs2==x15, rd==x9, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: packh ; op1:x14; op2:x15; dest:x9; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(packh, x9, x14, x15, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x16, 176, x3) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_23: -// rs1==x16, rs2==x11, rd==x28, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: packh ; op1:x16; op2:x11; dest:x28; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(packh, x28, x16, x11, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x1, 0, x3) - -inst_24: -// rs1==x28, rs2==x31, rd==x23, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: packh ; op1:x28; op2:x31; dest:x23; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(packh, x23, x28, x31, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x1, 8, x3) - -inst_25: -// rs1==x6, rs2==x19, rd==x31, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: packh ; op1:x6; op2:x19; dest:x31; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(packh, x31, x6, x19, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x1, 16, x3) - -inst_26: -// rs1==x25, rs2==x16, rd==x15, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: packh ; op1:x25; op2:x16; dest:x15; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(packh, x15, x25, x16, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x1, 24, x3) - -inst_27: -// rs1==x0, rs2==x21, rd==x25, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: packh ; op1:x0; op2:x21; dest:x25; op1val:0x0; op2val:0xfa56aa4000000000 -TEST_RR_OP(packh, x25, x0, x21, 0x0000000000000000, 0x0, 0xfa56aa4000000000, x1, 32, x3) - -inst_28: -// rs1==x27, rs2==x14, rd==x10, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: packh ; op1:x27; op2:x14; dest:x10; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(packh, x10, x27, x14, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x1, 40, x3) - -inst_29: -// rs1==x23, rs2==x27, rd==x19, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: packh ; op1:x23; op2:x27; dest:x19; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(packh, x19, x23, x27, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x1, 48, x3) - -inst_30: -// rs1==x31, rs2==x25, rd==x16, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: packh ; op1:x31; op2:x25; dest:x16; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(packh, x16, x31, x25, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x1, 56, x3) - -inst_31: -// rs1==x15, rs2==x12, rd==x26, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: packh ; op1:x15; op2:x12; dest:x26; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(packh, x26, x15, x12, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x1, 64, x3) - -inst_32: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x1, 72, x3) - -inst_33: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x1, 80, x3) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x1, 88, x3) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x1, 96, x3) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x1, 104, x3) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x1, 112, x3) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x1, 120, x3) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x1, 128, x3) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x1, 136, x3) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x1, 144, x3) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x1, 152, x3) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x1, 160, x3) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x1, 168, x3) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x1, 176, x3) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x1, 184, x3) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x1, 192, x3) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x1, 200, x3) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x1, 208, x3) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x1, 216, x3) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x1, 224, x3) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x1, 232, x3) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x1, 240, x3) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x1, 248, x3) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x1, 256, x3) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x1, 264, x3) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x1, 272, x3) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x1, 280, x3) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x1, 288, x3) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x1, 296, x3) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x1, 304, x3) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x1, 312, x3) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x1, 320, x3) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x1, 328, x3) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x1, 336, x3) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x1, 344, x3) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x1, 352, x3) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x1, 360, x3) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x1, 368, x3) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x1, 376, x3) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x1, 384, x3) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x1, 392, x3) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x1, 400, x3) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x1, 408, x3) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x1, 416, x3) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x1, 424, x3) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x1, 432, x3) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x1, 440, x3) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x1, 448, x3) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x1, 456, x3) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x1, 464, x3) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x1, 472, x3) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x1, 480, x3) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x1, 488, x3) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x1, 496, x3) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x1, 504, x3) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x1, 512, x3) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x1, 520, x3) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x1, 528, x3) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x1, 536, x3) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x1, 544, x3) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x1, 552, x3) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x1, 560, x3) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x1, 568, x3) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x1, 576, x3) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x1, 584, x3) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x1, 592, x3) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x1, 600, x3) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x1, 608, x3) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x1, 616, x3) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x1, 624, x3) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x1, 632, x3) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x1, 640, x3) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x1, 648, x3) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x1, 656, x3) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x1, 664, x3) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x1, 672, x3) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x1, 680, x3) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x1, 688, x3) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x1, 696, x3) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x1, 704, x3) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x1, 712, x3) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x1, 720, x3) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x1, 728, x3) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x1, 736, x3) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x1, 744, x3) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x1, 752, x3) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x1, 760, x3) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x1, 768, x3) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x1, 776, x3) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x1, 784, x3) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x1, 792, x3) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x1, 800, x3) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x1, 808, x3) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x1, 816, x3) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x1, 824, x3) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x1, 832, x3) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x1, 840, x3) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x1, 848, x3) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x1, 856, x3) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x1, 864, x3) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x1, 872, x3) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x1, 880, x3) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x1, 888, x3) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x1, 896, x3) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x1, 904, x3) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x1, 912, x3) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x1, 920, x3) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x1, 928, x3) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x1, 936, x3) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x1, 944, x3) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x1, 952, x3) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x1, 960, x3) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x1, 968, x3) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x1, 976, x3) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x1, 984, x3) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x1, 992, x3) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x1, 1000, x3) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x1, 1008, x3) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x1, 1016, x3) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x1, 1024, x3) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x1, 1032, x3) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x1, 1040, x3) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x1, 1048, x3) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x1, 1056, x3) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x1, 1064, x3) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x1, 1072, x3) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x1, 1080, x3) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x1, 1088, x3) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x1, 1096, x3) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x1, 1104, x3) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x1, 1112, x3) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x1, 1120, x3) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x1, 1128, x3) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x1, 1136, x3) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x1, 1144, x3) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x1, 1152, x3) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x1, 1160, x3) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x1, 1168, x3) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x1, 1176, x3) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x1, 1184, x3) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x1, 1192, x3) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x1, 1200, x3) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x1, 1208, x3) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x1, 1216, x3) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x1, 1224, x3) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x1, 1232, x3) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x1, 1240, x3) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x1, 1248, x3) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x1, 1256, x3) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x1, 1264, x3) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x1, 1272, x3) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x1, 1280, x3) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x1, 1288, x3) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x1, 1296, x3) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x1, 1304, x3) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x1, 1312, x3) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x1, 1320, x3) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x1, 1328, x3) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x1, 1336, x3) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x1, 1344, x3) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x1, 1352, x3) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x1, 1360, x3) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x1, 1368, x3) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x1, 1376, x3) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x1, 1384, x3) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x1, 1392, x3) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x1, 1400, x3) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x1, 1408, x3) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x1, 1416, x3) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x1, 1424, x3) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x1, 1432, x3) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x1, 1440, x3) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x1, 1448, x3) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x1, 1456, x3) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x1, 1464, x3) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x1, 1472, x3) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x1, 1480, x3) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x1, 1488, x3) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x1, 1496, x3) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x1, 1504, x3) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x1, 1512, x3) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x1, 1520, x3) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x1, 1528, x3) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x1, 1536, x3) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x1, 1544, x3) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x1, 1552, x3) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x1, 1560, x3) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x1, 1568, x3) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x1, 1576, x3) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x1, 1584, x3) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x1, 1592, x3) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x1, 1600, x3) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x1, 1608, x3) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x1, 1616, x3) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x1, 1624, x3) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x1, 1632, x3) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x1, 1640, x3) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x1, 1648, x3) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x1, 1656, x3) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x1, 1664, x3) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x1, 1672, x3) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x1, 1680, x3) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x1, 1688, x3) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x1, 1696, x3) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x1, 1704, x3) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x1, 1712, x3) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x1, 1720, x3) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x1, 1728, x3) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x1, 1736, x3) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x1, 1744, x3) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x1, 1752, x3) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x1, 1760, x3) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x1, 1768, x3) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x1, 1776, x3) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x1, 1784, x3) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x1, 1792, x3) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x1, 1800, x3) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x1, 1808, x3) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x1, 1816, x3) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x1, 1824, x3) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x1, 1832, x3) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x1, 1840, x3) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x1, 1848, x3) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x1, 1856, x3) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x1, 1864, x3) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x1, 1872, x3) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x1, 1880, x3) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x1, 1888, x3) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x1, 1896, x3) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x1, 1904, x3) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x1, 1912, x3) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x1, 1920, x3) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x1, 1928, x3) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x1, 1936, x3) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x1, 1944, x3) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x1, 1952, x3) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x1, 1960, x3) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x1, 1968, x3) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x1, 1976, x3) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x1, 1984, x3) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x1, 1992, x3) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x1, 2000, x3) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x1, 2008, x3) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x1, 2016, x3) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x1, 2024, x3) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x1, 2032, x3) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x1, 2040, x3) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x1, 0, x3) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x1, 8, x3) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x1, 16, x3) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x1, 24, x3) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x1, 32, x3) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x1, 40, x3) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x1, 48, x3) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x1, 56, x3) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x1, 64, x3) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x1, 72, x3) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x1, 80, x3) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x1, 88, x3) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x1, 96, x3) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x1, 104, x3) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x1, 112, x3) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x1, 120, x3) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x1, 128, x3) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x1, 136, x3) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x1, 144, x3) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x1, 152, x3) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x1, 160, x3) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x1, 168, x3) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x1, 176, x3) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x1, 184, x3) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x1, 192, x3) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x1, 200, x3) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x1, 208, x3) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x1, 216, x3) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x1, 224, x3) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x1, 232, x3) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x1, 240, x3) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x1, 248, x3) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x1, 256, x3) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x1, 264, x3) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x1, 272, x3) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x1, 280, x3) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x1, 288, x3) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x1, 296, x3) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x1, 304, x3) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x1, 312, x3) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x1, 320, x3) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x1, 328, x3) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x1, 336, x3) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x1, 344, x3) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x1, 352, x3) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x1, 360, x3) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x1, 368, x3) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x1, 376, x3) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x1, 384, x3) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x1, 392, x3) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x1, 400, x3) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x1, 408, x3) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x1, 416, x3) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x1, 424, x3) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x1, 432, x3) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x1, 440, x3) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x1, 448, x3) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x1, 456, x3) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x1, 464, x3) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x1, 472, x3) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x1, 480, x3) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x1, 488, x3) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x1, 496, x3) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x1, 504, x3) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x1, 512, x3) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x1, 520, x3) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x1, 528, x3) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x1, 536, x3) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x1, 544, x3) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x1, 552, x3) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x1, 560, x3) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x1, 568, x3) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x1, 576, x3) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x1, 584, x3) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x1, 592, x3) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x1, 600, x3) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x1, 608, x3) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x1, 616, x3) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x1, 624, x3) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x1, 632, x3) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x1, 640, x3) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x1, 648, x3) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x1, 656, x3) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x1, 664, x3) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x1, 672, x3) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x1, 680, x3) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x1, 688, x3) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x1, 696, x3) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x1, 704, x3) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x1, 712, x3) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x1, 720, x3) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x1, 728, x3) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x1, 736, x3) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x1, 744, x3) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x1, 752, x3) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x1, 760, x3) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x1, 768, x3) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x1, 776, x3) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x1, 784, x3) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x1, 792, x3) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x1, 800, x3) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x1, 808, x3) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x1, 816, x3) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x1, 824, x3) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x1, 832, x3) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x1, 840, x3) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x1, 848, x3) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x1, 856, x3) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x1, 864, x3) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x1, 872, x3) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x1, 880, x3) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x1, 888, x3) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x1, 896, x3) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x1, 904, x3) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x1, 912, x3) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x1, 920, x3) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x1, 928, x3) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x1, 936, x3) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x1, 944, x3) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x1, 952, x3) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x1, 960, x3) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x1, 968, x3) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x1, 976, x3) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x1, 984, x3) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x1, 992, x3) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x1, 1000, x3) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x1, 1008, x3) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x1, 1016, x3) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x1, 1024, x3) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x1, 1032, x3) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x1, 1040, x3) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x1, 1048, x3) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x1, 1056, x3) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x1, 1064, x3) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x1, 1072, x3) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x1, 1080, x3) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x1, 1088, x3) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x1, 1096, x3) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x1, 1104, x3) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x1, 1112, x3) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x1, 1120, x3) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x1, 1128, x3) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x1, 1136, x3) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x1, 1144, x3) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x1, 1152, x3) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x1, 1160, x3) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x1, 1168, x3) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x1, 1176, x3) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x1, 1184, x3) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x1, 1192, x3) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x1, 1200, x3) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x1, 1208, x3) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x1, 1216, x3) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x1, 1224, x3) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x1, 1232, x3) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x1, 1240, x3) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x1, 1248, x3) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x1, 1256, x3) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x1, 1264, x3) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x1, 1272, x3) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x1, 1280, x3) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x1, 1288, x3) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x1, 1296, x3) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x1, 1304, x3) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x1, 1312, x3) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x1, 1320, x3) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x1, 1328, x3) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x1, 1336, x3) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x1, 1344, x3) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x1, 1352, x3) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x1, 1360, x3) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x1, 1368, x3) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x1, 1376, x3) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x1, 1384, x3) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x1, 1392, x3) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x1, 1400, x3) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x1, 1408, x3) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x1, 1416, x3) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x1, 1424, x3) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x1, 1432, x3) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x1, 1440, x3) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x1, 1448, x3) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x1, 1456, x3) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x1, 1464, x3) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x1, 1472, x3) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x1, 1480, x3) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x1, 1488, x3) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x1, 1496, x3) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x1, 1504, x3) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x1, 1512, x3) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x1, 1520, x3) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x1, 1528, x3) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x1, 1536, x3) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x1, 1544, x3) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x1, 1552, x3) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x1, 1560, x3) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x1, 1568, x3) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x1, 1576, x3) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x1, 1584, x3) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x1, 1592, x3) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x1, 1600, x3) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x1, 1608, x3) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x1, 1616, x3) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x1, 1624, x3) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x1, 1632, x3) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x1, 1640, x3) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x1, 1648, x3) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x1, 1656, x3) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x1, 1664, x3) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x1, 1672, x3) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x1, 1680, x3) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x1, 1688, x3) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x1, 1696, x3) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x1, 1704, x3) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x1, 1712, x3) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x1, 1720, x3) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x1, 1728, x3) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x1, 1736, x3) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x1, 1744, x3) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x1, 1752, x3) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x1, 1760, x3) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x1, 1768, x3) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x1, 1776, x3) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x1, 1784, x3) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x1, 1792, x3) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x1, 1800, x3) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x1, 1808, x3) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x1, 1816, x3) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x1, 1824, x3) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x1, 1832, x3) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x1, 1840, x3) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x1, 1848, x3) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x1, 1856, x3) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x1, 1864, x3) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x1, 1872, x3) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x1, 1880, x3) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x1, 1888, x3) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x1, 1896, x3) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x1, 1904, x3) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x1, 1912, x3) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x1, 1920, x3) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x1, 1928, x3) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x1, 1936, x3) - -inst_522: -// rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x1, 1944, x3) - -inst_523: -// rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x1, 1952, x3) - -inst_524: -// rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: packh ; op1:x10; op2:x11; dest:x12; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(packh, x12, x10, x11, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x1, 1960, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x16_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x16_1: - .fill 23*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 246*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packu-01.S deleted file mode 100644 index 25dcba909..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packu-01.S +++ /dev/null @@ -1,2699 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the packu instruction of the RISC-V extension for the packu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",packu) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",packu) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",packu) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",packu) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x6, rs2==x6, rd==x8, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: packu ; op1:x6; op2:x6; dest:x8; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(packu, x8, x6, x6, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x4, 0, x5) - -inst_1: -// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: packu ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 -TEST_RR_OP(packu, x0, x0, x0, 0x0000000000000000, 0x0, 0x0, x4, 8, x5) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x3, rs2==x12, rd==x22, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: packu ; op1:x3; op2:x12; dest:x22; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(packu, x22, x3, x12, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x4, 16, x5) - -inst_3: -// rs1 == rd != rs2, rs1==x24, rs2==x16, rd==x24, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: packu ; op1:x24; op2:x16; dest:x24; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(packu, x24, x24, x16, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x4, 24, x5) - -inst_4: -// rs2 == rd != rs1, rs1==x20, rs2==x2, rd==x2, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: packu ; op1:x20; op2:x2; dest:x2; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(packu, x2, x20, x2, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x4, 32, x5) - -inst_5: -// rs1==x13, rs2==x11, rd==x16, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: packu ; op1:x13; op2:x11; dest:x16; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(packu, x16, x13, x11, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x4, 40, x5) - -inst_6: -// rs1==x9, rs2==x7, rd==x27, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: packu ; op1:x9; op2:x7; dest:x27; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(packu, x27, x9, x7, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x4, 48, x5) - -inst_7: -// rs1==x19, rs2==x26, rd==x18, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: packu ; op1:x19; op2:x26; dest:x18; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(packu, x18, x19, x26, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x4, 56, x5) - -inst_8: -// rs1==x14, rs2==x20, rd==x15, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: packu ; op1:x14; op2:x20; dest:x15; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(packu, x15, x14, x20, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x4, 64, x5) - -inst_9: -// rs1==x28, rs2==x25, rd==x11, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: packu ; op1:x28; op2:x25; dest:x11; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(packu, x11, x28, x25, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x4, 72, x5) - -inst_10: -// rs1==x2, rs2==x14, rd==x29, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: packu ; op1:x2; op2:x14; dest:x29; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(packu, x29, x2, x14, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x4, 80, x5) - -inst_11: -// rs1==x31, rs2==x9, rd==x14, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: packu ; op1:x31; op2:x9; dest:x14; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(packu, x14, x31, x9, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x4, 88, x5) - -inst_12: -// rs1==x16, rs2==x19, rd==x3, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: packu ; op1:x16; op2:x19; dest:x3; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(packu, x3, x16, x19, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x4, 96, x5) - -inst_13: -// rs1==x27, rs2==x15, rd==x13, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: packu ; op1:x27; op2:x15; dest:x13; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(packu, x13, x27, x15, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x4, 104, x5) - -inst_14: -// rs1==x11, rs2==x22, rd==x28, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: packu ; op1:x11; op2:x22; dest:x28; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(packu, x28, x11, x22, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x4, 112, x5) - -inst_15: -// rs1==x21, rs2==x29, rd==x10, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: packu ; op1:x21; op2:x29; dest:x10; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(packu, x10, x21, x29, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x4, 120, x5) - -inst_16: -// rs1==x15, rs2==x17, rd==x1, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: packu ; op1:x15; op2:x17; dest:x1; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(packu, x1, x15, x17, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x4, 128, x5) - -inst_17: -// rs1==x1, rs2==x23, rd==x5, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: packu ; op1:x1; op2:x23; dest:x5; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(packu, x5, x1, x23, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x4, 136, x14) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_18: -// rs1==x22, rs2==x24, rd==x12, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: packu ; op1:x22; op2:x24; dest:x12; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(packu, x12, x22, x24, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x2, 0, x14) - -inst_19: -// rs1==x18, rs2==x1, rd==x25, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: packu ; op1:x18; op2:x1; dest:x25; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(packu, x25, x18, x1, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x2, 8, x14) - -inst_20: -// rs1==x7, rs2==x21, rd==x17, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: packu ; op1:x7; op2:x21; dest:x17; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(packu, x17, x7, x21, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x2, 16, x14) - -inst_21: -// rs1==x26, rs2==x8, rd==x30, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: packu ; op1:x26; op2:x8; dest:x30; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(packu, x30, x26, x8, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x2, 24, x14) - -inst_22: -// rs1==x17, rs2==x27, rd==x31, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: packu ; op1:x17; op2:x27; dest:x31; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(packu, x31, x17, x27, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x2, 32, x14) - -inst_23: -// rs1==x23, rs2==x4, rd==x6, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: packu ; op1:x23; op2:x4; dest:x6; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(packu, x6, x23, x4, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x2, 40, x14) - -inst_24: -// rs1==x29, rs2==x10, rd==x19, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: packu ; op1:x29; op2:x10; dest:x19; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(packu, x19, x29, x10, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x2, 48, x14) - -inst_25: -// rs1==x4, rs2==x13, rd==x26, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: packu ; op1:x4; op2:x13; dest:x26; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(packu, x26, x4, x13, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x2, 56, x14) - -inst_26: -// rs1==x10, rs2==x3, rd==x23, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: packu ; op1:x10; op2:x3; dest:x23; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(packu, x23, x10, x3, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x2, 64, x14) - -inst_27: -// rs1==x8, rs2==x31, rd==x7, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: packu ; op1:x8; op2:x31; dest:x7; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(packu, x7, x8, x31, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x2, 72, x14) - -inst_28: -// rs1==x12, rs2==x30, rd==x9, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: packu ; op1:x12; op2:x30; dest:x9; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(packu, x9, x12, x30, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x2, 80, x14) - -inst_29: -// rs1==x30, rs2==x18, rd==x20, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: packu ; op1:x30; op2:x18; dest:x20; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(packu, x20, x30, x18, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x2, 88, x14) - -inst_30: -// rs1==x25, rs2==x5, rd==x21, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: packu ; op1:x25; op2:x5; dest:x21; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(packu, x21, x25, x5, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x2, 96, x14) - -inst_31: -// rs1==x5, rs2==x28, rd==x4, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: packu ; op1:x5; op2:x28; dest:x4; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(packu, x4, x5, x28, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x2, 104, x14) - -inst_32: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x2, 112, x14) - -inst_33: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x2, 120, x1) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x2, 128, x1) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x2, 136, x1) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x2, 144, x1) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x2, 152, x1) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x2, 160, x1) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x2, 168, x1) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x2, 176, x1) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x2, 184, x1) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x2, 192, x1) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x2, 200, x1) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x2, 208, x1) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x2, 216, x1) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x2, 224, x1) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x2, 232, x1) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x2, 240, x1) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x2, 248, x1) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x2, 256, x1) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x2, 264, x1) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x2, 272, x1) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x2, 280, x1) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x2, 288, x1) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x2, 296, x1) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x2, 304, x1) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x2, 312, x1) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x2, 320, x1) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x2, 328, x1) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x2, 336, x1) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x2, 344, x1) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x2, 352, x1) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x2, 360, x1) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x2, 368, x1) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x2, 376, x1) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x2, 384, x1) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x2, 392, x1) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x2, 400, x1) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x2, 408, x1) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x2, 416, x1) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x2, 424, x1) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x2, 432, x1) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x2, 440, x1) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x2, 448, x1) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x2, 456, x1) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x2, 464, x1) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x2, 472, x1) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x2, 480, x1) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x2, 488, x1) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x2, 496, x1) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x2, 504, x1) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x2, 512, x1) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x2, 520, x1) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x2, 528, x1) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x2, 536, x1) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x2, 544, x1) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x2, 552, x1) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x2, 560, x1) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x2, 568, x1) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x2, 576, x1) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x2, 584, x1) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x2, 592, x1) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x2, 600, x1) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x2, 608, x1) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x2, 616, x1) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x2, 624, x1) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x2, 632, x1) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x2, 640, x1) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x2, 648, x1) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x2, 656, x1) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x2, 664, x1) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x2, 672, x1) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x2, 680, x1) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x2, 688, x1) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x2, 696, x1) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x2, 704, x1) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x2, 712, x1) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x2, 720, x1) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x2, 728, x1) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x2, 736, x1) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x2, 744, x1) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x2, 752, x1) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x2, 760, x1) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x2, 768, x1) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x2, 776, x1) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x2, 784, x1) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x2, 792, x1) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x2, 800, x1) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x2, 808, x1) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x2, 816, x1) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x2, 824, x1) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x2, 832, x1) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x2, 840, x1) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x2, 848, x1) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x2, 856, x1) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x2, 864, x1) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x2, 872, x1) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x2, 880, x1) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x2, 888, x1) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x2, 896, x1) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x2, 904, x1) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x2, 912, x1) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x2, 920, x1) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x2, 928, x1) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x2, 936, x1) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x2, 944, x1) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x2, 952, x1) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x2, 960, x1) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x2, 968, x1) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x2, 976, x1) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x2, 984, x1) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x2, 992, x1) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x2, 1000, x1) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x2, 1008, x1) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x2, 1016, x1) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x2, 1024, x1) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x2, 1032, x1) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x2, 1040, x1) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x2, 1048, x1) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x2, 1056, x1) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x2, 1064, x1) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x2, 1072, x1) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x2, 1080, x1) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x2, 1088, x1) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x2, 1096, x1) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x2, 1104, x1) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x2, 1112, x1) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x2, 1120, x1) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x2, 1128, x1) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x2, 1136, x1) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x2, 1144, x1) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x2, 1152, x1) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x2, 1160, x1) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x2, 1168, x1) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x2, 1176, x1) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x2, 1184, x1) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x2, 1192, x1) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x2, 1200, x1) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x2, 1208, x1) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x2, 1216, x1) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x2, 1224, x1) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x2, 1232, x1) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x2, 1240, x1) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x2, 1248, x1) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x2, 1256, x1) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x2, 1264, x1) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x2, 1272, x1) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x2, 1280, x1) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x2, 1288, x1) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x2, 1296, x1) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x2, 1304, x1) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x2, 1312, x1) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x2, 1320, x1) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x2, 1328, x1) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x2, 1336, x1) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x2, 1344, x1) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x2, 1352, x1) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x2, 1360, x1) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x2, 1368, x1) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x2, 1376, x1) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x2, 1384, x1) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x2, 1392, x1) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x2, 1400, x1) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x2, 1408, x1) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x2, 1416, x1) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x2, 1424, x1) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x2, 1432, x1) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x2, 1440, x1) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x2, 1448, x1) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x2, 1456, x1) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x2, 1464, x1) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x2, 1472, x1) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x2, 1480, x1) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x2, 1488, x1) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x2, 1496, x1) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x2, 1504, x1) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x2, 1512, x1) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x2, 1520, x1) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x2, 1528, x1) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x2, 1536, x1) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x2, 1544, x1) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x2, 1552, x1) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x2, 1560, x1) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x2, 1568, x1) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x2, 1576, x1) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x2, 1584, x1) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x2, 1592, x1) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x2, 1600, x1) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x2, 1608, x1) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x2, 1616, x1) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x2, 1624, x1) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x2, 1632, x1) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x2, 1640, x1) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x2, 1648, x1) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x2, 1656, x1) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x2, 1664, x1) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x2, 1672, x1) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x2, 1680, x1) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x2, 1688, x1) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x2, 1696, x1) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x2, 1704, x1) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x2, 1712, x1) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x2, 1720, x1) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x2, 1728, x1) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x2, 1736, x1) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x2, 1744, x1) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x2, 1752, x1) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x2, 1760, x1) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x2, 1768, x1) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x2, 1776, x1) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x2, 1784, x1) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x2, 1792, x1) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x2, 1800, x1) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x2, 1808, x1) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x2, 1816, x1) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x2, 1824, x1) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x2, 1832, x1) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x2, 1840, x1) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x2, 1848, x1) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x2, 1856, x1) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x2, 1864, x1) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x2, 1872, x1) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x2, 1880, x1) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x2, 1888, x1) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x2, 1896, x1) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x2, 1904, x1) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x2, 1912, x1) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x2, 1920, x1) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x2, 1928, x1) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x2, 1936, x1) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x2, 1944, x1) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x2, 1952, x1) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x2, 1960, x1) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x2, 1968, x1) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x2, 1976, x1) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x2, 1984, x1) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x2, 1992, x1) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x2, 2000, x1) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x2, 2008, x1) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x2, 2016, x1) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x2, 2024, x1) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x2, 2032, x1) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x2, 2040, x1) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x2, 0, x1) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x2, 8, x1) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x2, 16, x1) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x2, 24, x1) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x2, 32, x1) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x2, 40, x1) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x2, 48, x1) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x2, 56, x1) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x2, 64, x1) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x2, 72, x1) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x2, 80, x1) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x2, 88, x1) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x2, 96, x1) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x2, 104, x1) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x2, 112, x1) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x2, 120, x1) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x2, 128, x1) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x2, 136, x1) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x2, 144, x1) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x2, 152, x1) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x2, 160, x1) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x2, 168, x1) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x2, 176, x1) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x2, 184, x1) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x2, 192, x1) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x2, 200, x1) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x2, 208, x1) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x2, 216, x1) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x2, 224, x1) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x2, 232, x1) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x2, 240, x1) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x2, 248, x1) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x2, 256, x1) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x2, 264, x1) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x2, 272, x1) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x2, 280, x1) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x2, 288, x1) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x2, 296, x1) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x2, 304, x1) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x2, 312, x1) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x2, 320, x1) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x2, 328, x1) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x2, 336, x1) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x2, 344, x1) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x2, 352, x1) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x2, 360, x1) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x2, 368, x1) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x2, 376, x1) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x2, 384, x1) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x2, 392, x1) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x2, 400, x1) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x2, 408, x1) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x2, 416, x1) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x2, 424, x1) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x2, 432, x1) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x2, 440, x1) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x2, 448, x1) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x2, 456, x1) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x2, 464, x1) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x2, 472, x1) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x2, 480, x1) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x2, 488, x1) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x2, 496, x1) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x2, 504, x1) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x2, 512, x1) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x2, 520, x1) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x2, 528, x1) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x2, 536, x1) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x2, 544, x1) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x2, 552, x1) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x2, 560, x1) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x2, 568, x1) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x2, 576, x1) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x2, 584, x1) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x2, 592, x1) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x2, 600, x1) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x2, 608, x1) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x2, 616, x1) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x2, 624, x1) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x2, 632, x1) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x2, 640, x1) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x2, 648, x1) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x2, 656, x1) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x2, 664, x1) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x2, 672, x1) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x2, 680, x1) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x2, 688, x1) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x2, 696, x1) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x2, 704, x1) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x2, 712, x1) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x2, 720, x1) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x2, 728, x1) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x2, 736, x1) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x2, 744, x1) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x2, 752, x1) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x2, 760, x1) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x2, 768, x1) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x2, 776, x1) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x2, 784, x1) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x2, 792, x1) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x2, 800, x1) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x2, 808, x1) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x2, 816, x1) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x2, 824, x1) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x2, 832, x1) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x2, 840, x1) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x2, 848, x1) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x2, 856, x1) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x2, 864, x1) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x2, 872, x1) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x2, 880, x1) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x2, 888, x1) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x2, 896, x1) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x2, 904, x1) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x2, 912, x1) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x2, 920, x1) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x2, 928, x1) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x2, 936, x1) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x2, 944, x1) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x2, 952, x1) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x2, 960, x1) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x2, 968, x1) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x2, 976, x1) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x2, 984, x1) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x2, 992, x1) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x2, 1000, x1) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x2, 1008, x1) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x2, 1016, x1) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x2, 1024, x1) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x2, 1032, x1) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x2, 1040, x1) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x2, 1048, x1) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x2, 1056, x1) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x2, 1064, x1) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x2, 1072, x1) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x2, 1080, x1) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x2, 1088, x1) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x2, 1096, x1) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x2, 1104, x1) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x2, 1112, x1) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x2, 1120, x1) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x2, 1128, x1) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x2, 1136, x1) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x2, 1144, x1) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x2, 1152, x1) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x2, 1160, x1) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x2, 1168, x1) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x2, 1176, x1) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x2, 1184, x1) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x2, 1192, x1) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x2, 1200, x1) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x2, 1208, x1) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x2, 1216, x1) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x2, 1224, x1) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x2, 1232, x1) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x2, 1240, x1) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x2, 1248, x1) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x2, 1256, x1) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x2, 1264, x1) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x2, 1272, x1) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x2, 1280, x1) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x2, 1288, x1) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x2, 1296, x1) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x2, 1304, x1) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x2, 1312, x1) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x2, 1320, x1) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x2, 1328, x1) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x2, 1336, x1) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x2, 1344, x1) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x2, 1352, x1) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x2, 1360, x1) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x2, 1368, x1) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x2, 1376, x1) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x2, 1384, x1) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x2, 1392, x1) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x2, 1400, x1) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x2, 1408, x1) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x2, 1416, x1) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x2, 1424, x1) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x2, 1432, x1) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x2, 1440, x1) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x2, 1448, x1) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x2, 1456, x1) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x2, 1464, x1) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x2, 1472, x1) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x2, 1480, x1) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x2, 1488, x1) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x2, 1496, x1) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x2, 1504, x1) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x2, 1512, x1) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x2, 1520, x1) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x2, 1528, x1) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x2, 1536, x1) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x2, 1544, x1) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x2, 1552, x1) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x2, 1560, x1) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x2, 1568, x1) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x2, 1576, x1) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x2, 1584, x1) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x2, 1592, x1) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x2, 1600, x1) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x2, 1608, x1) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x2, 1616, x1) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x2, 1624, x1) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x2, 1632, x1) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x2, 1640, x1) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x2, 1648, x1) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x2, 1656, x1) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x2, 1664, x1) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x2, 1672, x1) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x2, 1680, x1) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x2, 1688, x1) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x2, 1696, x1) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x2, 1704, x1) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x2, 1712, x1) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x2, 1720, x1) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x2, 1728, x1) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x2, 1736, x1) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x2, 1744, x1) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x2, 1752, x1) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x2, 1760, x1) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x2, 1768, x1) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x2, 1776, x1) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x2, 1784, x1) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x2, 1792, x1) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x2, 1800, x1) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x2, 1808, x1) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x2, 1816, x1) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x2, 1824, x1) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x2, 1832, x1) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x2, 1840, x1) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x2, 1848, x1) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x2, 1856, x1) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x2, 1864, x1) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x2, 1872, x1) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x2, 1880, x1) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x2, 1888, x1) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x2, 1896, x1) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x2, 1904, x1) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x2, 1912, x1) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x2, 1920, x1) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x2, 1928, x1) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x2, 1936, x1) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x2, 1944, x1) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x2, 1952, x1) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x2, 1960, x1) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x2, 1968, x1) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x2, 1976, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 18*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 248*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packuw-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packuw-01.S deleted file mode 100644 index bd8e00ea1..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packuw-01.S +++ /dev/null @@ -1,2714 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the packuw instruction of the RISC-V extension for the packuw covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",packuw) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKs.*);def TEST_CASE_1=True;",packuw) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",packuw) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKb.*);def TEST_CASE_1=True;",packuw) - -RVTEST_SIGBASE( x12,signature_x12_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x9, rs2==x9, rd==x27, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: packuw ; op1:x9; op2:x9; dest:x27; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(packuw, x27, x9, x9, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x12, 0, x16) - -inst_1: -// rs1 == rs2 == rd, rs1==x25, rs2==x25, rd==x25, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: packuw ; op1:x25; op2:x25; dest:x25; op1val:0x5ba2c7dd5ae10604; op2val:0x5ba2c7dd5ae10604 -TEST_RR_OP(packuw, x25, x25, x25, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x5ba2c7dd5ae10604, x12, 8, x16) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x27, rd==x13, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: packuw ; op1:x10; op2:x27; dest:x13; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(packuw, x13, x10, x27, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x12, 16, x16) - -inst_3: -// rs1 == rd != rs2, rs1==x30, rs2==x3, rd==x30, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: packuw ; op1:x30; op2:x3; dest:x30; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(packuw, x30, x30, x3, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x12, 24, x16) - -inst_4: -// rs2 == rd != rs1, rs1==x27, rs2==x2, rd==x2, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: packuw ; op1:x27; op2:x2; dest:x2; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(packuw, x2, x27, x2, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x12, 32, x16) - -inst_5: -// rs1==x5, rs2==x0, rd==x9, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: packuw ; op1:x5; op2:x0; dest:x9; op1val:0xd50bd94a392e6fa3; op2val:0x0 -TEST_RR_OP(packuw, x9, x5, x0, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x0, x12, 40, x16) - -inst_6: -// rs1==x3, rs2==x4, rd==x1, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: packuw ; op1:x3; op2:x4; dest:x1; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(packuw, x1, x3, x4, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x12, 48, x16) - -inst_7: -// rs1==x1, rs2==x18, rd==x10, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: packuw ; op1:x1; op2:x18; dest:x10; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(packuw, x10, x1, x18, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x12, 56, x16) - -inst_8: -// rs1==x24, rs2==x7, rd==x17, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: packuw ; op1:x24; op2:x7; dest:x17; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(packuw, x17, x24, x7, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x12, 64, x16) - -inst_9: -// rs1==x13, rs2==x26, rd==x31, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: packuw ; op1:x13; op2:x26; dest:x31; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(packuw, x31, x13, x26, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x12, 72, x16) - -inst_10: -// rs1==x14, rs2==x1, rd==x7, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: packuw ; op1:x14; op2:x1; dest:x7; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(packuw, x7, x14, x1, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x12, 80, x16) - -inst_11: -// rs1==x31, rs2==x30, rd==x29, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: packuw ; op1:x31; op2:x30; dest:x29; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(packuw, x29, x31, x30, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x12, 88, x16) - -inst_12: -// rs1==x29, rs2==x17, rd==x20, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: packuw ; op1:x29; op2:x17; dest:x20; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(packuw, x20, x29, x17, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x12, 96, x16) - -inst_13: -// rs1==x11, rs2==x5, rd==x14, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: packuw ; op1:x11; op2:x5; dest:x14; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(packuw, x14, x11, x5, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x12, 104, x16) - -inst_14: -// rs1==x26, rs2==x8, rd==x5, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: packuw ; op1:x26; op2:x8; dest:x5; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(packuw, x5, x26, x8, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x12, 112, x16) - -inst_15: -// rs1==x28, rs2==x13, rd==x23, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: packuw ; op1:x28; op2:x13; dest:x23; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(packuw, x23, x28, x13, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x12, 120, x16) - -inst_16: -// rs1==x15, rs2==x21, rd==x6, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: packuw ; op1:x15; op2:x21; dest:x6; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(packuw, x6, x15, x21, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x12, 128, x16) - -inst_17: -// rs1==x16, rs2==x10, rd==x8, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: packuw ; op1:x16; op2:x10; dest:x8; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(packuw, x8, x16, x10, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x12, 136, x5) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_18: -// rs1==x4, rs2==x31, rd==x3, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: packuw ; op1:x4; op2:x31; dest:x3; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(packuw, x3, x4, x31, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x1, 0, x5) - -inst_19: -// rs1==x2, rs2==x29, rd==x22, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: packuw ; op1:x2; op2:x29; dest:x22; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(packuw, x22, x2, x29, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x1, 8, x5) - -inst_20: -// rs1==x7, rs2==x15, rd==x16, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: packuw ; op1:x7; op2:x15; dest:x16; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(packuw, x16, x7, x15, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x1, 16, x5) - -inst_21: -// rs1==x17, rs2==x19, rd==x24, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: packuw ; op1:x17; op2:x19; dest:x24; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(packuw, x24, x17, x19, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x1, 24, x5) - -inst_22: -// rs1==x21, rs2==x20, rd==x28, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: packuw ; op1:x21; op2:x20; dest:x28; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(packuw, x28, x21, x20, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x1, 32, x5) - -inst_23: -// rs1==x8, rs2==x11, rd==x19, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: packuw ; op1:x8; op2:x11; dest:x19; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(packuw, x19, x8, x11, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x1, 40, x5) - -inst_24: -// rs1==x6, rs2==x16, rd==x26, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: packuw ; op1:x6; op2:x16; dest:x26; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(packuw, x26, x6, x16, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x1, 48, x5) - -inst_25: -// rs1==x22, rs2==x6, rd==x12, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: packuw ; op1:x22; op2:x6; dest:x12; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(packuw, x12, x22, x6, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x1, 56, x5) - -inst_26: -// rs1==x23, rs2==x22, rd==x11, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: packuw ; op1:x23; op2:x22; dest:x11; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(packuw, x11, x23, x22, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x1, 64, x5) - -inst_27: -// rs1==x18, rs2==x12, rd==x21, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: packuw ; op1:x18; op2:x12; dest:x21; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(packuw, x21, x18, x12, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x1, 72, x5) - -inst_28: -// rs1==x0, rs2==x24, rd==x15, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: packuw ; op1:x0; op2:x24; dest:x15; op1val:0x0; op2val:0x60c9f22000000000 -TEST_RR_OP(packuw, x15, x0, x24, 0x0000000000000000, 0x0, 0x60c9f22000000000, x1, 80, x5) - -inst_29: -// rs1==x19, rs2==x23, rd==x4, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: packuw ; op1:x19; op2:x23; dest:x4; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(packuw, x4, x19, x23, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x1, 88, x5) - -inst_30: -// rs1==x12, rs2==x14, rd==x0, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: packuw ; op1:x12; op2:x14; dest:x0; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(packuw, x0, x12, x14, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x1, 96, x5) - -inst_31: -// rs1==x20, rs2==x28, rd==x18, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: packuw ; op1:x20; op2:x28; dest:x18; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(packuw, x18, x20, x28, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x1, 104, x5) - -inst_32: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x1, 112, x5) - -inst_33: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x1, 120, x5) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x1, 128, x5) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x1, 136, x5) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x1, 144, x5) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x1, 152, x5) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x1, 160, x5) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x1, 168, x5) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x1, 176, x5) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x1, 184, x5) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x1, 192, x5) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x1, 200, x5) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x1, 208, x5) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x1, 216, x5) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x1, 224, x5) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x1, 232, x5) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x1, 240, x5) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x1, 248, x5) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x1, 256, x5) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x1, 264, x5) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x1, 272, x5) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x1, 280, x5) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x1, 288, x5) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x1, 296, x5) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x1, 304, x5) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x1, 312, x5) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x1, 320, x5) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x1, 328, x5) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x1, 336, x5) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x1, 344, x5) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x1, 352, x5) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x1, 360, x5) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x1, 368, x5) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x1, 376, x5) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x1, 384, x5) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x1, 392, x5) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x1, 400, x5) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x1, 408, x5) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x1, 416, x5) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x1, 424, x5) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x1, 432, x5) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x1, 440, x5) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x1, 448, x5) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x1, 456, x5) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x1, 464, x5) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x1, 472, x5) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x1, 480, x5) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x1, 488, x5) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x1, 496, x5) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x1, 504, x5) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x1, 512, x5) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x1, 520, x5) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x1, 528, x5) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x1, 536, x5) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x1, 544, x5) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x1, 552, x5) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x1, 560, x5) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x1, 568, x5) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x1, 576, x5) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x1, 584, x5) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x1, 592, x5) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x1, 600, x5) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x1, 608, x5) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x1, 616, x5) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x1, 624, x5) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x1, 632, x5) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x1, 640, x5) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x1, 648, x5) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x1, 656, x5) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x1, 664, x5) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x1, 672, x5) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x1, 680, x5) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x1, 688, x5) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x1, 696, x5) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x1, 704, x5) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x1, 712, x5) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x1, 720, x5) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x1, 728, x5) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x1, 736, x5) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x1, 744, x5) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x1, 752, x5) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x1, 760, x5) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x1, 768, x5) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x1, 776, x5) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x1, 784, x5) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x1, 792, x5) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x1, 800, x5) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x1, 808, x5) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x1, 816, x5) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x1, 824, x5) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x1, 832, x5) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x1, 840, x5) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x1, 848, x5) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x1, 856, x5) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x1, 864, x5) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x1, 872, x5) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x1, 880, x5) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x1, 888, x5) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x1, 896, x5) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x1, 904, x5) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x1, 912, x5) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x1, 920, x5) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x1, 928, x5) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x1, 936, x5) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x1, 944, x5) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x1, 952, x5) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x1, 960, x5) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x1, 968, x5) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x1, 976, x5) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x1, 984, x5) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x1, 992, x5) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x1, 1000, x5) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x1, 1008, x5) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x1, 1016, x5) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x1, 1024, x5) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x1, 1032, x5) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x1, 1040, x5) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x1, 1048, x5) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x1, 1056, x5) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x1, 1064, x5) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x1, 1072, x5) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x1, 1080, x5) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x1, 1088, x5) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x1, 1096, x5) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x1, 1104, x5) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x1, 1112, x5) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x1, 1120, x5) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x1, 1128, x5) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x1, 1136, x5) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x1, 1144, x5) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x1, 1152, x5) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x1, 1160, x5) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x1, 1168, x5) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x1, 1176, x5) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x1, 1184, x5) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x1, 1192, x5) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x1, 1200, x5) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x1, 1208, x5) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x1, 1216, x5) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x1, 1224, x5) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x1, 1232, x5) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x1, 1240, x5) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x1, 1248, x5) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x1, 1256, x5) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x1, 1264, x5) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x1, 1272, x5) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x1, 1280, x5) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x1, 1288, x5) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x1, 1296, x5) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x1, 1304, x5) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x1, 1312, x5) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x1, 1320, x5) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x1, 1328, x5) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x1, 1336, x5) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x1, 1344, x5) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x1, 1352, x5) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x1, 1360, x5) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x1, 1368, x5) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x1, 1376, x5) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x1, 1384, x5) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x1, 1392, x5) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x1, 1400, x5) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x1, 1408, x5) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x1, 1416, x5) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x1, 1424, x5) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x1, 1432, x5) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x1, 1440, x5) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x1, 1448, x5) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x1, 1456, x5) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x1, 1464, x5) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x1, 1472, x5) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x1, 1480, x5) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x1, 1488, x5) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x1, 1496, x5) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x1, 1504, x5) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x1, 1512, x5) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x1, 1520, x5) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x1, 1528, x5) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x1, 1536, x5) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x1, 1544, x5) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x1, 1552, x5) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x1, 1560, x5) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x1, 1568, x5) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x1, 1576, x5) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x1, 1584, x5) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x1, 1592, x5) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x1, 1600, x5) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x1, 1608, x5) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x1, 1616, x5) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x1, 1624, x5) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x1, 1632, x5) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x1, 1640, x5) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x1, 1648, x5) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x1, 1656, x5) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x1, 1664, x5) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x1, 1672, x5) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x1, 1680, x5) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x1, 1688, x5) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x1, 1696, x5) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x1, 1704, x5) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x1, 1712, x5) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x1, 1720, x5) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x1, 1728, x5) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x1, 1736, x5) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x1, 1744, x5) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x1, 1752, x5) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x1, 1760, x5) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x1, 1768, x5) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x1, 1776, x5) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x1, 1784, x5) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x1, 1792, x5) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x1, 1800, x5) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x1, 1808, x5) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x1, 1816, x5) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x1, 1824, x5) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x1, 1832, x5) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x1, 1840, x5) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x1, 1848, x5) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x1, 1856, x5) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x1, 1864, x5) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x1, 1872, x5) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x1, 1880, x5) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x1, 1888, x5) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x1, 1896, x5) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x1, 1904, x5) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x1, 1912, x5) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x1, 1920, x5) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x1, 1928, x5) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x1, 1936, x5) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x1, 1944, x5) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x1, 1952, x5) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x1, 1960, x5) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x1, 1968, x5) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x1, 1976, x5) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x1, 1984, x5) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x1, 1992, x5) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x1, 2000, x5) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x1, 2008, x5) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x1, 2016, x5) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x1, 2024, x5) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x1, 2032, x5) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x1, 2040, x5) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x1, 0, x5) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x1, 8, x5) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x1, 16, x5) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x1, 24, x5) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x1, 32, x5) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x1, 40, x5) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x1, 48, x5) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x1, 56, x5) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x1, 64, x5) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x1, 72, x5) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x1, 80, x5) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x1, 88, x5) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x1, 96, x5) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x1, 104, x5) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x1, 112, x5) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x1, 120, x5) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x1, 128, x5) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x1, 136, x5) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x1, 144, x5) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x1, 152, x5) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x1, 160, x5) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x1, 168, x5) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x1, 176, x5) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x1, 184, x5) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x1, 192, x5) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x1, 200, x5) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x1, 208, x5) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x1, 216, x5) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x1, 224, x5) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x1, 232, x5) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x1, 240, x5) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x1, 248, x5) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x1, 256, x5) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x1, 264, x5) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x1, 272, x5) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x1, 280, x5) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x1, 288, x5) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x1, 296, x5) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x1, 304, x5) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x1, 312, x5) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x1, 320, x5) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x1, 328, x5) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x1, 336, x5) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x1, 344, x5) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x1, 352, x5) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x1, 360, x5) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x1, 368, x5) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x1, 376, x5) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x1, 384, x5) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x1, 392, x5) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x1, 400, x5) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x1, 408, x5) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x1, 416, x5) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x1, 424, x5) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x1, 432, x5) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x1, 440, x5) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x1, 448, x5) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x1, 456, x5) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x1, 464, x5) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x1, 472, x5) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x1, 480, x5) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x1, 488, x5) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x1, 496, x5) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x1, 504, x5) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x1, 512, x5) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x1, 520, x5) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x1, 528, x5) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x1, 536, x5) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x1, 544, x5) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x1, 552, x5) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x1, 560, x5) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x1, 568, x5) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x1, 576, x5) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x1, 584, x5) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x1, 592, x5) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x1, 600, x5) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x1, 608, x5) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x1, 616, x5) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x1, 624, x5) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x1, 632, x5) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x1, 640, x5) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x1, 648, x5) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x1, 656, x5) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x1, 664, x5) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x1, 672, x5) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x1, 680, x5) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x1, 688, x5) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x1, 696, x5) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x1, 704, x5) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x1, 712, x5) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x1, 720, x5) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x1, 728, x5) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x1, 736, x5) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x1, 744, x5) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x1, 752, x5) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x1, 760, x5) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x1, 768, x5) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x1, 776, x5) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x1, 784, x5) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x1, 792, x5) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x1, 800, x5) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x1, 808, x5) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x1, 816, x5) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x1, 824, x5) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x1, 832, x5) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x1, 840, x5) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x1, 848, x5) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x1, 856, x5) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x1, 864, x5) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x1, 872, x5) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x1, 880, x5) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x1, 888, x5) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x1, 896, x5) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x1, 904, x5) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x1, 912, x5) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x1, 920, x5) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x1, 928, x5) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x1, 936, x5) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x1, 944, x5) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x1, 952, x5) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x1, 960, x5) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x1, 968, x5) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x1, 976, x5) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x1, 984, x5) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x1, 992, x5) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x1, 1000, x5) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x1, 1008, x5) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x1, 1016, x5) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x1, 1024, x5) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x1, 1032, x5) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x1, 1040, x5) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x1, 1048, x5) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x1, 1056, x5) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x1, 1064, x5) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x1, 1072, x5) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x1, 1080, x5) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x1, 1088, x5) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x1, 1096, x5) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x1, 1104, x5) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x1, 1112, x5) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x1, 1120, x5) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x1, 1128, x5) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x1, 1136, x5) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x1, 1144, x5) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x1, 1152, x5) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x1, 1160, x5) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x1, 1168, x5) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x1, 1176, x5) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x1, 1184, x5) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x1, 1192, x5) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x1, 1200, x5) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x1, 1208, x5) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x1, 1216, x5) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x1, 1224, x5) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x1, 1232, x5) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x1, 1240, x5) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x1, 1248, x5) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x1, 1256, x5) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x1, 1264, x5) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x1, 1272, x5) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x1, 1280, x5) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x1, 1288, x5) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x1, 1296, x5) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x1, 1304, x5) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x1, 1312, x5) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x1, 1320, x5) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x1, 1328, x5) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x1, 1336, x5) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x1, 1344, x5) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x1, 1352, x5) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x1, 1360, x5) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x1, 1368, x5) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x1, 1376, x5) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x1, 1384, x5) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x1, 1392, x5) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x1, 1400, x5) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x1, 1408, x5) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x1, 1416, x5) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x1, 1424, x5) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x1, 1432, x5) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x1, 1440, x5) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x1, 1448, x5) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x1, 1456, x5) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x1, 1464, x5) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x1, 1472, x5) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x1, 1480, x5) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x1, 1488, x5) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x1, 1496, x5) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x1, 1504, x5) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x1, 1512, x5) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x1, 1520, x5) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x1, 1528, x5) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x1, 1536, x5) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x1, 1544, x5) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x1, 1552, x5) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x1, 1560, x5) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x1, 1568, x5) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x1, 1576, x5) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x1, 1584, x5) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x1, 1592, x5) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x1, 1600, x5) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x1, 1608, x5) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x1, 1616, x5) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x1, 1624, x5) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x1, 1632, x5) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x1, 1640, x5) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x1, 1648, x5) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x1, 1656, x5) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x1, 1664, x5) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x1, 1672, x5) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x1, 1680, x5) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x1, 1688, x5) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x1, 1696, x5) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x1, 1704, x5) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x1, 1712, x5) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x1, 1720, x5) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x1, 1728, x5) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x1, 1736, x5) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x1, 1744, x5) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x1, 1752, x5) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x1, 1760, x5) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x1, 1768, x5) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x1, 1776, x5) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x1, 1784, x5) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x1, 1792, x5) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x1, 1800, x5) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x1, 1808, x5) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x1, 1816, x5) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x1, 1824, x5) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x1, 1832, x5) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x1, 1840, x5) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x1, 1848, x5) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x1, 1856, x5) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x1, 1864, x5) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x1, 1872, x5) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x1, 1880, x5) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x1, 1888, x5) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x1, 1896, x5) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x1, 1904, x5) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x1, 1912, x5) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x1, 1920, x5) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x1, 1928, x5) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x1, 1936, x5) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x1, 1944, x5) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x1, 1952, x5) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x1, 1960, x5) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x1, 1968, x5) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x1, 1976, x5) - -inst_522: -// rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x1, 1984, x5) - -inst_523: -// rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x1, 1992, x5) - -inst_524: -// rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x1, 2000, x5) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x12_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x12_1: - .fill 18*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 251*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packw-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packw-01.S deleted file mode 100644 index decb80d53..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packw-01.S +++ /dev/null @@ -1,2714 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the packw instruction of the RISC-V extension for the packw covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",packw) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKs.*);def TEST_CASE_1=True;",packw) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",packw) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKb.*);def TEST_CASE_1=True;",packw) - -RVTEST_SIGBASE( x8,signature_x8_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x1, rs2==x1, rd==x12, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: packw ; op1:x1; op2:x1; dest:x12; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(packw, x12, x1, x1, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x8, 0, x21) - -inst_1: -// rs1 == rs2 == rd, rs1==x19, rs2==x19, rd==x19, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: packw ; op1:x19; op2:x19; dest:x19; op1val:0x5ba2c7dd5ae10604; op2val:0x5ba2c7dd5ae10604 -TEST_RR_OP(packw, x19, x19, x19, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x5ba2c7dd5ae10604, x8, 8, x21) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x31, rs2==x9, rd==x0, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: packw ; op1:x31; op2:x9; dest:x0; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(packw, x0, x31, x9, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x8, 16, x21) - -inst_3: -// rs1 == rd != rs2, rs1==x5, rs2==x25, rd==x5, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: packw ; op1:x5; op2:x25; dest:x5; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(packw, x5, x5, x25, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x8, 24, x21) - -inst_4: -// rs2 == rd != rs1, rs1==x29, rs2==x23, rd==x23, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: packw ; op1:x29; op2:x23; dest:x23; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(packw, x23, x29, x23, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x8, 32, x21) - -inst_5: -// rs1==x28, rs2==x2, rd==x20, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: packw ; op1:x28; op2:x2; dest:x20; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(packw, x20, x28, x2, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x8, 40, x21) - -inst_6: -// rs1==x3, rs2==x16, rd==x9, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: packw ; op1:x3; op2:x16; dest:x9; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(packw, x9, x3, x16, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x8, 48, x21) - -inst_7: -// rs1==x12, rs2==x11, rd==x15, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: packw ; op1:x12; op2:x11; dest:x15; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(packw, x15, x12, x11, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x8, 56, x21) - -inst_8: -// rs1==x22, rs2==x18, rd==x29, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: packw ; op1:x22; op2:x18; dest:x29; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(packw, x29, x22, x18, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x8, 64, x21) - -inst_9: -// rs1==x4, rs2==x15, rd==x22, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: packw ; op1:x4; op2:x15; dest:x22; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(packw, x22, x4, x15, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x8, 72, x21) - -inst_10: -// rs1==x7, rs2==x4, rd==x18, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: packw ; op1:x7; op2:x4; dest:x18; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(packw, x18, x7, x4, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x8, 80, x21) - -inst_11: -// rs1==x2, rs2==x0, rd==x24, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: packw ; op1:x2; op2:x0; dest:x24; op1val:0xfd8bf41246e4abd8; op2val:0x0 -TEST_RR_OP(packw, x24, x2, x0, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x0, x8, 88, x21) - -inst_12: -// rs1==x24, rs2==x5, rd==x30, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: packw ; op1:x24; op2:x5; dest:x30; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(packw, x30, x24, x5, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x8, 96, x21) - -inst_13: -// rs1==x13, rs2==x24, rd==x17, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: packw ; op1:x13; op2:x24; dest:x17; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(packw, x17, x13, x24, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x8, 104, x21) - -inst_14: -// rs1==x11, rs2==x7, rd==x4, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: packw ; op1:x11; op2:x7; dest:x4; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(packw, x4, x11, x7, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x8, 112, x21) - -inst_15: -// rs1==x14, rs2==x6, rd==x10, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: packw ; op1:x14; op2:x6; dest:x10; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(packw, x10, x14, x6, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x8, 120, x21) - -inst_16: -// rs1==x10, rs2==x30, rd==x26, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: packw ; op1:x10; op2:x30; dest:x26; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(packw, x26, x10, x30, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x8, 128, x5) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_17: -// rs1==x26, rs2==x20, rd==x13, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: packw ; op1:x26; op2:x20; dest:x13; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(packw, x13, x26, x20, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x4, 0, x5) - -inst_18: -// rs1==x0, rs2==x26, rd==x7, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: packw ; op1:x0; op2:x26; dest:x7; op1val:0x0; op2val:0x3b0b800000000000 -TEST_RR_OP(packw, x7, x0, x26, 0x0000000000000000, 0x0, 0x3b0b800000000000, x4, 8, x5) - -inst_19: -// rs1==x16, rs2==x31, rd==x8, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: packw ; op1:x16; op2:x31; dest:x8; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(packw, x8, x16, x31, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x4, 16, x5) - -inst_20: -// rs1==x18, rs2==x27, rd==x31, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: packw ; op1:x18; op2:x27; dest:x31; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(packw, x31, x18, x27, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x4, 24, x5) - -inst_21: -// rs1==x23, rs2==x12, rd==x11, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: packw ; op1:x23; op2:x12; dest:x11; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(packw, x11, x23, x12, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x4, 32, x5) - -inst_22: -// rs1==x6, rs2==x10, rd==x16, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: packw ; op1:x6; op2:x10; dest:x16; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(packw, x16, x6, x10, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x4, 40, x5) - -inst_23: -// rs1==x8, rs2==x13, rd==x25, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: packw ; op1:x8; op2:x13; dest:x25; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(packw, x25, x8, x13, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x4, 48, x5) - -inst_24: -// rs1==x15, rs2==x17, rd==x21, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: packw ; op1:x15; op2:x17; dest:x21; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(packw, x21, x15, x17, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x4, 56, x5) - -inst_25: -// rs1==x17, rs2==x14, rd==x3, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: packw ; op1:x17; op2:x14; dest:x3; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(packw, x3, x17, x14, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x4, 64, x5) - -inst_26: -// rs1==x25, rs2==x22, rd==x6, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: packw ; op1:x25; op2:x22; dest:x6; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(packw, x6, x25, x22, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x4, 72, x5) - -inst_27: -// rs1==x20, rs2==x8, rd==x1, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: packw ; op1:x20; op2:x8; dest:x1; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(packw, x1, x20, x8, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x4, 80, x5) - -inst_28: -// rs1==x21, rs2==x28, rd==x27, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: packw ; op1:x21; op2:x28; dest:x27; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(packw, x27, x21, x28, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x4, 88, x5) - -inst_29: -// rs1==x27, rs2==x3, rd==x2, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: packw ; op1:x27; op2:x3; dest:x2; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(packw, x2, x27, x3, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x4, 96, x5) - -inst_30: -// rs1==x30, rs2==x29, rd==x28, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: packw ; op1:x30; op2:x29; dest:x28; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(packw, x28, x30, x29, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x4, 104, x5) - -inst_31: -// rs1==x9, rs2==x21, rd==x14, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: packw ; op1:x9; op2:x21; dest:x14; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(packw, x14, x9, x21, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x4, 112, x5) - -inst_32: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x4, 120, x1) - -inst_33: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x4, 128, x1) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x4, 136, x1) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x4, 144, x1) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x4, 152, x1) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x4, 160, x1) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x4, 168, x1) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x4, 176, x1) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x4, 184, x1) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x4, 192, x1) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x4, 200, x1) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x4, 208, x1) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x4, 216, x1) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x4, 224, x1) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x4, 232, x1) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x4, 240, x1) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x4, 248, x1) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x4, 256, x1) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x4, 264, x1) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x4, 272, x1) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x4, 280, x1) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x4, 288, x1) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x4, 296, x1) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x4, 304, x1) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x4, 312, x1) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x4, 320, x1) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x4, 328, x1) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x4, 336, x1) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x4, 344, x1) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x4, 352, x1) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x4, 360, x1) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x4, 368, x1) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x4, 376, x1) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x4, 384, x1) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x4, 392, x1) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x4, 400, x1) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x4, 408, x1) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x4, 416, x1) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x4, 424, x1) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x4, 432, x1) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x4, 440, x1) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x4, 448, x1) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x4, 456, x1) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x4, 464, x1) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x4, 472, x1) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x4, 480, x1) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x4, 488, x1) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x4, 496, x1) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x4, 504, x1) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x4, 512, x1) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x4, 520, x1) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x4, 528, x1) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x4, 536, x1) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x4, 544, x1) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x4, 552, x1) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x4, 560, x1) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x4, 568, x1) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x4, 576, x1) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x4, 584, x1) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x4, 592, x1) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x4, 600, x1) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x4, 608, x1) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x4, 616, x1) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x4, 624, x1) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x4, 632, x1) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x4, 640, x1) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x4, 648, x1) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x4, 656, x1) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x4, 664, x1) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x4, 672, x1) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x4, 680, x1) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x4, 688, x1) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x4, 696, x1) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x4, 704, x1) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x4, 712, x1) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x4, 720, x1) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x4, 728, x1) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x4, 736, x1) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x4, 744, x1) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x4, 752, x1) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x4, 760, x1) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x4, 768, x1) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x4, 776, x1) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x4, 784, x1) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x4, 792, x1) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x4, 800, x1) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x4, 808, x1) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x4, 816, x1) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x4, 824, x1) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x4, 832, x1) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x4, 840, x1) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x4, 848, x1) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x4, 856, x1) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x4, 864, x1) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x4, 872, x1) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x4, 880, x1) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x4, 888, x1) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x4, 896, x1) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x4, 904, x1) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x4, 912, x1) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x4, 920, x1) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x4, 928, x1) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x4, 936, x1) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x4, 944, x1) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x4, 952, x1) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x4, 960, x1) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x4, 968, x1) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x4, 976, x1) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x4, 984, x1) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x4, 992, x1) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x4, 1000, x1) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x4, 1008, x1) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x4, 1016, x1) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x4, 1024, x1) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x4, 1032, x1) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x4, 1040, x1) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x4, 1048, x1) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x4, 1056, x1) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x4, 1064, x1) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x4, 1072, x1) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x4, 1080, x1) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x4, 1088, x1) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x4, 1096, x1) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x4, 1104, x1) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x4, 1112, x1) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x4, 1120, x1) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x4, 1128, x1) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x4, 1136, x1) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x4, 1144, x1) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x4, 1152, x1) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x4, 1160, x1) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x4, 1168, x1) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x4, 1176, x1) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x4, 1184, x1) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x4, 1192, x1) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x4, 1200, x1) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x4, 1208, x1) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x4, 1216, x1) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x4, 1224, x1) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x4, 1232, x1) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x4, 1240, x1) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x4, 1248, x1) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x4, 1256, x1) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x4, 1264, x1) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x4, 1272, x1) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x4, 1280, x1) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x4, 1288, x1) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x4, 1296, x1) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x4, 1304, x1) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x4, 1312, x1) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x4, 1320, x1) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x4, 1328, x1) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x4, 1336, x1) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x4, 1344, x1) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x4, 1352, x1) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x4, 1360, x1) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x4, 1368, x1) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x4, 1376, x1) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x4, 1384, x1) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x4, 1392, x1) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x4, 1400, x1) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x4, 1408, x1) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x4, 1416, x1) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x4, 1424, x1) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x4, 1432, x1) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x4, 1440, x1) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x4, 1448, x1) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x4, 1456, x1) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x4, 1464, x1) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x4, 1472, x1) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x4, 1480, x1) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x4, 1488, x1) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x4, 1496, x1) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x4, 1504, x1) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x4, 1512, x1) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x4, 1520, x1) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x4, 1528, x1) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x4, 1536, x1) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x4, 1544, x1) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x4, 1552, x1) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x4, 1560, x1) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x4, 1568, x1) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x4, 1576, x1) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x4, 1584, x1) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x4, 1592, x1) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x4, 1600, x1) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x4, 1608, x1) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x4, 1616, x1) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x4, 1624, x1) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x4, 1632, x1) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x4, 1640, x1) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x4, 1648, x1) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x4, 1656, x1) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x4, 1664, x1) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x4, 1672, x1) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x4, 1680, x1) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x4, 1688, x1) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x4, 1696, x1) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x4, 1704, x1) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x4, 1712, x1) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x4, 1720, x1) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x4, 1728, x1) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x4, 1736, x1) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x4, 1744, x1) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x4, 1752, x1) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x4, 1760, x1) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x4, 1768, x1) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x4, 1776, x1) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x4, 1784, x1) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x4, 1792, x1) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x4, 1800, x1) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x4, 1808, x1) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x4, 1816, x1) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x4, 1824, x1) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x4, 1832, x1) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x4, 1840, x1) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x4, 1848, x1) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x4, 1856, x1) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x4, 1864, x1) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x4, 1872, x1) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x4, 1880, x1) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x4, 1888, x1) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x4, 1896, x1) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x4, 1904, x1) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x4, 1912, x1) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x4, 1920, x1) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x4, 1928, x1) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x4, 1936, x1) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x4, 1944, x1) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x4, 1952, x1) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x4, 1960, x1) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x4, 1968, x1) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x4, 1976, x1) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x4, 1984, x1) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x4, 1992, x1) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x4, 2000, x1) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x4, 2008, x1) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x4, 2016, x1) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x4, 2024, x1) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x4, 2032, x1) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x4, 2040, x1) -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x4, 0, x1) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x4, 8, x1) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x4, 16, x1) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x4, 24, x1) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x4, 32, x1) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x4, 40, x1) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x4, 48, x1) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x4, 56, x1) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x4, 64, x1) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x4, 72, x1) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x4, 80, x1) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x4, 88, x1) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x4, 96, x1) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x4, 104, x1) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x4, 112, x1) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x4, 120, x1) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x4, 128, x1) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x4, 136, x1) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x4, 144, x1) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x4, 152, x1) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x4, 160, x1) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x4, 168, x1) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x4, 176, x1) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x4, 184, x1) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x4, 192, x1) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x4, 200, x1) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x4, 208, x1) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x4, 216, x1) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x4, 224, x1) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x4, 232, x1) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x4, 240, x1) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x4, 248, x1) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x4, 256, x1) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x4, 264, x1) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x4, 272, x1) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x4, 280, x1) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x4, 288, x1) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x4, 296, x1) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x4, 304, x1) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x4, 312, x1) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x4, 320, x1) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x4, 328, x1) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x4, 336, x1) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x4, 344, x1) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x4, 352, x1) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x4, 360, x1) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x4, 368, x1) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x4, 376, x1) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x4, 384, x1) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x4, 392, x1) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x4, 400, x1) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x4, 408, x1) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x4, 416, x1) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x4, 424, x1) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x4, 432, x1) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x4, 440, x1) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x4, 448, x1) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x4, 456, x1) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x4, 464, x1) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x4, 472, x1) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x4, 480, x1) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x4, 488, x1) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x4, 496, x1) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x4, 504, x1) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x4, 512, x1) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x4, 520, x1) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x4, 528, x1) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x4, 536, x1) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x4, 544, x1) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x4, 552, x1) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x4, 560, x1) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x4, 568, x1) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x4, 576, x1) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x4, 584, x1) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x4, 592, x1) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x4, 600, x1) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x4, 608, x1) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x4, 616, x1) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x4, 624, x1) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x4, 632, x1) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x4, 640, x1) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x4, 648, x1) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x4, 656, x1) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x4, 664, x1) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x4, 672, x1) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x4, 680, x1) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x4, 688, x1) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x4, 696, x1) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x4, 704, x1) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x4, 712, x1) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x4, 720, x1) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x4, 728, x1) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x4, 736, x1) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x4, 744, x1) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x4, 752, x1) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x4, 760, x1) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x4, 768, x1) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x4, 776, x1) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x4, 784, x1) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x4, 792, x1) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x4, 800, x1) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x4, 808, x1) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x4, 816, x1) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x4, 824, x1) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x4, 832, x1) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x4, 840, x1) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x4, 848, x1) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x4, 856, x1) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x4, 864, x1) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x4, 872, x1) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x4, 880, x1) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x4, 888, x1) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x4, 896, x1) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x4, 904, x1) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x4, 912, x1) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x4, 920, x1) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x4, 928, x1) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x4, 936, x1) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x4, 944, x1) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x4, 952, x1) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x4, 960, x1) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x4, 968, x1) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x4, 976, x1) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x4, 984, x1) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x4, 992, x1) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x4, 1000, x1) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x4, 1008, x1) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x4, 1016, x1) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x4, 1024, x1) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x4, 1032, x1) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x4, 1040, x1) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x4, 1048, x1) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x4, 1056, x1) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x4, 1064, x1) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x4, 1072, x1) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x4, 1080, x1) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x4, 1088, x1) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x4, 1096, x1) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x4, 1104, x1) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x4, 1112, x1) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x4, 1120, x1) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x4, 1128, x1) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x4, 1136, x1) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x4, 1144, x1) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x4, 1152, x1) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x4, 1160, x1) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x4, 1168, x1) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x4, 1176, x1) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x4, 1184, x1) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x4, 1192, x1) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x4, 1200, x1) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x4, 1208, x1) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x4, 1216, x1) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x4, 1224, x1) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x4, 1232, x1) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x4, 1240, x1) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x4, 1248, x1) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x4, 1256, x1) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x4, 1264, x1) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x4, 1272, x1) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x4, 1280, x1) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x4, 1288, x1) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x4, 1296, x1) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x4, 1304, x1) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x4, 1312, x1) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x4, 1320, x1) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x4, 1328, x1) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x4, 1336, x1) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x4, 1344, x1) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x4, 1352, x1) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x4, 1360, x1) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x4, 1368, x1) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x4, 1376, x1) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x4, 1384, x1) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x4, 1392, x1) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x4, 1400, x1) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x4, 1408, x1) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x4, 1416, x1) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x4, 1424, x1) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x4, 1432, x1) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x4, 1440, x1) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x4, 1448, x1) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x4, 1456, x1) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x4, 1464, x1) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x4, 1472, x1) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x4, 1480, x1) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x4, 1488, x1) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x4, 1496, x1) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x4, 1504, x1) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x4, 1512, x1) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x4, 1520, x1) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x4, 1528, x1) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x4, 1536, x1) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x4, 1544, x1) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x4, 1552, x1) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x4, 1560, x1) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x4, 1568, x1) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x4, 1576, x1) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x4, 1584, x1) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x4, 1592, x1) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x4, 1600, x1) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x4, 1608, x1) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x4, 1616, x1) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x4, 1624, x1) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x4, 1632, x1) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x4, 1640, x1) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x4, 1648, x1) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x4, 1656, x1) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x4, 1664, x1) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x4, 1672, x1) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x4, 1680, x1) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x4, 1688, x1) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x4, 1696, x1) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x4, 1704, x1) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x4, 1712, x1) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x4, 1720, x1) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x4, 1728, x1) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x4, 1736, x1) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x4, 1744, x1) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x4, 1752, x1) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x4, 1760, x1) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x4, 1768, x1) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x4, 1776, x1) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x4, 1784, x1) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x4, 1792, x1) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x4, 1800, x1) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x4, 1808, x1) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x4, 1816, x1) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x4, 1824, x1) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x4, 1832, x1) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x4, 1840, x1) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x4, 1848, x1) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x4, 1856, x1) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x4, 1864, x1) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x4, 1872, x1) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x4, 1880, x1) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x4, 1888, x1) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x4, 1896, x1) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x4, 1904, x1) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x4, 1912, x1) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x4, 1920, x1) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x4, 1928, x1) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x4, 1936, x1) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x4, 1944, x1) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x4, 1952, x1) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x4, 1960, x1) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x4, 1968, x1) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x4, 1976, x1) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x4, 1984, x1) - -inst_522: -// rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x4, 1992, x1) - -inst_523: -// rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x4, 2000, x1) - -inst_524: -// rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: packw ; op1:x10; op2:x11; dest:x12; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(packw, x12, x10, x11, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x4, 2008, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x8_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x8_1: - .fill 17*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 252*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev.b-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev.b-01.S deleted file mode 100644 index 42d68e344..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev.b-01.S +++ /dev/null @@ -1,2164 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the grevi instruction of the RISC-V extension for the rev.b covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",rev.b) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",rev.b) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",rev.b) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",rev.b) - -RVTEST_SIGBASE( x6,signature_x6_1) - -inst_0: -// rs1 != rd, rs1==x13, rd==x1, rs1_val == 0xFFFFFFFFFFFFFFFF -// opcode: grevi ; op1:x13; dest:x1; op1val:0xffffffffffffffff; -li x13, 0xffffffffffffffff -grevi x1, x13, 7 -sw x1, 0(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x1, 0x0000000000000000) - -inst_1: -// rs1 == rd, rs1==x20, rd==x20, rs1_val == 0x0000000000000000 -// opcode: grevi ; op1:x20; dest:x20; op1val:0x0; -li x20, 0x0 -grevi x20, x20, 7 -sw x20, 8(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x20, 0x0000000000000000) - -inst_2: -// rs1==x28, rd==x7, rs1_val == 0x8000000000000000 -// opcode: grevi ; op1:x28; dest:x7; op1val:0x8000000000000000; -li x28, 0x8000000000000000 -grevi x7, x28, 7 -sw x7, 16(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x7, 0x0000000000000000) - -inst_3: -// rs1==x0, rd==x10, rs1_val == 0xC000000000000000 -// opcode: grevi ; op1:x0; dest:x10; op1val:0x0; -li x0, 0x0 -grevi x10, x0, 7 -sw x10, 24(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x10, 0x0000000000000000) - -inst_4: -// rs1==x2, rd==x26, rs1_val == 0xA000000000000000 -// opcode: grevi ; op1:x2; dest:x26; op1val:0xa000000000000000; -li x2, 0xa000000000000000 -grevi x26, x2, 7 -sw x26, 32(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x26, 0x0000000000000000) - -inst_5: -// rs1==x17, rd==x9, rs1_val == 0x9000000000000000 -// opcode: grevi ; op1:x17; dest:x9; op1val:0x9000000000000000; -li x17, 0x9000000000000000 -grevi x9, x17, 7 -sw x9, 40(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x9, 0x0000000000000000) - -inst_6: -// rs1==x7, rd==x11, rs1_val == 0x0800000000000000 -// opcode: grevi ; op1:x7; dest:x11; op1val:0x800000000000000; -li x7, 0x800000000000000 -grevi x11, x7, 7 -sw x11, 48(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x11, 0x0000000000000000) - -inst_7: -// rs1==x5, rd==x2, rs1_val == 0x2C00000000000000 -// opcode: grevi ; op1:x5; dest:x2; op1val:0x2c00000000000000; -li x5, 0x2c00000000000000 -grevi x2, x5, 7 -sw x2, 56(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x2, 0x0000000000000000) - -inst_8: -// rs1==x9, rd==x23, rs1_val == 0x9600000000000000 -// opcode: grevi ; op1:x9; dest:x23; op1val:0x9600000000000000; -li x9, 0x9600000000000000 -grevi x23, x9, 7 -sw x23, 64(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x23, 0x0000000000000000) - -inst_9: -// rs1==x31, rd==x8, rs1_val == 0x0100000000000000 -// opcode: grevi ; op1:x31; dest:x8; op1val:0x100000000000000; -li x31, 0x100000000000000 -grevi x8, x31, 7 -sw x8, 72(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x8, 0x0000000000000000) - -inst_10: -// rs1==x11, rd==x30, rs1_val == 0x9480000000000000 -// opcode: grevi ; op1:x11; dest:x30; op1val:0x9480000000000000; -li x11, 0x9480000000000000 -grevi x30, x11, 7 -sw x30, 80(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x30, 0x0000000000000000) - -inst_11: -// rs1==x15, rd==x24, rs1_val == 0xB5C0000000000000 -// opcode: grevi ; op1:x15; dest:x24; op1val:0xb5c0000000000000; -li x15, 0xb5c0000000000000 -grevi x24, x15, 7 -sw x24, 88(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x24, 0x0000000000000000) - -inst_12: -// rs1==x24, rd==x0, rs1_val == 0x07A0000000000000 -// opcode: grevi ; op1:x24; dest:x0; op1val:0x7a0000000000000; -li x24, 0x7a0000000000000 -grevi x0, x24, 7 -sw x0, 96(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x0, 0x0000000000000000) - -inst_13: -// rs1==x16, rd==x15, rs1_val == 0x77B0000000000000 -// opcode: grevi ; op1:x16; dest:x15; op1val:0x77b0000000000000; -li x16, 0x77b0000000000000 -grevi x15, x16, 7 -sw x15, 104(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x15, 0x0000000000000000) - -inst_14: -// rs1==x26, rd==x19, rs1_val == 0xF128000000000000 -// opcode: grevi ; op1:x26; dest:x19; op1val:0xf128000000000000; -li x26, 0xf128000000000000 -grevi x19, x26, 7 -sw x19, 112(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x19, 0x0000000000000000) - -inst_15: -// rs1==x18, rd==x13, rs1_val == 0xC0E4000000000000 -// opcode: grevi ; op1:x18; dest:x13; op1val:0xc0e4000000000000; -li x18, 0xc0e4000000000000 -grevi x13, x18, 7 -sw x13, 120(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x13, 0x0000000000000000) - -inst_16: -// rs1==x4, rd==x16, rs1_val == 0xDC52000000000000 -// opcode: grevi ; op1:x4; dest:x16; op1val:0xdc52000000000000; -li x4, 0xdc52000000000000 -grevi x16, x4, 7 -sw x16, 128(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x16, 0x0000000000000000) - -inst_17: -// rs1==x29, rd==x3, rs1_val == 0x0B3D000000000000 -// opcode: grevi ; op1:x29; dest:x3; op1val:0xb3d000000000000; -li x29, 0xb3d000000000000 -grevi x3, x29, 7 -sw x3, 136(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x3, 0x0000000000000000) - -inst_18: -// rs1==x14, rd==x22, rs1_val == 0xB154800000000000 -// opcode: grevi ; op1:x14; dest:x22; op1val:0xb154800000000000; -li x14, 0xb154800000000000 -grevi x22, x14, 7 -sw x22, 144(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x22, 0x0000000000000000) - -inst_19: -// rs1==x1, rd==x4, rs1_val == 0x2609C00000000000 -// opcode: grevi ; op1:x1; dest:x4; op1val:0x2609c00000000000; -li x1, 0x2609c00000000000 -grevi x4, x1, 7 -sw x4, 152(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x4, 0x0000000000000000) - -inst_20: -// rs1==x19, rd==x14, rs1_val == 0xCF5BE00000000000 -// opcode: grevi ; op1:x19; dest:x14; op1val:0xcf5be00000000000; -li x19, 0xcf5be00000000000 -grevi x14, x19, 7 -sw x14, 160(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x14, 0x0000000000000000) - -inst_21: -// rs1==x10, rd==x12, rs1_val == 0x3ED0700000000000 -// opcode: grevi ; op1:x10; dest:x12; op1val:0x3ed0700000000000; -li x10, 0x3ed0700000000000 -grevi x12, x10, 7 -sw x12, 168(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x21, x12, 0x0000000000000000) - -inst_22: -// rs1==x22, rd==x31, rs1_val == 0x6BDED80000000000 -// opcode: grevi ; op1:x22; dest:x31; op1val:0x6bded80000000000; -li x22, 0x6bded80000000000 -grevi x31, x22, 7 -sw x31, 176(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x31, 0x0000000000000000) - -inst_23: -// rs1==x3, rd==x29, rs1_val == 0x4637640000000000 -// opcode: grevi ; op1:x3; dest:x29; op1val:0x4637640000000000; -li x3, 0x4637640000000000 -grevi x29, x3, 7 -sw x29, 184(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x29, 0x0000000000000000) - -inst_24: -// rs1==x8, rd==x21, rs1_val == 0x7239560000000000 -// opcode: grevi ; op1:x8; dest:x21; op1val:0x7239560000000000; -li x8, 0x7239560000000000 -grevi x21, x8, 7 -sw x21, 192(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x21, 0x0000000000000000) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_25: -// rs1==x21, rd==x6, rs1_val == 0x8864C10000000000 -// opcode: grevi ; op1:x21; dest:x6; op1val:0x8864c10000000000; -li x21, 0x8864c10000000000 -grevi x6, x21, 7 -sw x6, 0(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x6, 0x0000000000000000) - -inst_26: -// rs1==x30, rd==x27, rs1_val == 0x68C7D88000000000 -// opcode: grevi ; op1:x30; dest:x27; op1val:0x68c7d88000000000; -li x30, 0x68c7d88000000000 -grevi x27, x30, 7 -sw x27, 8(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x27, 0x0000000000000000) - -inst_27: -// rs1==x6, rd==x18, rs1_val == 0xF52BF0C000000000 -// opcode: grevi ; op1:x6; dest:x18; op1val:0xf52bf0c000000000; -li x6, 0xf52bf0c000000000 -grevi x18, x6, 7 -sw x18, 16(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x18, 0x0000000000000000) - -inst_28: -// rs1==x23, rd==x17, rs1_val == 0xA4AFB46000000000 -// opcode: grevi ; op1:x23; dest:x17; op1val:0xa4afb46000000000; -li x23, 0xa4afb46000000000 -grevi x17, x23, 7 -sw x17, 24(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x17, 0x0000000000000000) - -inst_29: -// rs1==x27, rd==x5, rs1_val == 0x263BEA5000000000 -// opcode: grevi ; op1:x27; dest:x5; op1val:0x263bea5000000000; -li x27, 0x263bea5000000000 -grevi x5, x27, 7 -sw x5, 32(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x5, 0x0000000000000000) - -inst_30: -// rs1==x12, rd==x28, rs1_val == 0x4EE5BCE800000000 -// opcode: grevi ; op1:x12; dest:x28; op1val:0x4ee5bce800000000; -li x12, 0x4ee5bce800000000 -grevi x28, x12, 7 -sw x28, 40(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x28, 0x0000000000000000) - -inst_31: -// rs1==x25, rs1_val == 0x7C5DE60C00000000 -// opcode: grevi ; op1:x25; dest:x23; op1val:0x7c5de60c00000000; -li x25, 0x7c5de60c00000000 -grevi x23, x25, 7 -sw x23, 48(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x23, 0x0000000000000000) - -inst_32: -// rd==x25, rs1_val == 0xA005556A00000000 -// opcode: grevi ; op1:x21; dest:x25; op1val:0xa005556a00000000; -li x21, 0xa005556a00000000 -grevi x25, x21, 7 -sw x25, 56(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x25, 0x0000000000000000) - -inst_33: -// rs1_val == 0x2293EA2900000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2293ea2900000000; -li x10, 0x2293ea2900000000 -grevi x11, x10, 7 -sw x11, 64(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_34: -// rs1_val == 0x362BA25E80000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x362ba25e80000000; -li x10, 0x362ba25e80000000 -grevi x11, x10, 7 -sw x11, 72(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_35: -// rs1_val == 0x4733A71E40000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4733a71e40000000; -li x10, 0x4733a71e40000000 -grevi x11, x10, 7 -sw x11, 80(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_36: -// rs1_val == 0x1E4B791A20000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1e4b791a20000000; -li x10, 0x1e4b791a20000000 -grevi x11, x10, 7 -sw x11, 88(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_37: -// rs1_val == 0x5FCB4D02D0000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5fcb4d02d0000000; -li x10, 0x5fcb4d02d0000000 -grevi x11, x10, 7 -sw x11, 96(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_38: -// rs1_val == 0x98BA52AA88000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x98ba52aa88000000; -li x10, 0x98ba52aa88000000 -grevi x11, x10, 7 -sw x11, 104(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_39: -// rs1_val == 0xEE0923E014000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xee0923e014000000; -li x10, 0xee0923e014000000 -grevi x11, x10, 7 -sw x11, 112(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_40: -// rs1_val == 0x4BD47F4A3A000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4bd47f4a3a000000; -li x10, 0x4bd47f4a3a000000 -grevi x11, x10, 7 -sw x11, 120(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_41: -// rs1_val == 0xB30F8F95F1000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xb30f8f95f1000000; -li x10, 0xb30f8f95f1000000 -grevi x11, x10, 7 -sw x11, 128(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_42: -// rs1_val == 0x398261A02E800000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x398261a02e800000; -li x10, 0x398261a02e800000 -grevi x11, x10, 7 -sw x11, 136(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_43: -// rs1_val == 0x0AF5E9EFD7C00000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xaf5e9efd7c00000; -li x10, 0xaf5e9efd7c00000 -grevi x11, x10, 7 -sw x11, 144(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_44: -// rs1_val == 0x4BCCF299A5E00000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4bccf299a5e00000; -li x10, 0x4bccf299a5e00000 -grevi x11, x10, 7 -sw x11, 152(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_45: -// rs1_val == 0xAFF56459AFF00000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xaff56459aff00000; -li x10, 0xaff56459aff00000 -grevi x11, x10, 7 -sw x11, 160(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_46: -// rs1_val == 0xE07D7EDD48F80000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe07d7edd48f80000; -li x10, 0xe07d7edd48f80000 -grevi x11, x10, 7 -sw x11, 168(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_47: -// rs1_val == 0x26604C6677840000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x26604c6677840000; -li x10, 0x26604c6677840000 -grevi x11, x10, 7 -sw x11, 176(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_48: -// rs1_val == 0x5F67A33C644A0000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5f67a33c644a0000; -li x10, 0x5f67a33c644a0000 -grevi x11, x10, 7 -sw x11, 184(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_49: -// rs1_val == 0xCC710F0F1C6B0000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xcc710f0f1c6b0000; -li x10, 0xcc710f0f1c6b0000 -grevi x11, x10, 7 -sw x11, 192(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_50: -// rs1_val == 0x86BA73F6EC348000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x86ba73f6ec348000; -li x10, 0x86ba73f6ec348000 -grevi x11, x10, 7 -sw x11, 200(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_51: -// rs1_val == 0x51EACA62967E4000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x51eaca62967e4000; -li x10, 0x51eaca62967e4000 -grevi x11, x10, 7 -sw x11, 208(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_52: -// rs1_val == 0xD52F0E14EDC96000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd52f0e14edc96000; -li x10, 0xd52f0e14edc96000 -grevi x11, x10, 7 -sw x11, 216(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_53: -// rs1_val == 0x0DBD625329043000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xdbd625329043000; -li x10, 0xdbd625329043000 -grevi x11, x10, 7 -sw x11, 224(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_54: -// rs1_val == 0x6DCF0D4A62B5D800 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6dcf0d4a62b5d800; -li x10, 0x6dcf0d4a62b5d800 -grevi x11, x10, 7 -sw x11, 232(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_55: -// rs1_val == 0xB4B31E3B962C1C00 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xb4b31e3b962c1c00; -li x10, 0xb4b31e3b962c1c00 -grevi x11, x10, 7 -sw x11, 240(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_56: -// rs1_val == 0x8DCD6133F22D6600 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8dcd6133f22d6600; -li x10, 0x8dcd6133f22d6600 -grevi x11, x10, 7 -sw x11, 248(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_57: -// rs1_val == 0x45AF1CB0CAAE1D00 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x45af1cb0caae1d00; -li x10, 0x45af1cb0caae1d00 -grevi x11, x10, 7 -sw x11, 256(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_58: -// rs1_val == 0xE86EB367B97C2C80 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe86eb367b97c2c80; -li x10, 0xe86eb367b97c2c80 -grevi x11, x10, 7 -sw x11, 264(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_59: -// rs1_val == 0x2D9BE3118A013740 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2d9be3118a013740; -li x10, 0x2d9be3118a013740 -grevi x11, x10, 7 -sw x11, 272(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_60: -// rs1_val == 0x70F53C4BFDFD2260 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x70f53c4bfdfd2260; -li x10, 0x70f53c4bfdfd2260 -grevi x11, x10, 7 -sw x11, 280(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_61: -// rs1_val == 0xF22A27B02C7BFF30 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf22a27b02c7bff30; -li x10, 0xf22a27b02c7bff30 -grevi x11, x10, 7 -sw x11, 288(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_62: -// rs1_val == 0x0D99CFF9240BA738 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd99cff9240ba738; -li x10, 0xd99cff9240ba738 -grevi x11, x10, 7 -sw x11, 296(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_63: -// rs1_val == 0x662E236EAA6781EC -// opcode: grevi ; op1:x10; dest:x11; op1val:0x662e236eaa6781ec; -li x10, 0x662e236eaa6781ec -grevi x11, x10, 7 -sw x11, 304(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_64: -// rs1_val == 0x0EEA3A9950A03C5A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xeea3a9950a03c5a; -li x10, 0xeea3a9950a03c5a -grevi x11, x10, 7 -sw x11, 312(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_65: -// rs1_val == 0x44DCDA6A797D76DF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x44dcda6a797d76df; -li x10, 0x44dcda6a797d76df -grevi x11, x10, 7 -sw x11, 320(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_66: -// rs1_val == 0x39935C590B0FB71C -// opcode: grevi ; op1:x10; dest:x11; op1val:0x39935c590b0fb71c; -li x10, 0x39935c590b0fb71c -grevi x11, x10, 7 -sw x11, 328(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_67: -// rs1_val == 0xDE14BFF2EED7A249 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xde14bff2eed7a249; -li x10, 0xde14bff2eed7a249 -grevi x11, x10, 7 -sw x11, 336(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_68: -// rs1_val == 0x6C9FEE24B808A67B -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6c9fee24b808a67b; -li x10, 0x6c9fee24b808a67b -grevi x11, x10, 7 -sw x11, 344(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_69: -// rs1_val == 0x008EEF6A63C2A497 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8eef6a63c2a497; -li x10, 0x8eef6a63c2a497 -grevi x11, x10, 7 -sw x11, 352(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_70: -// rs1_val == 0x76B1FD3DF423752F -// opcode: grevi ; op1:x10; dest:x11; op1val:0x76b1fd3df423752f; -li x10, 0x76b1fd3df423752f -grevi x11, x10, 7 -sw x11, 360(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_71: -// rs1_val == 0xA10BC6CCA6B7201F -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa10bc6cca6b7201f; -li x10, 0xa10bc6cca6b7201f -grevi x11, x10, 7 -sw x11, 368(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_72: -// rs1_val == 0x6E2D704512C233BF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6e2d704512c233bf; -li x10, 0x6e2d704512c233bf -grevi x11, x10, 7 -sw x11, 376(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_73: -// rs1_val == 0x218FDC135DCF017F -// opcode: grevi ; op1:x10; dest:x11; op1val:0x218fdc135dcf017f; -li x10, 0x218fdc135dcf017f -grevi x11, x10, 7 -sw x11, 384(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_74: -// rs1_val == 0xB3988B5231C8B6FF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xb3988b5231c8b6ff; -li x10, 0xb3988b5231c8b6ff -grevi x11, x10, 7 -sw x11, 392(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_75: -// rs1_val == 0xE2F99B2A3C5569FF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe2f99b2a3c5569ff; -li x10, 0xe2f99b2a3c5569ff -grevi x11, x10, 7 -sw x11, 400(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_76: -// rs1_val == 0x90BB34803C4643FF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x90bb34803c4643ff; -li x10, 0x90bb34803c4643ff -grevi x11, x10, 7 -sw x11, 408(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_77: -// rs1_val == 0x8CCE89147DA8D7FF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8cce89147da8d7ff; -li x10, 0x8cce89147da8d7ff -grevi x11, x10, 7 -sw x11, 416(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_78: -// rs1_val == 0x93C38B33217ACFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x93c38b33217acfff; -li x10, 0x93c38b33217acfff -grevi x11, x10, 7 -sw x11, 424(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_79: -// rs1_val == 0xE3A707D665505FFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe3a707d665505fff; -li x10, 0xe3a707d665505fff -grevi x11, x10, 7 -sw x11, 432(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_80: -// rs1_val == 0x47B7097B9B01BFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x47b7097b9b01bfff; -li x10, 0x47b7097b9b01bfff -grevi x11, x10, 7 -sw x11, 440(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_81: -// rs1_val == 0x4302DA54759F7FFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4302da54759f7fff; -li x10, 0x4302da54759f7fff -grevi x11, x10, 7 -sw x11, 448(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_82: -// rs1_val == 0x5F013C8240D8FFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5f013c8240d8ffff; -li x10, 0x5f013c8240d8ffff -grevi x11, x10, 7 -sw x11, 456(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_83: -// rs1_val == 0x5B33199985CDFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5b33199985cdffff; -li x10, 0x5b33199985cdffff -grevi x11, x10, 7 -sw x11, 464(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_84: -// rs1_val == 0x2DEDF12233DBFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2dedf12233dbffff; -li x10, 0x2dedf12233dbffff -grevi x11, x10, 7 -sw x11, 472(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_85: -// rs1_val == 0x4B1634E12D37FFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4b1634e12d37ffff; -li x10, 0x4b1634e12d37ffff -grevi x11, x10, 7 -sw x11, 480(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_86: -// rs1_val == 0x8935B826718FFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8935b826718fffff; -li x10, 0x8935b826718fffff -grevi x11, x10, 7 -sw x11, 488(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_87: -// rs1_val == 0xBA9C678AAD5FFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xba9c678aad5fffff; -li x10, 0xba9c678aad5fffff -grevi x11, x10, 7 -sw x11, 496(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_88: -// rs1_val == 0x70BCB8E322BFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x70bcb8e322bfffff; -li x10, 0x70bcb8e322bfffff -grevi x11, x10, 7 -sw x11, 504(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_89: -// rs1_val == 0xFCB627AFBF7FFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfcb627afbf7fffff; -li x10, 0xfcb627afbf7fffff -grevi x11, x10, 7 -sw x11, 512(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_90: -// rs1_val == 0x9C76DF528CFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x9c76df528cffffff; -li x10, 0x9c76df528cffffff -grevi x11, x10, 7 -sw x11, 520(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_91: -// rs1_val == 0x72C8DD98B1FFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x72c8dd98b1ffffff; -li x10, 0x72c8dd98b1ffffff -grevi x11, x10, 7 -sw x11, 528(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_92: -// rs1_val == 0x434CBF26FBFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x434cbf26fbffffff; -li x10, 0x434cbf26fbffffff -grevi x11, x10, 7 -sw x11, 536(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_93: -// rs1_val == 0xA23FB787C7FFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa23fb787c7ffffff; -li x10, 0xa23fb787c7ffffff -grevi x11, x10, 7 -sw x11, 544(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_94: -// rs1_val == 0x983CA1BECFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x983ca1becfffffff; -li x10, 0x983ca1becfffffff -grevi x11, x10, 7 -sw x11, 552(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_95: -// rs1_val == 0x589218431FFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x589218431fffffff; -li x10, 0x589218431fffffff -grevi x11, x10, 7 -sw x11, 560(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_96: -// rs1_val == 0xA7BE99AE3FFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa7be99ae3fffffff; -li x10, 0xa7be99ae3fffffff -grevi x11, x10, 7 -sw x11, 568(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_97: -// rs1_val == 0xA37E37287FFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa37e37287fffffff; -li x10, 0xa37e37287fffffff -grevi x11, x10, 7 -sw x11, 576(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_98: -// rs1_val == 0xE37D3738FFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe37d3738ffffffff; -li x10, 0xe37d3738ffffffff -grevi x11, x10, 7 -sw x11, 584(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_99: -// rs1_val == 0xABB4DA1DFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xabb4da1dffffffff; -li x10, 0xabb4da1dffffffff -grevi x11, x10, 7 -sw x11, 592(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_100: -// rs1_val == 0xFB3E7193FFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfb3e7193ffffffff; -li x10, 0xfb3e7193ffffffff -grevi x11, x10, 7 -sw x11, 600(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_101: -// rs1_val == 0x8CB950A7FFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8cb950a7ffffffff; -li x10, 0x8cb950a7ffffffff -grevi x11, x10, 7 -sw x11, 608(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_102: -// rs1_val == 0xE5F31BEFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe5f31befffffffff; -li x10, 0xe5f31befffffffff -grevi x11, x10, 7 -sw x11, 616(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_103: -// rs1_val == 0x3BE93F9FFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x3be93f9fffffffff; -li x10, 0x3be93f9fffffffff -grevi x11, x10, 7 -sw x11, 624(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_104: -// rs1_val == 0x5B1196BFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5b1196bfffffffff; -li x10, 0x5b1196bfffffffff -grevi x11, x10, 7 -sw x11, 632(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_105: -// rs1_val == 0xE084097FFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe084097fffffffff; -li x10, 0xe084097fffffffff -grevi x11, x10, 7 -sw x11, 640(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_106: -// rs1_val == 0x23B6BCFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x23b6bcffffffffff; -li x10, 0x23b6bcffffffffff -grevi x11, x10, 7 -sw x11, 648(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_107: -// rs1_val == 0x6FCFD5FFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6fcfd5ffffffffff; -li x10, 0x6fcfd5ffffffffff -grevi x11, x10, 7 -sw x11, 656(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_108: -// rs1_val == 0x73790BFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x73790bffffffffff; -li x10, 0x73790bffffffffff -grevi x11, x10, 7 -sw x11, 664(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_109: -// rs1_val == 0x432FF7FFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x432ff7ffffffffff; -li x10, 0x432ff7ffffffffff -grevi x11, x10, 7 -sw x11, 672(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_110: -// rs1_val == 0xE36B0FFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe36b0fffffffffff; -li x10, 0xe36b0fffffffffff -grevi x11, x10, 7 -sw x11, 680(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_111: -// rs1_val == 0xCB4ADFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xcb4adfffffffffff; -li x10, 0xcb4adfffffffffff -grevi x11, x10, 7 -sw x11, 688(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_112: -// rs1_val == 0x15BF3FFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x15bf3fffffffffff; -li x10, 0x15bf3fffffffffff -grevi x11, x10, 7 -sw x11, 696(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_113: -// rs1_val == 0xD59A7FFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd59a7fffffffffff; -li x10, 0xd59a7fffffffffff -grevi x11, x10, 7 -sw x11, 704(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_114: -// rs1_val == 0xFE32FFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfe32ffffffffffff; -li x10, 0xfe32ffffffffffff -grevi x11, x10, 7 -sw x11, 712(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_115: -// rs1_val == 0xFF7DFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xff7dffffffffffff; -li x10, 0xff7dffffffffffff -grevi x11, x10, 7 -sw x11, 720(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_116: -// rs1_val == 0xAF23FFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xaf23ffffffffffff; -li x10, 0xaf23ffffffffffff -grevi x11, x10, 7 -sw x11, 728(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_117: -// rs1_val == 0x2057FFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2057ffffffffffff; -li x10, 0x2057ffffffffffff -grevi x11, x10, 7 -sw x11, 736(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_118: -// rs1_val == 0x07AFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x7afffffffffffff; -li x10, 0x7afffffffffffff -grevi x11, x10, 7 -sw x11, 744(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_119: -// rs1_val == 0xBE9FFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xbe9fffffffffffff; -li x10, 0xbe9fffffffffffff -grevi x11, x10, 7 -sw x11, 752(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_120: -// rs1_val == 0xD8BFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd8bfffffffffffff; -li x10, 0xd8bfffffffffffff -grevi x11, x10, 7 -sw x11, 760(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_121: -// rs1_val == 0x127FFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x127fffffffffffff; -li x10, 0x127fffffffffffff -grevi x11, x10, 7 -sw x11, 768(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_122: -// rs1_val == 0x2EFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2effffffffffffff; -li x10, 0x2effffffffffffff -grevi x11, x10, 7 -sw x11, 776(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_123: -// rs1_val == 0xA5FFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa5ffffffffffffff; -li x10, 0xa5ffffffffffffff -grevi x11, x10, 7 -sw x11, 784(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_124: -// rs1_val == 0xDBFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xdbffffffffffffff; -li x10, 0xdbffffffffffffff -grevi x11, x10, 7 -sw x11, 792(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_125: -// rs1_val == 0xA7FFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa7ffffffffffffff; -li x10, 0xa7ffffffffffffff -grevi x11, x10, 7 -sw x11, 800(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_126: -// rs1_val == 0xAFFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xafffffffffffffff; -li x10, 0xafffffffffffffff -grevi x11, x10, 7 -sw x11, 808(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_127: -// rs1_val == 0xDFFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xdfffffffffffffff; -li x10, 0xdfffffffffffffff -grevi x11, x10, 7 -sw x11, 816(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_128: -// rs1_val == 0xBFFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xbfffffffffffffff; -li x10, 0xbfffffffffffffff -grevi x11, x10, 7 -sw x11, 824(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_129: -// rs1_val == 0x7FFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x7fffffffffffffff; -li x10, 0x7fffffffffffffff -grevi x11, x10, 7 -sw x11, 832(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_130: -// rs1_val == 0xA274EA181E34B3F2 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa274ea181e34b3f2; -li x10, 0xa274ea181e34b3f2 -grevi x11, x10, 7 -sw x11, 840(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_131: -// rs1_val == 0x6C3FBF4DC20EF165 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6c3fbf4dc20ef165; -li x10, 0x6c3fbf4dc20ef165 -grevi x11, x10, 7 -sw x11, 848(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_132: -// rs1_val == 0x28F918D8F6CDB2F9 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x28f918d8f6cdb2f9; -li x10, 0x28f918d8f6cdb2f9 -grevi x11, x10, 7 -sw x11, 856(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_133: -// rs1_val == 0x13E0D681552454F2 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x13e0d681552454f2; -li x10, 0x13e0d681552454f2 -grevi x11, x10, 7 -sw x11, 864(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_134: -// rs1_val == 0x0FAB6F3E164F1514 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfab6f3e164f1514; -li x10, 0xfab6f3e164f1514 -grevi x11, x10, 7 -sw x11, 872(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_135: -// rs1_val == 0x063E9BED45100359 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x63e9bed45100359; -li x10, 0x63e9bed45100359 -grevi x11, x10, 7 -sw x11, 880(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_136: -// rs1_val == 0x02C6D8F2C74C7CD0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2c6d8f2c74c7cd0; -li x10, 0x2c6d8f2c74c7cd0 -grevi x11, x10, 7 -sw x11, 888(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_137: -// rs1_val == 0x01D03FDDA123F502 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1d03fdda123f502; -li x10, 0x1d03fdda123f502 -grevi x11, x10, 7 -sw x11, 896(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_138: -// rs1_val == 0x00F5380E12B2A415 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf5380e12b2a415; -li x10, 0xf5380e12b2a415 -grevi x11, x10, 7 -sw x11, 904(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_139: -// rs1_val == 0x0077730F65BD9ACC -// opcode: grevi ; op1:x10; dest:x11; op1val:0x77730f65bd9acc; -li x10, 0x77730f65bd9acc -grevi x11, x10, 7 -sw x11, 912(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_140: -// rs1_val == 0x003A6A1DFAF8CDAA -// opcode: grevi ; op1:x10; dest:x11; op1val:0x3a6a1dfaf8cdaa; -li x10, 0x3a6a1dfaf8cdaa -grevi x11, x10, 7 -sw x11, 920(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_141: -// rs1_val == 0x001E5B4578511609 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1e5b4578511609; -li x10, 0x1e5b4578511609 -grevi x11, x10, 7 -sw x11, 928(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_142: -// rs1_val == 0x000D0372E90794E0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd0372e90794e0; -li x10, 0xd0372e90794e0 -grevi x11, x10, 7 -sw x11, 936(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_143: -// rs1_val == 0x0006A24135B00A55 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6a24135b00a55; -li x10, 0x6a24135b00a55 -grevi x11, x10, 7 -sw x11, 944(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_144: -// rs1_val == 0x00020BDF0023B683 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x20bdf0023b683; -li x10, 0x20bdf0023b683 -grevi x11, x10, 7 -sw x11, 952(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_145: -// rs1_val == 0x000170EED8E94B16 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x170eed8e94b16; -li x10, 0x170eed8e94b16 -grevi x11, x10, 7 -sw x11, 960(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_146: -// rs1_val == 0x0000EF05F542441E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xef05f542441e; -li x10, 0xef05f542441e -grevi x11, x10, 7 -sw x11, 968(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_147: -// rs1_val == 0x00004AAA62F28D1B -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4aaa62f28d1b; -li x10, 0x4aaa62f28d1b -grevi x11, x10, 7 -sw x11, 976(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_148: -// rs1_val == 0x00003CB3D8B9B45D -// opcode: grevi ; op1:x10; dest:x11; op1val:0x3cb3d8b9b45d; -li x10, 0x3cb3d8b9b45d -grevi x11, x10, 7 -sw x11, 984(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_149: -// rs1_val == 0x00001BE466809A12 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1be466809a12; -li x10, 0x1be466809a12 -grevi x11, x10, 7 -sw x11, 992(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_150: -// rs1_val == 0x0000092EC42B7171 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x92ec42b7171; -li x10, 0x92ec42b7171 -grevi x11, x10, 7 -sw x11, 1000(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_151: -// rs1_val == 0x0000074F11FA2AC1 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x74f11fa2ac1; -li x10, 0x74f11fa2ac1 -grevi x11, x10, 7 -sw x11, 1008(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_152: -// rs1_val == 0x0000025A49FE85B1 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x25a49fe85b1; -li x10, 0x25a49fe85b1 -grevi x11, x10, 7 -sw x11, 1016(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_153: -// rs1_val == 0x0000017A3ACB6267 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x17a3acb6267; -li x10, 0x17a3acb6267 -grevi x11, x10, 7 -sw x11, 1024(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_154: -// rs1_val == 0x000000C250B601FD -// opcode: grevi ; op1:x10; dest:x11; op1val:0xc250b601fd; -li x10, 0xc250b601fd -grevi x11, x10, 7 -sw x11, 1032(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_155: -// rs1_val == 0x00000067B53302FD -// opcode: grevi ; op1:x10; dest:x11; op1val:0x67b53302fd; -li x10, 0x67b53302fd -grevi x11, x10, 7 -sw x11, 1040(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_156: -// rs1_val == 0x0000002AD7185DDB -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2ad7185ddb; -li x10, 0x2ad7185ddb -grevi x11, x10, 7 -sw x11, 1048(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_157: -// rs1_val == 0x0000001FFEE5A5B3 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1ffee5a5b3; -li x10, 0x1ffee5a5b3 -grevi x11, x10, 7 -sw x11, 1056(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_158: -// rs1_val == 0x0000000AFF66658A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xaff66658a; -li x10, 0xaff66658a -grevi x11, x10, 7 -sw x11, 1064(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_159: -// rs1_val == 0x000000064735AF1D -// opcode: grevi ; op1:x10; dest:x11; op1val:0x64735af1d; -li x10, 0x64735af1d -grevi x11, x10, 7 -sw x11, 1072(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_160: -// rs1_val == 0x0000000275139238 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x275139238; -li x10, 0x275139238 -grevi x11, x10, 7 -sw x11, 1080(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_161: -// rs1_val == 0x00000001A9964AF0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1a9964af0; -li x10, 0x1a9964af0 -grevi x11, x10, 7 -sw x11, 1088(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_162: -// rs1_val == 0x00000000FFF1222A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfff1222a; -li x10, 0xfff1222a -grevi x11, x10, 7 -sw x11, 1096(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_163: -// rs1_val == 0x0000000063EA284E -// opcode: grevi ; op1:x10; dest:x11; op1val:0x63ea284e; -li x10, 0x63ea284e -grevi x11, x10, 7 -sw x11, 1104(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_164: -// rs1_val == 0x0000000024E55161 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x24e55161; -li x10, 0x24e55161 -grevi x11, x10, 7 -sw x11, 1112(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_165: -// rs1_val == 0x0000000017A94DEE -// opcode: grevi ; op1:x10; dest:x11; op1val:0x17a94dee; -li x10, 0x17a94dee -grevi x11, x10, 7 -sw x11, 1120(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_166: -// rs1_val == 0x0000000008C6A5B9 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8c6a5b9; -li x10, 0x8c6a5b9 -grevi x11, x10, 7 -sw x11, 1128(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_167: -// rs1_val == 0x0000000006C468AF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6c468af; -li x10, 0x6c468af -grevi x11, x10, 7 -sw x11, 1136(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_168: -// rs1_val == 0x0000000003B37E15 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x3b37e15; -li x10, 0x3b37e15 -grevi x11, x10, 7 -sw x11, 1144(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_169: -// rs1_val == 0x0000000001EFFA39 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1effa39; -li x10, 0x1effa39 -grevi x11, x10, 7 -sw x11, 1152(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_170: -// rs1_val == 0x0000000000B17D0C -// opcode: grevi ; op1:x10; dest:x11; op1val:0xb17d0c; -li x10, 0xb17d0c -grevi x11, x10, 7 -sw x11, 1160(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_171: -// rs1_val == 0x00000000007EBDD4 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x7ebdd4; -li x10, 0x7ebdd4 -grevi x11, x10, 7 -sw x11, 1168(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_172: -// rs1_val == 0x000000000023FEB7 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x23feb7; -li x10, 0x23feb7 -grevi x11, x10, 7 -sw x11, 1176(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_173: -// rs1_val == 0x0000000000171685 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x171685; -li x10, 0x171685 -grevi x11, x10, 7 -sw x11, 1184(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_174: -// rs1_val == 0x0000000000098868 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x98868; -li x10, 0x98868 -grevi x11, x10, 7 -sw x11, 1192(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_175: -// rs1_val == 0x000000000005847F -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5847f; -li x10, 0x5847f -grevi x11, x10, 7 -sw x11, 1200(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_176: -// rs1_val == 0x0000000000037797 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x37797; -li x10, 0x37797 -grevi x11, x10, 7 -sw x11, 1208(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_177: -// rs1_val == 0x0000000000015DB9 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x15db9; -li x10, 0x15db9 -grevi x11, x10, 7 -sw x11, 1216(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_178: -// rs1_val == 0x0000000000008735 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8735; -li x10, 0x8735 -grevi x11, x10, 7 -sw x11, 1224(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_179: -// rs1_val == 0x0000000000006B68 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6b68; -li x10, 0x6b68 -grevi x11, x10, 7 -sw x11, 1232(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_180: -// rs1_val == 0x0000000000002F28 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2f28; -li x10, 0x2f28 -grevi x11, x10, 7 -sw x11, 1240(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_181: -// rs1_val == 0x0000000000001D40 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1d40; -li x10, 0x1d40 -grevi x11, x10, 7 -sw x11, 1248(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_182: -// rs1_val == 0x0000000000000F26 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf26; -li x10, 0xf26 -grevi x11, x10, 7 -sw x11, 1256(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_183: -// rs1_val == 0x0000000000000482 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x482; -li x10, 0x482 -grevi x11, x10, 7 -sw x11, 1264(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_184: -// rs1_val == 0x0000000000000394 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x394; -li x10, 0x394 -grevi x11, x10, 7 -sw x11, 1272(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_185: -// rs1_val == 0x0000000000000154 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x154; -li x10, 0x154 -grevi x11, x10, 7 -sw x11, 1280(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_186: -// rs1_val == 0x00000000000000FA -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfa; -li x10, 0xfa -grevi x11, x10, 7 -sw x11, 1288(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_187: -// rs1_val == 0x0000000000000043 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x43; -li x10, 0x43 -grevi x11, x10, 7 -sw x11, 1296(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_188: -// rs1_val == 0x0000000000000039 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x39; -li x10, 0x39 -grevi x11, x10, 7 -sw x11, 1304(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_189: -// rs1_val == 0x0000000000000013 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x13; -li x10, 0x13 -grevi x11, x10, 7 -sw x11, 1312(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_190: -// rs1_val == 0x000000000000000E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe; -li x10, 0xe -grevi x11, x10, 7 -sw x11, 1320(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_191: -// rs1_val == 0x0000000000000006 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6; -li x10, 0x6 -grevi x11, x10, 7 -sw x11, 1328(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_192: -// rs1_val == 0x0000000000000002 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2; -li x10, 0x2 -grevi x11, x10, 7 -sw x11, 1336(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_193: -// rs1_val == 0x0000000000000001 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1; -li x10, 0x1 -grevi x11, x10, 7 -sw x11, 1344(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_194: -// rs1_val == 0x5D68F2012DAF94C2 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5d68f2012daf94c2; -li x10, 0x5d68f2012daf94c2 -grevi x11, x10, 7 -sw x11, 1352(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_195: -// rs1_val == 0x85986ADB9E044707 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x85986adb9e044707; -li x10, 0x85986adb9e044707 -grevi x11, x10, 7 -sw x11, 1360(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_196: -// rs1_val == 0xC4BD48204652F62E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xc4bd48204652f62e; -li x10, 0xc4bd48204652f62e -grevi x11, x10, 7 -sw x11, 1368(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_197: -// rs1_val == 0xEE4839A13ED7E667 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xee4839a13ed7e667; -li x10, 0xee4839a13ed7e667 -grevi x11, x10, 7 -sw x11, 1376(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_198: -// rs1_val == 0xF213516D6A013381 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf213516d6a013381; -li x10, 0xf213516d6a013381 -grevi x11, x10, 7 -sw x11, 1384(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_199: -// rs1_val == 0xF871CFDE6EE84271 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf871cfde6ee84271; -li x10, 0xf871cfde6ee84271 -grevi x11, x10, 7 -sw x11, 1392(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_200: -// rs1_val == 0xFD432A19F29C11AE -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfd432a19f29c11ae; -li x10, 0xfd432a19f29c11ae -grevi x11, x10, 7 -sw x11, 1400(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_201: -// rs1_val == 0xFEE0888FCEB506F7 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfee0888fceb506f7; -li x10, 0xfee0888fceb506f7 -grevi x11, x10, 7 -sw x11, 1408(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_202: -// rs1_val == 0xFF605EE62A96D06B -// opcode: grevi ; op1:x10; dest:x11; op1val:0xff605ee62a96d06b; -li x10, 0xff605ee62a96d06b -grevi x11, x10, 7 -sw x11, 1416(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_203: -// rs1_val == 0xFF89799918BB28EA -// opcode: grevi ; op1:x10; dest:x11; op1val:0xff89799918bb28ea; -li x10, 0xff89799918bb28ea -grevi x11, x10, 7 -sw x11, 1424(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_204: -// rs1_val == 0xFFCC6148C6880008 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffcc6148c6880008; -li x10, 0xffcc6148c6880008 -grevi x11, x10, 7 -sw x11, 1432(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_205: -// rs1_val == 0xFFEB5EA11CE80B13 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffeb5ea11ce80b13; -li x10, 0xffeb5ea11ce80b13 -grevi x11, x10, 7 -sw x11, 1440(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_206: -// rs1_val == 0xFFF039F699EF1858 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfff039f699ef1858; -li x10, 0xfff039f699ef1858 -grevi x11, x10, 7 -sw x11, 1448(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_207: -// rs1_val == 0xFFFBEA615FC9EBA5 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffbea615fc9eba5; -li x10, 0xfffbea615fc9eba5 -grevi x11, x10, 7 -sw x11, 1456(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_208: -// rs1_val == 0xFFFC8D619136580C -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffc8d619136580c; -li x10, 0xfffc8d619136580c -grevi x11, x10, 7 -sw x11, 1464(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_209: -// rs1_val == 0xFFFE46462651F638 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffe46462651f638; -li x10, 0xfffe46462651f638 -grevi x11, x10, 7 -sw x11, 1472(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_210: -// rs1_val == 0xFFFF1C79DAE98555 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffff1c79dae98555; -li x10, 0xffff1c79dae98555 -grevi x11, x10, 7 -sw x11, 1480(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_211: -// rs1_val == 0xFFFF8E6F889263CF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffff8e6f889263cf; -li x10, 0xffff8e6f889263cf -grevi x11, x10, 7 -sw x11, 1488(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_212: -// rs1_val == 0xFFFFDEE2A86B8A6F -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffdee2a86b8a6f; -li x10, 0xffffdee2a86b8a6f -grevi x11, x10, 7 -sw x11, 1496(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_213: -// rs1_val == 0xFFFFE2AFD167533B -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffe2afd167533b; -li x10, 0xffffe2afd167533b -grevi x11, x10, 7 -sw x11, 1504(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_214: -// rs1_val == 0xFFFFF1A07F216823 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffff1a07f216823; -li x10, 0xfffff1a07f216823 -grevi x11, x10, 7 -sw x11, 1512(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_215: -// rs1_val == 0xFFFFF9090973E89D -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffff9090973e89d; -li x10, 0xfffff9090973e89d -grevi x11, x10, 7 -sw x11, 1520(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_216: -// rs1_val == 0xFFFFFC3769B1DCC0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffc3769b1dcc0; -li x10, 0xfffffc3769b1dcc0 -grevi x11, x10, 7 -sw x11, 1528(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_217: -// rs1_val == 0xFFFFFEB8396BA83B -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffeb8396ba83b; -li x10, 0xfffffeb8396ba83b -grevi x11, x10, 7 -sw x11, 1536(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_218: -// rs1_val == 0xFFFFFF4F280B11FE -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffff4f280b11fe; -li x10, 0xffffff4f280b11fe -grevi x11, x10, 7 -sw x11, 1544(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_219: -// rs1_val == 0xFFFFFF85D814D576 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffff85d814d576; -li x10, 0xffffff85d814d576 -grevi x11, x10, 7 -sw x11, 1552(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_220: -// rs1_val == 0xFFFFFFCC95A4D258 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffcc95a4d258; -li x10, 0xffffffcc95a4d258 -grevi x11, x10, 7 -sw x11, 1560(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_221: -// rs1_val == 0xFFFFFFE610A37559 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffe610a37559; -li x10, 0xffffffe610a37559 -grevi x11, x10, 7 -sw x11, 1568(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_222: -// rs1_val == 0xFFFFFFF66F9FB998 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffff66f9fb998; -li x10, 0xfffffff66f9fb998 -grevi x11, x10, 7 -sw x11, 1576(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_223: -// rs1_val == 0xFFFFFFFB8C8A18B3 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffb8c8a18b3; -li x10, 0xfffffffb8c8a18b3 -grevi x11, x10, 7 -sw x11, 1584(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_224: -// rs1_val == 0xFFFFFFFC507A2561 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffc507a2561; -li x10, 0xfffffffc507a2561 -grevi x11, x10, 7 -sw x11, 1592(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_225: -// rs1_val == 0xFFFFFFFEE5F0307F -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffee5f0307f; -li x10, 0xfffffffee5f0307f -grevi x11, x10, 7 -sw x11, 1600(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_226: -// rs1_val == 0xFFFFFFFF5DBBB7CF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffff5dbbb7cf; -li x10, 0xffffffff5dbbb7cf -grevi x11, x10, 7 -sw x11, 1608(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_227: -// rs1_val == 0xFFFFFFFF8D884510 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffff8d884510; -li x10, 0xffffffff8d884510 -grevi x11, x10, 7 -sw x11, 1616(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_228: -// rs1_val == 0xFFFFFFFFD0E58651 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffd0e58651; -li x10, 0xffffffffd0e58651 -grevi x11, x10, 7 -sw x11, 1624(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_229: -// rs1_val == 0xFFFFFFFFE24C0602 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffe24c0602; -li x10, 0xffffffffe24c0602 -grevi x11, x10, 7 -sw x11, 1632(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_230: -// rs1_val == 0xFFFFFFFFF109C208 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffff109c208; -li x10, 0xfffffffff109c208 -grevi x11, x10, 7 -sw x11, 1640(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_231: -// rs1_val == 0xFFFFFFFFFB54AA23 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffb54aa23; -li x10, 0xfffffffffb54aa23 -grevi x11, x10, 7 -sw x11, 1648(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_232: -// rs1_val == 0xFFFFFFFFFD02FC91 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffd02fc91; -li x10, 0xfffffffffd02fc91 -grevi x11, x10, 7 -sw x11, 1656(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_233: -// rs1_val == 0xFFFFFFFFFE29D2A0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffe29d2a0; -li x10, 0xfffffffffe29d2a0 -grevi x11, x10, 7 -sw x11, 1664(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_234: -// rs1_val == 0xFFFFFFFFFF7F6F5E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffff7f6f5e; -li x10, 0xffffffffff7f6f5e -grevi x11, x10, 7 -sw x11, 1672(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_235: -// rs1_val == 0xFFFFFFFFFF9104D1 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffff9104d1; -li x10, 0xffffffffff9104d1 -grevi x11, x10, 7 -sw x11, 1680(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_236: -// rs1_val == 0xFFFFFFFFFFCADB0B -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffcadb0b; -li x10, 0xffffffffffcadb0b -grevi x11, x10, 7 -sw x11, 1688(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_237: -// rs1_val == 0xFFFFFFFFFFEEC2B4 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffeec2b4; -li x10, 0xffffffffffeec2b4 -grevi x11, x10, 7 -sw x11, 1696(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_238: -// rs1_val == 0xFFFFFFFFFFF49E5F -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffff49e5f; -li x10, 0xfffffffffff49e5f -grevi x11, x10, 7 -sw x11, 1704(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_239: -// rs1_val == 0xFFFFFFFFFFFAE2EE -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffae2ee; -li x10, 0xfffffffffffae2ee -grevi x11, x10, 7 -sw x11, 1712(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_240: -// rs1_val == 0xFFFFFFFFFFFC7F0E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffc7f0e; -li x10, 0xfffffffffffc7f0e -grevi x11, x10, 7 -sw x11, 1720(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_241: -// rs1_val == 0xFFFFFFFFFFFE3410 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffe3410; -li x10, 0xfffffffffffe3410 -grevi x11, x10, 7 -sw x11, 1728(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_242: -// rs1_val == 0xFFFFFFFFFFFF6E0A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffff6e0a; -li x10, 0xffffffffffff6e0a -grevi x11, x10, 7 -sw x11, 1736(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_243: -// rs1_val == 0xFFFFFFFFFFFFB32A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffb32a; -li x10, 0xffffffffffffb32a -grevi x11, x10, 7 -sw x11, 1744(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_244: -// rs1_val == 0xFFFFFFFFFFFFC384 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffc384; -li x10, 0xffffffffffffc384 -grevi x11, x10, 7 -sw x11, 1752(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_245: -// rs1_val == 0xFFFFFFFFFFFFEC1D -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffec1d; -li x10, 0xffffffffffffec1d -grevi x11, x10, 7 -sw x11, 1760(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_246: -// rs1_val == 0xFFFFFFFFFFFFF3F0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffff3f0; -li x10, 0xfffffffffffff3f0 -grevi x11, x10, 7 -sw x11, 1768(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_247: -// rs1_val == 0xFFFFFFFFFFFFFB13 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffb13; -li x10, 0xfffffffffffffb13 -grevi x11, x10, 7 -sw x11, 1776(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_248: -// rs1_val == 0xFFFFFFFFFFFFFC31 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffc31; -li x10, 0xfffffffffffffc31 -grevi x11, x10, 7 -sw x11, 1784(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_249: -// rs1_val == 0xFFFFFFFFFFFFFE44 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffe44; -li x10, 0xfffffffffffffe44 -grevi x11, x10, 7 -sw x11, 1792(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_250: -// rs1_val == 0xFFFFFFFFFFFFFF58 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffff58; -li x10, 0xffffffffffffff58 -grevi x11, x10, 7 -sw x11, 1800(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_251: -// rs1_val == 0xFFFFFFFFFFFFFFB0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffffb0; -li x10, 0xffffffffffffffb0 -grevi x11, x10, 7 -sw x11, 1808(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_252: -// rs1_val == 0xFFFFFFFFFFFFFFC6 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffffc6; -li x10, 0xffffffffffffffc6 -grevi x11, x10, 7 -sw x11, 1816(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_253: -// rs1_val == 0xFFFFFFFFFFFFFFE8 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffffe8; -li x10, 0xffffffffffffffe8 -grevi x11, x10, 7 -sw x11, 1824(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_254: -// rs1_val == 0xFFFFFFFFFFFFFFF2 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffff2; -li x10, 0xfffffffffffffff2 -grevi x11, x10, 7 -sw x11, 1832(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_255: -// rs1_val == 0xFFFFFFFFFFFFFFF9 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffff9; -li x10, 0xfffffffffffffff9 -grevi x11, x10, 7 -sw x11, 1840(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_256: -// rs1_val == 0xFFFFFFFFFFFFFFFD -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; -li x10, 0xfffffffffffffffd -grevi x11, x10, 7 -sw x11, 1848(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_257: -// rs1_val == 0xFFFFFFFFFFFFFFFE -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; -li x10, 0xfffffffffffffffe -grevi x11, x10, 7 -sw x11, 1856(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_258: -// rs1_val == 0xC000000000000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xc000000000000000; -li x10, 0xc000000000000000 -grevi x11, x10, 7 -sw x11, 1864(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_259: -// rs1_val == 0x07A0000000000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x7a0000000000000; -li x10, 0x7a0000000000000 -grevi x11, x10, 7 -sw x11, 1872(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x6_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x6_1: - .fill 25*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 235*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev8-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev8-01.S deleted file mode 100644 index e3f8a7ef4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev8-01.S +++ /dev/null @@ -1,2164 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the grevi instruction of the RISC-V extension for the rev8 covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",rev8) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",rev8) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",rev8) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",rev8) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x17, rd==x3, rs1_val == 0xFFFFFFFFFFFFFFFF -// opcode: grevi ; op1:x17; dest:x3; op1val:0xffffffffffffffff; -li x17, 0xffffffffffffffff -grevi x3, x17, 7 -sw x3, 0(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x3, 0x0000000000000000) - -inst_1: -// rs1 == rd, rs1==x28, rd==x28, rs1_val == 0x0000000000000000 -// opcode: grevi ; op1:x28; dest:x28; op1val:0x0; -li x28, 0x0 -grevi x28, x28, 7 -sw x28, 8(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x28, 0x0000000000000000) - -inst_2: -// rs1==x2, rd==x27, rs1_val == 0x8000000000000000 -// opcode: grevi ; op1:x2; dest:x27; op1val:0x8000000000000000; -li x2, 0x8000000000000000 -grevi x27, x2, 7 -sw x27, 16(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x27, 0x0000000000000000) - -inst_3: -// rs1==x22, rd==x18, rs1_val == 0xC000000000000000 -// opcode: grevi ; op1:x22; dest:x18; op1val:0xc000000000000000; -li x22, 0xc000000000000000 -grevi x18, x22, 7 -sw x18, 24(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x18, 0x0000000000000000) - -inst_4: -// rs1==x0, rd==x16, rs1_val == 0xA000000000000000 -// opcode: grevi ; op1:x0; dest:x16; op1val:0x0; -li x0, 0x0 -grevi x16, x0, 7 -sw x16, 32(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x16, 0x0000000000000000) - -inst_5: -// rs1==x30, rd==x19, rs1_val == 0x9000000000000000 -// opcode: grevi ; op1:x30; dest:x19; op1val:0x9000000000000000; -li x30, 0x9000000000000000 -grevi x19, x30, 7 -sw x19, 40(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x19, 0x0000000000000000) - -inst_6: -// rs1==x11, rd==x29, rs1_val == 0x0800000000000000 -// opcode: grevi ; op1:x11; dest:x29; op1val:0x800000000000000; -li x11, 0x800000000000000 -grevi x29, x11, 7 -sw x29, 48(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x29, 0x0000000000000000) - -inst_7: -// rs1==x9, rd==x11, rs1_val == 0x2C00000000000000 -// opcode: grevi ; op1:x9; dest:x11; op1val:0x2c00000000000000; -li x9, 0x2c00000000000000 -grevi x11, x9, 7 -sw x11, 56(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x11, 0x0000000000000000) - -inst_8: -// rs1==x26, rd==x5, rs1_val == 0x9600000000000000 -// opcode: grevi ; op1:x26; dest:x5; op1val:0x9600000000000000; -li x26, 0x9600000000000000 -grevi x5, x26, 7 -sw x5, 64(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x5, 0x0000000000000000) - -inst_9: -// rs1==x21, rd==x6, rs1_val == 0x0100000000000000 -// opcode: grevi ; op1:x21; dest:x6; op1val:0x100000000000000; -li x21, 0x100000000000000 -grevi x6, x21, 7 -sw x6, 72(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x6, 0x0000000000000000) - -inst_10: -// rs1==x29, rd==x7, rs1_val == 0x9480000000000000 -// opcode: grevi ; op1:x29; dest:x7; op1val:0x9480000000000000; -li x29, 0x9480000000000000 -grevi x7, x29, 7 -sw x7, 80(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x7, 0x0000000000000000) - -inst_11: -// rs1==x12, rd==x21, rs1_val == 0xB5C0000000000000 -// opcode: grevi ; op1:x12; dest:x21; op1val:0xb5c0000000000000; -li x12, 0xb5c0000000000000 -grevi x21, x12, 7 -sw x21, 88(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x21, 0x0000000000000000) - -inst_12: -// rs1==x1, rd==x24, rs1_val == 0x07A0000000000000 -// opcode: grevi ; op1:x1; dest:x24; op1val:0x7a0000000000000; -li x1, 0x7a0000000000000 -grevi x24, x1, 7 -sw x24, 96(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x24, 0x0000000000000000) - -inst_13: -// rs1==x6, rd==x30, rs1_val == 0x77B0000000000000 -// opcode: grevi ; op1:x6; dest:x30; op1val:0x77b0000000000000; -li x6, 0x77b0000000000000 -grevi x30, x6, 7 -sw x30, 104(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x30, 0x0000000000000000) - -inst_14: -// rs1==x14, rd==x31, rs1_val == 0xF128000000000000 -// opcode: grevi ; op1:x14; dest:x31; op1val:0xf128000000000000; -li x14, 0xf128000000000000 -grevi x31, x14, 7 -sw x31, 112(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x31, 0x0000000000000000) - -inst_15: -// rs1==x27, rd==x1, rs1_val == 0xC0E4000000000000 -// opcode: grevi ; op1:x27; dest:x1; op1val:0xc0e4000000000000; -li x27, 0xc0e4000000000000 -grevi x1, x27, 7 -sw x1, 120(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x1, 0x0000000000000000) - -inst_16: -// rs1==x20, rd==x26, rs1_val == 0xDC52000000000000 -// opcode: grevi ; op1:x20; dest:x26; op1val:0xdc52000000000000; -li x20, 0xdc52000000000000 -grevi x26, x20, 7 -sw x26, 128(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x26, 0x0000000000000000) - -inst_17: -// rs1==x7, rd==x9, rs1_val == 0x0B3D000000000000 -// opcode: grevi ; op1:x7; dest:x9; op1val:0xb3d000000000000; -li x7, 0xb3d000000000000 -grevi x9, x7, 7 -sw x9, 136(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x9, 0x0000000000000000) - -inst_18: -// rs1==x25, rd==x15, rs1_val == 0xB154800000000000 -// opcode: grevi ; op1:x25; dest:x15; op1val:0xb154800000000000; -li x25, 0xb154800000000000 -grevi x15, x25, 7 -sw x15, 144(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x15, 0x0000000000000000) - -inst_19: -// rs1==x8, rd==x10, rs1_val == 0x2609C00000000000 -// opcode: grevi ; op1:x8; dest:x10; op1val:0x2609c00000000000; -li x8, 0x2609c00000000000 -grevi x10, x8, 7 -sw x10, 152(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x10, 0x0000000000000000) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_20: -// rs1==x24, rd==x23, rs1_val == 0xCF5BE00000000000 -// opcode: grevi ; op1:x24; dest:x23; op1val:0xcf5be00000000000; -li x24, 0xcf5be00000000000 -grevi x23, x24, 7 -sw x23, 0(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x23, 0x0000000000000000) - -inst_21: -// rs1==x10, rd==x4, rs1_val == 0x3ED0700000000000 -// opcode: grevi ; op1:x10; dest:x4; op1val:0x3ed0700000000000; -li x10, 0x3ed0700000000000 -grevi x4, x10, 7 -sw x4, 8(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x4, 0x0000000000000000) - -inst_22: -// rs1==x31, rd==x14, rs1_val == 0x6BDED80000000000 -// opcode: grevi ; op1:x31; dest:x14; op1val:0x6bded80000000000; -li x31, 0x6bded80000000000 -grevi x14, x31, 7 -sw x14, 16(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x14, 0x0000000000000000) - -inst_23: -// rs1==x18, rd==x12, rs1_val == 0x4637640000000000 -// opcode: grevi ; op1:x18; dest:x12; op1val:0x4637640000000000; -li x18, 0x4637640000000000 -grevi x12, x18, 7 -sw x12, 24(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x12, 0x0000000000000000) - -inst_24: -// rs1==x15, rd==x25, rs1_val == 0x7239560000000000 -// opcode: grevi ; op1:x15; dest:x25; op1val:0x7239560000000000; -li x15, 0x7239560000000000 -grevi x25, x15, 7 -sw x25, 32(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x25, 0x0000000000000000) - -inst_25: -// rs1==x23, rd==x0, rs1_val == 0x8864C10000000000 -// opcode: grevi ; op1:x23; dest:x0; op1val:0x8864c10000000000; -li x23, 0x8864c10000000000 -grevi x0, x23, 7 -sw x0, 40(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x0, 0x0000000000000000) - -inst_26: -// rs1==x16, rd==x17, rs1_val == 0x68C7D88000000000 -// opcode: grevi ; op1:x16; dest:x17; op1val:0x68c7d88000000000; -li x16, 0x68c7d88000000000 -grevi x17, x16, 7 -sw x17, 48(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x17, 0x0000000000000000) - -inst_27: -// rs1==x19, rd==x2, rs1_val == 0xF52BF0C000000000 -// opcode: grevi ; op1:x19; dest:x2; op1val:0xf52bf0c000000000; -li x19, 0xf52bf0c000000000 -grevi x2, x19, 7 -sw x2, 56(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x2, 0x0000000000000000) - -inst_28: -// rs1==x4, rd==x8, rs1_val == 0xA4AFB46000000000 -// opcode: grevi ; op1:x4; dest:x8; op1val:0xa4afb46000000000; -li x4, 0xa4afb46000000000 -grevi x8, x4, 7 -sw x8, 64(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x8, 0x0000000000000000) - -inst_29: -// rs1==x3, rd==x13, rs1_val == 0x263BEA5000000000 -// opcode: grevi ; op1:x3; dest:x13; op1val:0x263bea5000000000; -li x3, 0x263bea5000000000 -grevi x13, x3, 7 -sw x13, 72(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x13, 0x0000000000000000) - -inst_30: -// rs1==x13, rd==x20, rs1_val == 0x4EE5BCE800000000 -// opcode: grevi ; op1:x13; dest:x20; op1val:0x4ee5bce800000000; -li x13, 0x4ee5bce800000000 -grevi x20, x13, 7 -sw x20, 80(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x20, 0x0000000000000000) - -inst_31: -// rs1==x5, rd==x22, rs1_val == 0x7C5DE60C00000000 -// opcode: grevi ; op1:x5; dest:x22; op1val:0x7c5de60c00000000; -li x5, 0x7c5de60c00000000 -grevi x22, x5, 7 -sw x22, 88(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x22, 0x0000000000000000) - -inst_32: -// rs1_val == 0xA005556A00000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa005556a00000000; -li x10, 0xa005556a00000000 -grevi x11, x10, 7 -sw x11, 96(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_33: -// rs1_val == 0x2293EA2900000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2293ea2900000000; -li x10, 0x2293ea2900000000 -grevi x11, x10, 7 -sw x11, 104(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_34: -// rs1_val == 0x362BA25E80000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x362ba25e80000000; -li x10, 0x362ba25e80000000 -grevi x11, x10, 7 -sw x11, 112(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_35: -// rs1_val == 0x4733A71E40000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4733a71e40000000; -li x10, 0x4733a71e40000000 -grevi x11, x10, 7 -sw x11, 120(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_36: -// rs1_val == 0x1E4B791A20000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1e4b791a20000000; -li x10, 0x1e4b791a20000000 -grevi x11, x10, 7 -sw x11, 128(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_37: -// rs1_val == 0x5FCB4D02D0000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5fcb4d02d0000000; -li x10, 0x5fcb4d02d0000000 -grevi x11, x10, 7 -sw x11, 136(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_38: -// rs1_val == 0x98BA52AA88000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x98ba52aa88000000; -li x10, 0x98ba52aa88000000 -grevi x11, x10, 7 -sw x11, 144(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_39: -// rs1_val == 0xEE0923E014000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xee0923e014000000; -li x10, 0xee0923e014000000 -grevi x11, x10, 7 -sw x11, 152(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_40: -// rs1_val == 0x4BD47F4A3A000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4bd47f4a3a000000; -li x10, 0x4bd47f4a3a000000 -grevi x11, x10, 7 -sw x11, 160(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_41: -// rs1_val == 0xB30F8F95F1000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xb30f8f95f1000000; -li x10, 0xb30f8f95f1000000 -grevi x11, x10, 7 -sw x11, 168(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_42: -// rs1_val == 0x398261A02E800000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x398261a02e800000; -li x10, 0x398261a02e800000 -grevi x11, x10, 7 -sw x11, 176(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_43: -// rs1_val == 0x0AF5E9EFD7C00000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xaf5e9efd7c00000; -li x10, 0xaf5e9efd7c00000 -grevi x11, x10, 7 -sw x11, 184(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_44: -// rs1_val == 0x4BCCF299A5E00000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4bccf299a5e00000; -li x10, 0x4bccf299a5e00000 -grevi x11, x10, 7 -sw x11, 192(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_45: -// rs1_val == 0xAFF56459AFF00000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xaff56459aff00000; -li x10, 0xaff56459aff00000 -grevi x11, x10, 7 -sw x11, 200(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_46: -// rs1_val == 0xE07D7EDD48F80000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe07d7edd48f80000; -li x10, 0xe07d7edd48f80000 -grevi x11, x10, 7 -sw x11, 208(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_47: -// rs1_val == 0x26604C6677840000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x26604c6677840000; -li x10, 0x26604c6677840000 -grevi x11, x10, 7 -sw x11, 216(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_48: -// rs1_val == 0x5F67A33C644A0000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5f67a33c644a0000; -li x10, 0x5f67a33c644a0000 -grevi x11, x10, 7 -sw x11, 224(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_49: -// rs1_val == 0xCC710F0F1C6B0000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xcc710f0f1c6b0000; -li x10, 0xcc710f0f1c6b0000 -grevi x11, x10, 7 -sw x11, 232(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_50: -// rs1_val == 0x86BA73F6EC348000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x86ba73f6ec348000; -li x10, 0x86ba73f6ec348000 -grevi x11, x10, 7 -sw x11, 240(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_51: -// rs1_val == 0x51EACA62967E4000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x51eaca62967e4000; -li x10, 0x51eaca62967e4000 -grevi x11, x10, 7 -sw x11, 248(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_52: -// rs1_val == 0xD52F0E14EDC96000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd52f0e14edc96000; -li x10, 0xd52f0e14edc96000 -grevi x11, x10, 7 -sw x11, 256(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_53: -// rs1_val == 0x0DBD625329043000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xdbd625329043000; -li x10, 0xdbd625329043000 -grevi x11, x10, 7 -sw x11, 264(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_54: -// rs1_val == 0x6DCF0D4A62B5D800 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6dcf0d4a62b5d800; -li x10, 0x6dcf0d4a62b5d800 -grevi x11, x10, 7 -sw x11, 272(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_55: -// rs1_val == 0xB4B31E3B962C1C00 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xb4b31e3b962c1c00; -li x10, 0xb4b31e3b962c1c00 -grevi x11, x10, 7 -sw x11, 280(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_56: -// rs1_val == 0x8DCD6133F22D6600 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8dcd6133f22d6600; -li x10, 0x8dcd6133f22d6600 -grevi x11, x10, 7 -sw x11, 288(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_57: -// rs1_val == 0x45AF1CB0CAAE1D00 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x45af1cb0caae1d00; -li x10, 0x45af1cb0caae1d00 -grevi x11, x10, 7 -sw x11, 296(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_58: -// rs1_val == 0xE86EB367B97C2C80 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe86eb367b97c2c80; -li x10, 0xe86eb367b97c2c80 -grevi x11, x10, 7 -sw x11, 304(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_59: -// rs1_val == 0x2D9BE3118A013740 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2d9be3118a013740; -li x10, 0x2d9be3118a013740 -grevi x11, x10, 7 -sw x11, 312(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_60: -// rs1_val == 0x70F53C4BFDFD2260 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x70f53c4bfdfd2260; -li x10, 0x70f53c4bfdfd2260 -grevi x11, x10, 7 -sw x11, 320(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_61: -// rs1_val == 0xF22A27B02C7BFF30 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf22a27b02c7bff30; -li x10, 0xf22a27b02c7bff30 -grevi x11, x10, 7 -sw x11, 328(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_62: -// rs1_val == 0x0D99CFF9240BA738 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd99cff9240ba738; -li x10, 0xd99cff9240ba738 -grevi x11, x10, 7 -sw x11, 336(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_63: -// rs1_val == 0x662E236EAA6781EC -// opcode: grevi ; op1:x10; dest:x11; op1val:0x662e236eaa6781ec; -li x10, 0x662e236eaa6781ec -grevi x11, x10, 7 -sw x11, 344(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_64: -// rs1_val == 0x0EEA3A9950A03C5A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xeea3a9950a03c5a; -li x10, 0xeea3a9950a03c5a -grevi x11, x10, 7 -sw x11, 352(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_65: -// rs1_val == 0x44DCDA6A797D76DF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x44dcda6a797d76df; -li x10, 0x44dcda6a797d76df -grevi x11, x10, 7 -sw x11, 360(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_66: -// rs1_val == 0x39935C590B0FB71C -// opcode: grevi ; op1:x10; dest:x11; op1val:0x39935c590b0fb71c; -li x10, 0x39935c590b0fb71c -grevi x11, x10, 7 -sw x11, 368(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_67: -// rs1_val == 0xDE14BFF2EED7A249 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xde14bff2eed7a249; -li x10, 0xde14bff2eed7a249 -grevi x11, x10, 7 -sw x11, 376(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_68: -// rs1_val == 0x6C9FEE24B808A67B -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6c9fee24b808a67b; -li x10, 0x6c9fee24b808a67b -grevi x11, x10, 7 -sw x11, 384(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_69: -// rs1_val == 0x008EEF6A63C2A497 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8eef6a63c2a497; -li x10, 0x8eef6a63c2a497 -grevi x11, x10, 7 -sw x11, 392(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_70: -// rs1_val == 0x76B1FD3DF423752F -// opcode: grevi ; op1:x10; dest:x11; op1val:0x76b1fd3df423752f; -li x10, 0x76b1fd3df423752f -grevi x11, x10, 7 -sw x11, 400(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_71: -// rs1_val == 0xA10BC6CCA6B7201F -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa10bc6cca6b7201f; -li x10, 0xa10bc6cca6b7201f -grevi x11, x10, 7 -sw x11, 408(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_72: -// rs1_val == 0x6E2D704512C233BF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6e2d704512c233bf; -li x10, 0x6e2d704512c233bf -grevi x11, x10, 7 -sw x11, 416(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_73: -// rs1_val == 0x218FDC135DCF017F -// opcode: grevi ; op1:x10; dest:x11; op1val:0x218fdc135dcf017f; -li x10, 0x218fdc135dcf017f -grevi x11, x10, 7 -sw x11, 424(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_74: -// rs1_val == 0xB3988B5231C8B6FF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xb3988b5231c8b6ff; -li x10, 0xb3988b5231c8b6ff -grevi x11, x10, 7 -sw x11, 432(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_75: -// rs1_val == 0xE2F99B2A3C5569FF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe2f99b2a3c5569ff; -li x10, 0xe2f99b2a3c5569ff -grevi x11, x10, 7 -sw x11, 440(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_76: -// rs1_val == 0x90BB34803C4643FF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x90bb34803c4643ff; -li x10, 0x90bb34803c4643ff -grevi x11, x10, 7 -sw x11, 448(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_77: -// rs1_val == 0x8CCE89147DA8D7FF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8cce89147da8d7ff; -li x10, 0x8cce89147da8d7ff -grevi x11, x10, 7 -sw x11, 456(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_78: -// rs1_val == 0x93C38B33217ACFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x93c38b33217acfff; -li x10, 0x93c38b33217acfff -grevi x11, x10, 7 -sw x11, 464(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_79: -// rs1_val == 0xE3A707D665505FFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe3a707d665505fff; -li x10, 0xe3a707d665505fff -grevi x11, x10, 7 -sw x11, 472(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_80: -// rs1_val == 0x47B7097B9B01BFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x47b7097b9b01bfff; -li x10, 0x47b7097b9b01bfff -grevi x11, x10, 7 -sw x11, 480(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_81: -// rs1_val == 0x4302DA54759F7FFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4302da54759f7fff; -li x10, 0x4302da54759f7fff -grevi x11, x10, 7 -sw x11, 488(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_82: -// rs1_val == 0x5F013C8240D8FFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5f013c8240d8ffff; -li x10, 0x5f013c8240d8ffff -grevi x11, x10, 7 -sw x11, 496(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_83: -// rs1_val == 0x5B33199985CDFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5b33199985cdffff; -li x10, 0x5b33199985cdffff -grevi x11, x10, 7 -sw x11, 504(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_84: -// rs1_val == 0x2DEDF12233DBFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2dedf12233dbffff; -li x10, 0x2dedf12233dbffff -grevi x11, x10, 7 -sw x11, 512(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_85: -// rs1_val == 0x4B1634E12D37FFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4b1634e12d37ffff; -li x10, 0x4b1634e12d37ffff -grevi x11, x10, 7 -sw x11, 520(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_86: -// rs1_val == 0x8935B826718FFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8935b826718fffff; -li x10, 0x8935b826718fffff -grevi x11, x10, 7 -sw x11, 528(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_87: -// rs1_val == 0xBA9C678AAD5FFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xba9c678aad5fffff; -li x10, 0xba9c678aad5fffff -grevi x11, x10, 7 -sw x11, 536(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_88: -// rs1_val == 0x70BCB8E322BFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x70bcb8e322bfffff; -li x10, 0x70bcb8e322bfffff -grevi x11, x10, 7 -sw x11, 544(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_89: -// rs1_val == 0xFCB627AFBF7FFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfcb627afbf7fffff; -li x10, 0xfcb627afbf7fffff -grevi x11, x10, 7 -sw x11, 552(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_90: -// rs1_val == 0x9C76DF528CFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x9c76df528cffffff; -li x10, 0x9c76df528cffffff -grevi x11, x10, 7 -sw x11, 560(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_91: -// rs1_val == 0x72C8DD98B1FFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x72c8dd98b1ffffff; -li x10, 0x72c8dd98b1ffffff -grevi x11, x10, 7 -sw x11, 568(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_92: -// rs1_val == 0x434CBF26FBFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x434cbf26fbffffff; -li x10, 0x434cbf26fbffffff -grevi x11, x10, 7 -sw x11, 576(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_93: -// rs1_val == 0xA23FB787C7FFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa23fb787c7ffffff; -li x10, 0xa23fb787c7ffffff -grevi x11, x10, 7 -sw x11, 584(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_94: -// rs1_val == 0x983CA1BECFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x983ca1becfffffff; -li x10, 0x983ca1becfffffff -grevi x11, x10, 7 -sw x11, 592(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_95: -// rs1_val == 0x589218431FFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x589218431fffffff; -li x10, 0x589218431fffffff -grevi x11, x10, 7 -sw x11, 600(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_96: -// rs1_val == 0xA7BE99AE3FFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa7be99ae3fffffff; -li x10, 0xa7be99ae3fffffff -grevi x11, x10, 7 -sw x11, 608(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_97: -// rs1_val == 0xA37E37287FFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa37e37287fffffff; -li x10, 0xa37e37287fffffff -grevi x11, x10, 7 -sw x11, 616(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_98: -// rs1_val == 0xE37D3738FFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe37d3738ffffffff; -li x10, 0xe37d3738ffffffff -grevi x11, x10, 7 -sw x11, 624(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_99: -// rs1_val == 0xABB4DA1DFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xabb4da1dffffffff; -li x10, 0xabb4da1dffffffff -grevi x11, x10, 7 -sw x11, 632(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_100: -// rs1_val == 0xFB3E7193FFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfb3e7193ffffffff; -li x10, 0xfb3e7193ffffffff -grevi x11, x10, 7 -sw x11, 640(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_101: -// rs1_val == 0x8CB950A7FFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8cb950a7ffffffff; -li x10, 0x8cb950a7ffffffff -grevi x11, x10, 7 -sw x11, 648(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_102: -// rs1_val == 0xE5F31BEFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe5f31befffffffff; -li x10, 0xe5f31befffffffff -grevi x11, x10, 7 -sw x11, 656(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_103: -// rs1_val == 0x3BE93F9FFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x3be93f9fffffffff; -li x10, 0x3be93f9fffffffff -grevi x11, x10, 7 -sw x11, 664(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_104: -// rs1_val == 0x5B1196BFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5b1196bfffffffff; -li x10, 0x5b1196bfffffffff -grevi x11, x10, 7 -sw x11, 672(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_105: -// rs1_val == 0xE084097FFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe084097fffffffff; -li x10, 0xe084097fffffffff -grevi x11, x10, 7 -sw x11, 680(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_106: -// rs1_val == 0x23B6BCFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x23b6bcffffffffff; -li x10, 0x23b6bcffffffffff -grevi x11, x10, 7 -sw x11, 688(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_107: -// rs1_val == 0x6FCFD5FFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6fcfd5ffffffffff; -li x10, 0x6fcfd5ffffffffff -grevi x11, x10, 7 -sw x11, 696(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_108: -// rs1_val == 0x73790BFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x73790bffffffffff; -li x10, 0x73790bffffffffff -grevi x11, x10, 7 -sw x11, 704(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_109: -// rs1_val == 0x432FF7FFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x432ff7ffffffffff; -li x10, 0x432ff7ffffffffff -grevi x11, x10, 7 -sw x11, 712(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_110: -// rs1_val == 0xE36B0FFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe36b0fffffffffff; -li x10, 0xe36b0fffffffffff -grevi x11, x10, 7 -sw x11, 720(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_111: -// rs1_val == 0xCB4ADFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xcb4adfffffffffff; -li x10, 0xcb4adfffffffffff -grevi x11, x10, 7 -sw x11, 728(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_112: -// rs1_val == 0x15BF3FFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x15bf3fffffffffff; -li x10, 0x15bf3fffffffffff -grevi x11, x10, 7 -sw x11, 736(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_113: -// rs1_val == 0xD59A7FFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd59a7fffffffffff; -li x10, 0xd59a7fffffffffff -grevi x11, x10, 7 -sw x11, 744(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_114: -// rs1_val == 0xFE32FFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfe32ffffffffffff; -li x10, 0xfe32ffffffffffff -grevi x11, x10, 7 -sw x11, 752(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_115: -// rs1_val == 0xFF7DFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xff7dffffffffffff; -li x10, 0xff7dffffffffffff -grevi x11, x10, 7 -sw x11, 760(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_116: -// rs1_val == 0xAF23FFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xaf23ffffffffffff; -li x10, 0xaf23ffffffffffff -grevi x11, x10, 7 -sw x11, 768(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_117: -// rs1_val == 0x2057FFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2057ffffffffffff; -li x10, 0x2057ffffffffffff -grevi x11, x10, 7 -sw x11, 776(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_118: -// rs1_val == 0x07AFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x7afffffffffffff; -li x10, 0x7afffffffffffff -grevi x11, x10, 7 -sw x11, 784(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_119: -// rs1_val == 0xBE9FFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xbe9fffffffffffff; -li x10, 0xbe9fffffffffffff -grevi x11, x10, 7 -sw x11, 792(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_120: -// rs1_val == 0xD8BFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd8bfffffffffffff; -li x10, 0xd8bfffffffffffff -grevi x11, x10, 7 -sw x11, 800(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_121: -// rs1_val == 0x127FFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x127fffffffffffff; -li x10, 0x127fffffffffffff -grevi x11, x10, 7 -sw x11, 808(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_122: -// rs1_val == 0x2EFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2effffffffffffff; -li x10, 0x2effffffffffffff -grevi x11, x10, 7 -sw x11, 816(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_123: -// rs1_val == 0xA5FFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa5ffffffffffffff; -li x10, 0xa5ffffffffffffff -grevi x11, x10, 7 -sw x11, 824(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_124: -// rs1_val == 0xDBFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xdbffffffffffffff; -li x10, 0xdbffffffffffffff -grevi x11, x10, 7 -sw x11, 832(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_125: -// rs1_val == 0xA7FFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa7ffffffffffffff; -li x10, 0xa7ffffffffffffff -grevi x11, x10, 7 -sw x11, 840(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_126: -// rs1_val == 0xAFFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xafffffffffffffff; -li x10, 0xafffffffffffffff -grevi x11, x10, 7 -sw x11, 848(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_127: -// rs1_val == 0xDFFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xdfffffffffffffff; -li x10, 0xdfffffffffffffff -grevi x11, x10, 7 -sw x11, 856(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_128: -// rs1_val == 0xBFFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xbfffffffffffffff; -li x10, 0xbfffffffffffffff -grevi x11, x10, 7 -sw x11, 864(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_129: -// rs1_val == 0x7FFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x7fffffffffffffff; -li x10, 0x7fffffffffffffff -grevi x11, x10, 7 -sw x11, 872(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_130: -// rs1_val == 0xA274EA181E34B3F2 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa274ea181e34b3f2; -li x10, 0xa274ea181e34b3f2 -grevi x11, x10, 7 -sw x11, 880(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_131: -// rs1_val == 0x6C3FBF4DC20EF165 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6c3fbf4dc20ef165; -li x10, 0x6c3fbf4dc20ef165 -grevi x11, x10, 7 -sw x11, 888(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_132: -// rs1_val == 0x28F918D8F6CDB2F9 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x28f918d8f6cdb2f9; -li x10, 0x28f918d8f6cdb2f9 -grevi x11, x10, 7 -sw x11, 896(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_133: -// rs1_val == 0x13E0D681552454F2 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x13e0d681552454f2; -li x10, 0x13e0d681552454f2 -grevi x11, x10, 7 -sw x11, 904(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_134: -// rs1_val == 0x0FAB6F3E164F1514 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfab6f3e164f1514; -li x10, 0xfab6f3e164f1514 -grevi x11, x10, 7 -sw x11, 912(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_135: -// rs1_val == 0x063E9BED45100359 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x63e9bed45100359; -li x10, 0x63e9bed45100359 -grevi x11, x10, 7 -sw x11, 920(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_136: -// rs1_val == 0x02C6D8F2C74C7CD0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2c6d8f2c74c7cd0; -li x10, 0x2c6d8f2c74c7cd0 -grevi x11, x10, 7 -sw x11, 928(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_137: -// rs1_val == 0x01D03FDDA123F502 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1d03fdda123f502; -li x10, 0x1d03fdda123f502 -grevi x11, x10, 7 -sw x11, 936(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_138: -// rs1_val == 0x00F5380E12B2A415 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf5380e12b2a415; -li x10, 0xf5380e12b2a415 -grevi x11, x10, 7 -sw x11, 944(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_139: -// rs1_val == 0x0077730F65BD9ACC -// opcode: grevi ; op1:x10; dest:x11; op1val:0x77730f65bd9acc; -li x10, 0x77730f65bd9acc -grevi x11, x10, 7 -sw x11, 952(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_140: -// rs1_val == 0x003A6A1DFAF8CDAA -// opcode: grevi ; op1:x10; dest:x11; op1val:0x3a6a1dfaf8cdaa; -li x10, 0x3a6a1dfaf8cdaa -grevi x11, x10, 7 -sw x11, 960(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_141: -// rs1_val == 0x001E5B4578511609 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1e5b4578511609; -li x10, 0x1e5b4578511609 -grevi x11, x10, 7 -sw x11, 968(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_142: -// rs1_val == 0x000D0372E90794E0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd0372e90794e0; -li x10, 0xd0372e90794e0 -grevi x11, x10, 7 -sw x11, 976(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_143: -// rs1_val == 0x0006A24135B00A55 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6a24135b00a55; -li x10, 0x6a24135b00a55 -grevi x11, x10, 7 -sw x11, 984(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_144: -// rs1_val == 0x00020BDF0023B683 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x20bdf0023b683; -li x10, 0x20bdf0023b683 -grevi x11, x10, 7 -sw x11, 992(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_145: -// rs1_val == 0x000170EED8E94B16 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x170eed8e94b16; -li x10, 0x170eed8e94b16 -grevi x11, x10, 7 -sw x11, 1000(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_146: -// rs1_val == 0x0000EF05F542441E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xef05f542441e; -li x10, 0xef05f542441e -grevi x11, x10, 7 -sw x11, 1008(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_147: -// rs1_val == 0x00004AAA62F28D1B -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4aaa62f28d1b; -li x10, 0x4aaa62f28d1b -grevi x11, x10, 7 -sw x11, 1016(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_148: -// rs1_val == 0x00003CB3D8B9B45D -// opcode: grevi ; op1:x10; dest:x11; op1val:0x3cb3d8b9b45d; -li x10, 0x3cb3d8b9b45d -grevi x11, x10, 7 -sw x11, 1024(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_149: -// rs1_val == 0x00001BE466809A12 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1be466809a12; -li x10, 0x1be466809a12 -grevi x11, x10, 7 -sw x11, 1032(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_150: -// rs1_val == 0x0000092EC42B7171 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x92ec42b7171; -li x10, 0x92ec42b7171 -grevi x11, x10, 7 -sw x11, 1040(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_151: -// rs1_val == 0x0000074F11FA2AC1 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x74f11fa2ac1; -li x10, 0x74f11fa2ac1 -grevi x11, x10, 7 -sw x11, 1048(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_152: -// rs1_val == 0x0000025A49FE85B1 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x25a49fe85b1; -li x10, 0x25a49fe85b1 -grevi x11, x10, 7 -sw x11, 1056(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_153: -// rs1_val == 0x0000017A3ACB6267 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x17a3acb6267; -li x10, 0x17a3acb6267 -grevi x11, x10, 7 -sw x11, 1064(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_154: -// rs1_val == 0x000000C250B601FD -// opcode: grevi ; op1:x10; dest:x11; op1val:0xc250b601fd; -li x10, 0xc250b601fd -grevi x11, x10, 7 -sw x11, 1072(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_155: -// rs1_val == 0x00000067B53302FD -// opcode: grevi ; op1:x10; dest:x11; op1val:0x67b53302fd; -li x10, 0x67b53302fd -grevi x11, x10, 7 -sw x11, 1080(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_156: -// rs1_val == 0x0000002AD7185DDB -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2ad7185ddb; -li x10, 0x2ad7185ddb -grevi x11, x10, 7 -sw x11, 1088(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_157: -// rs1_val == 0x0000001FFEE5A5B3 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1ffee5a5b3; -li x10, 0x1ffee5a5b3 -grevi x11, x10, 7 -sw x11, 1096(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_158: -// rs1_val == 0x0000000AFF66658A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xaff66658a; -li x10, 0xaff66658a -grevi x11, x10, 7 -sw x11, 1104(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_159: -// rs1_val == 0x000000064735AF1D -// opcode: grevi ; op1:x10; dest:x11; op1val:0x64735af1d; -li x10, 0x64735af1d -grevi x11, x10, 7 -sw x11, 1112(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_160: -// rs1_val == 0x0000000275139238 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x275139238; -li x10, 0x275139238 -grevi x11, x10, 7 -sw x11, 1120(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_161: -// rs1_val == 0x00000001A9964AF0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1a9964af0; -li x10, 0x1a9964af0 -grevi x11, x10, 7 -sw x11, 1128(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_162: -// rs1_val == 0x00000000FFF1222A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfff1222a; -li x10, 0xfff1222a -grevi x11, x10, 7 -sw x11, 1136(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_163: -// rs1_val == 0x0000000063EA284E -// opcode: grevi ; op1:x10; dest:x11; op1val:0x63ea284e; -li x10, 0x63ea284e -grevi x11, x10, 7 -sw x11, 1144(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_164: -// rs1_val == 0x0000000024E55161 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x24e55161; -li x10, 0x24e55161 -grevi x11, x10, 7 -sw x11, 1152(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_165: -// rs1_val == 0x0000000017A94DEE -// opcode: grevi ; op1:x10; dest:x11; op1val:0x17a94dee; -li x10, 0x17a94dee -grevi x11, x10, 7 -sw x11, 1160(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_166: -// rs1_val == 0x0000000008C6A5B9 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8c6a5b9; -li x10, 0x8c6a5b9 -grevi x11, x10, 7 -sw x11, 1168(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_167: -// rs1_val == 0x0000000006C468AF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6c468af; -li x10, 0x6c468af -grevi x11, x10, 7 -sw x11, 1176(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_168: -// rs1_val == 0x0000000003B37E15 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x3b37e15; -li x10, 0x3b37e15 -grevi x11, x10, 7 -sw x11, 1184(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_169: -// rs1_val == 0x0000000001EFFA39 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1effa39; -li x10, 0x1effa39 -grevi x11, x10, 7 -sw x11, 1192(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_170: -// rs1_val == 0x0000000000B17D0C -// opcode: grevi ; op1:x10; dest:x11; op1val:0xb17d0c; -li x10, 0xb17d0c -grevi x11, x10, 7 -sw x11, 1200(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_171: -// rs1_val == 0x00000000007EBDD4 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x7ebdd4; -li x10, 0x7ebdd4 -grevi x11, x10, 7 -sw x11, 1208(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_172: -// rs1_val == 0x000000000023FEB7 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x23feb7; -li x10, 0x23feb7 -grevi x11, x10, 7 -sw x11, 1216(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_173: -// rs1_val == 0x0000000000171685 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x171685; -li x10, 0x171685 -grevi x11, x10, 7 -sw x11, 1224(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_174: -// rs1_val == 0x0000000000098868 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x98868; -li x10, 0x98868 -grevi x11, x10, 7 -sw x11, 1232(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_175: -// rs1_val == 0x000000000005847F -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5847f; -li x10, 0x5847f -grevi x11, x10, 7 -sw x11, 1240(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_176: -// rs1_val == 0x0000000000037797 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x37797; -li x10, 0x37797 -grevi x11, x10, 7 -sw x11, 1248(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_177: -// rs1_val == 0x0000000000015DB9 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x15db9; -li x10, 0x15db9 -grevi x11, x10, 7 -sw x11, 1256(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_178: -// rs1_val == 0x0000000000008735 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8735; -li x10, 0x8735 -grevi x11, x10, 7 -sw x11, 1264(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_179: -// rs1_val == 0x0000000000006B68 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6b68; -li x10, 0x6b68 -grevi x11, x10, 7 -sw x11, 1272(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_180: -// rs1_val == 0x0000000000002F28 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2f28; -li x10, 0x2f28 -grevi x11, x10, 7 -sw x11, 1280(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_181: -// rs1_val == 0x0000000000001D40 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1d40; -li x10, 0x1d40 -grevi x11, x10, 7 -sw x11, 1288(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_182: -// rs1_val == 0x0000000000000F26 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf26; -li x10, 0xf26 -grevi x11, x10, 7 -sw x11, 1296(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_183: -// rs1_val == 0x0000000000000482 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x482; -li x10, 0x482 -grevi x11, x10, 7 -sw x11, 1304(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_184: -// rs1_val == 0x0000000000000394 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x394; -li x10, 0x394 -grevi x11, x10, 7 -sw x11, 1312(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_185: -// rs1_val == 0x0000000000000154 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x154; -li x10, 0x154 -grevi x11, x10, 7 -sw x11, 1320(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_186: -// rs1_val == 0x00000000000000FA -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfa; -li x10, 0xfa -grevi x11, x10, 7 -sw x11, 1328(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_187: -// rs1_val == 0x0000000000000043 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x43; -li x10, 0x43 -grevi x11, x10, 7 -sw x11, 1336(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_188: -// rs1_val == 0x0000000000000039 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x39; -li x10, 0x39 -grevi x11, x10, 7 -sw x11, 1344(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_189: -// rs1_val == 0x0000000000000013 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x13; -li x10, 0x13 -grevi x11, x10, 7 -sw x11, 1352(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_190: -// rs1_val == 0x000000000000000E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe; -li x10, 0xe -grevi x11, x10, 7 -sw x11, 1360(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_191: -// rs1_val == 0x0000000000000006 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6; -li x10, 0x6 -grevi x11, x10, 7 -sw x11, 1368(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_192: -// rs1_val == 0x0000000000000002 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2; -li x10, 0x2 -grevi x11, x10, 7 -sw x11, 1376(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_193: -// rs1_val == 0x0000000000000001 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1; -li x10, 0x1 -grevi x11, x10, 7 -sw x11, 1384(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_194: -// rs1_val == 0x5D68F2012DAF94C2 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5d68f2012daf94c2; -li x10, 0x5d68f2012daf94c2 -grevi x11, x10, 7 -sw x11, 1392(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_195: -// rs1_val == 0x85986ADB9E044707 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x85986adb9e044707; -li x10, 0x85986adb9e044707 -grevi x11, x10, 7 -sw x11, 1400(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_196: -// rs1_val == 0xC4BD48204652F62E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xc4bd48204652f62e; -li x10, 0xc4bd48204652f62e -grevi x11, x10, 7 -sw x11, 1408(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_197: -// rs1_val == 0xEE4839A13ED7E667 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xee4839a13ed7e667; -li x10, 0xee4839a13ed7e667 -grevi x11, x10, 7 -sw x11, 1416(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_198: -// rs1_val == 0xF213516D6A013381 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf213516d6a013381; -li x10, 0xf213516d6a013381 -grevi x11, x10, 7 -sw x11, 1424(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_199: -// rs1_val == 0xF871CFDE6EE84271 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf871cfde6ee84271; -li x10, 0xf871cfde6ee84271 -grevi x11, x10, 7 -sw x11, 1432(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_200: -// rs1_val == 0xFD432A19F29C11AE -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfd432a19f29c11ae; -li x10, 0xfd432a19f29c11ae -grevi x11, x10, 7 -sw x11, 1440(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_201: -// rs1_val == 0xFEE0888FCEB506F7 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfee0888fceb506f7; -li x10, 0xfee0888fceb506f7 -grevi x11, x10, 7 -sw x11, 1448(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_202: -// rs1_val == 0xFF605EE62A96D06B -// opcode: grevi ; op1:x10; dest:x11; op1val:0xff605ee62a96d06b; -li x10, 0xff605ee62a96d06b -grevi x11, x10, 7 -sw x11, 1456(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_203: -// rs1_val == 0xFF89799918BB28EA -// opcode: grevi ; op1:x10; dest:x11; op1val:0xff89799918bb28ea; -li x10, 0xff89799918bb28ea -grevi x11, x10, 7 -sw x11, 1464(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_204: -// rs1_val == 0xFFCC6148C6880008 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffcc6148c6880008; -li x10, 0xffcc6148c6880008 -grevi x11, x10, 7 -sw x11, 1472(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_205: -// rs1_val == 0xFFEB5EA11CE80B13 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffeb5ea11ce80b13; -li x10, 0xffeb5ea11ce80b13 -grevi x11, x10, 7 -sw x11, 1480(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_206: -// rs1_val == 0xFFF039F699EF1858 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfff039f699ef1858; -li x10, 0xfff039f699ef1858 -grevi x11, x10, 7 -sw x11, 1488(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_207: -// rs1_val == 0xFFFBEA615FC9EBA5 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffbea615fc9eba5; -li x10, 0xfffbea615fc9eba5 -grevi x11, x10, 7 -sw x11, 1496(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_208: -// rs1_val == 0xFFFC8D619136580C -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffc8d619136580c; -li x10, 0xfffc8d619136580c -grevi x11, x10, 7 -sw x11, 1504(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_209: -// rs1_val == 0xFFFE46462651F638 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffe46462651f638; -li x10, 0xfffe46462651f638 -grevi x11, x10, 7 -sw x11, 1512(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_210: -// rs1_val == 0xFFFF1C79DAE98555 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffff1c79dae98555; -li x10, 0xffff1c79dae98555 -grevi x11, x10, 7 -sw x11, 1520(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_211: -// rs1_val == 0xFFFF8E6F889263CF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffff8e6f889263cf; -li x10, 0xffff8e6f889263cf -grevi x11, x10, 7 -sw x11, 1528(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_212: -// rs1_val == 0xFFFFDEE2A86B8A6F -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffdee2a86b8a6f; -li x10, 0xffffdee2a86b8a6f -grevi x11, x10, 7 -sw x11, 1536(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_213: -// rs1_val == 0xFFFFE2AFD167533B -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffe2afd167533b; -li x10, 0xffffe2afd167533b -grevi x11, x10, 7 -sw x11, 1544(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_214: -// rs1_val == 0xFFFFF1A07F216823 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffff1a07f216823; -li x10, 0xfffff1a07f216823 -grevi x11, x10, 7 -sw x11, 1552(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_215: -// rs1_val == 0xFFFFF9090973E89D -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffff9090973e89d; -li x10, 0xfffff9090973e89d -grevi x11, x10, 7 -sw x11, 1560(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_216: -// rs1_val == 0xFFFFFC3769B1DCC0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffc3769b1dcc0; -li x10, 0xfffffc3769b1dcc0 -grevi x11, x10, 7 -sw x11, 1568(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_217: -// rs1_val == 0xFFFFFEB8396BA83B -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffeb8396ba83b; -li x10, 0xfffffeb8396ba83b -grevi x11, x10, 7 -sw x11, 1576(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_218: -// rs1_val == 0xFFFFFF4F280B11FE -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffff4f280b11fe; -li x10, 0xffffff4f280b11fe -grevi x11, x10, 7 -sw x11, 1584(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_219: -// rs1_val == 0xFFFFFF85D814D576 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffff85d814d576; -li x10, 0xffffff85d814d576 -grevi x11, x10, 7 -sw x11, 1592(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_220: -// rs1_val == 0xFFFFFFCC95A4D258 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffcc95a4d258; -li x10, 0xffffffcc95a4d258 -grevi x11, x10, 7 -sw x11, 1600(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_221: -// rs1_val == 0xFFFFFFE610A37559 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffe610a37559; -li x10, 0xffffffe610a37559 -grevi x11, x10, 7 -sw x11, 1608(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_222: -// rs1_val == 0xFFFFFFF66F9FB998 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffff66f9fb998; -li x10, 0xfffffff66f9fb998 -grevi x11, x10, 7 -sw x11, 1616(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_223: -// rs1_val == 0xFFFFFFFB8C8A18B3 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffb8c8a18b3; -li x10, 0xfffffffb8c8a18b3 -grevi x11, x10, 7 -sw x11, 1624(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_224: -// rs1_val == 0xFFFFFFFC507A2561 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffc507a2561; -li x10, 0xfffffffc507a2561 -grevi x11, x10, 7 -sw x11, 1632(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_225: -// rs1_val == 0xFFFFFFFEE5F0307F -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffee5f0307f; -li x10, 0xfffffffee5f0307f -grevi x11, x10, 7 -sw x11, 1640(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_226: -// rs1_val == 0xFFFFFFFF5DBBB7CF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffff5dbbb7cf; -li x10, 0xffffffff5dbbb7cf -grevi x11, x10, 7 -sw x11, 1648(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_227: -// rs1_val == 0xFFFFFFFF8D884510 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffff8d884510; -li x10, 0xffffffff8d884510 -grevi x11, x10, 7 -sw x11, 1656(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_228: -// rs1_val == 0xFFFFFFFFD0E58651 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffd0e58651; -li x10, 0xffffffffd0e58651 -grevi x11, x10, 7 -sw x11, 1664(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_229: -// rs1_val == 0xFFFFFFFFE24C0602 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffe24c0602; -li x10, 0xffffffffe24c0602 -grevi x11, x10, 7 -sw x11, 1672(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_230: -// rs1_val == 0xFFFFFFFFF109C208 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffff109c208; -li x10, 0xfffffffff109c208 -grevi x11, x10, 7 -sw x11, 1680(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_231: -// rs1_val == 0xFFFFFFFFFB54AA23 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffb54aa23; -li x10, 0xfffffffffb54aa23 -grevi x11, x10, 7 -sw x11, 1688(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_232: -// rs1_val == 0xFFFFFFFFFD02FC91 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffd02fc91; -li x10, 0xfffffffffd02fc91 -grevi x11, x10, 7 -sw x11, 1696(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_233: -// rs1_val == 0xFFFFFFFFFE29D2A0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffe29d2a0; -li x10, 0xfffffffffe29d2a0 -grevi x11, x10, 7 -sw x11, 1704(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_234: -// rs1_val == 0xFFFFFFFFFF7F6F5E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffff7f6f5e; -li x10, 0xffffffffff7f6f5e -grevi x11, x10, 7 -sw x11, 1712(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_235: -// rs1_val == 0xFFFFFFFFFF9104D1 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffff9104d1; -li x10, 0xffffffffff9104d1 -grevi x11, x10, 7 -sw x11, 1720(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_236: -// rs1_val == 0xFFFFFFFFFFCADB0B -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffcadb0b; -li x10, 0xffffffffffcadb0b -grevi x11, x10, 7 -sw x11, 1728(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_237: -// rs1_val == 0xFFFFFFFFFFEEC2B4 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffeec2b4; -li x10, 0xffffffffffeec2b4 -grevi x11, x10, 7 -sw x11, 1736(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_238: -// rs1_val == 0xFFFFFFFFFFF49E5F -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffff49e5f; -li x10, 0xfffffffffff49e5f -grevi x11, x10, 7 -sw x11, 1744(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_239: -// rs1_val == 0xFFFFFFFFFFFAE2EE -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffae2ee; -li x10, 0xfffffffffffae2ee -grevi x11, x10, 7 -sw x11, 1752(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_240: -// rs1_val == 0xFFFFFFFFFFFC7F0E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffc7f0e; -li x10, 0xfffffffffffc7f0e -grevi x11, x10, 7 -sw x11, 1760(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_241: -// rs1_val == 0xFFFFFFFFFFFE3410 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffe3410; -li x10, 0xfffffffffffe3410 -grevi x11, x10, 7 -sw x11, 1768(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_242: -// rs1_val == 0xFFFFFFFFFFFF6E0A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffff6e0a; -li x10, 0xffffffffffff6e0a -grevi x11, x10, 7 -sw x11, 1776(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_243: -// rs1_val == 0xFFFFFFFFFFFFB32A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffb32a; -li x10, 0xffffffffffffb32a -grevi x11, x10, 7 -sw x11, 1784(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_244: -// rs1_val == 0xFFFFFFFFFFFFC384 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffc384; -li x10, 0xffffffffffffc384 -grevi x11, x10, 7 -sw x11, 1792(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_245: -// rs1_val == 0xFFFFFFFFFFFFEC1D -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffec1d; -li x10, 0xffffffffffffec1d -grevi x11, x10, 7 -sw x11, 1800(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_246: -// rs1_val == 0xFFFFFFFFFFFFF3F0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffff3f0; -li x10, 0xfffffffffffff3f0 -grevi x11, x10, 7 -sw x11, 1808(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_247: -// rs1_val == 0xFFFFFFFFFFFFFB13 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffb13; -li x10, 0xfffffffffffffb13 -grevi x11, x10, 7 -sw x11, 1816(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_248: -// rs1_val == 0xFFFFFFFFFFFFFC31 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffc31; -li x10, 0xfffffffffffffc31 -grevi x11, x10, 7 -sw x11, 1824(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_249: -// rs1_val == 0xFFFFFFFFFFFFFE44 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffe44; -li x10, 0xfffffffffffffe44 -grevi x11, x10, 7 -sw x11, 1832(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_250: -// rs1_val == 0xFFFFFFFFFFFFFF58 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffff58; -li x10, 0xffffffffffffff58 -grevi x11, x10, 7 -sw x11, 1840(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_251: -// rs1_val == 0xFFFFFFFFFFFFFFB0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffffb0; -li x10, 0xffffffffffffffb0 -grevi x11, x10, 7 -sw x11, 1848(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_252: -// rs1_val == 0xFFFFFFFFFFFFFFC6 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffffc6; -li x10, 0xffffffffffffffc6 -grevi x11, x10, 7 -sw x11, 1856(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_253: -// rs1_val == 0xFFFFFFFFFFFFFFE8 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffffe8; -li x10, 0xffffffffffffffe8 -grevi x11, x10, 7 -sw x11, 1864(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_254: -// rs1_val == 0xFFFFFFFFFFFFFFF2 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffff2; -li x10, 0xfffffffffffffff2 -grevi x11, x10, 7 -sw x11, 1872(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_255: -// rs1_val == 0xFFFFFFFFFFFFFFF9 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffff9; -li x10, 0xfffffffffffffff9 -grevi x11, x10, 7 -sw x11, 1880(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_256: -// rs1_val == 0xFFFFFFFFFFFFFFFD -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; -li x10, 0xfffffffffffffffd -grevi x11, x10, 7 -sw x11, 1888(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_257: -// rs1_val == 0xFFFFFFFFFFFFFFFE -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; -li x10, 0xfffffffffffffffe -grevi x11, x10, 7 -sw x11, 1896(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_258: -// rs1_val == 0xA000000000000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa000000000000000; -li x10, 0xa000000000000000 -grevi x11, x10, 7 -sw x11, 1904(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) - -inst_259: -// rs1_val == 0x8864C10000000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8864c10000000000; -li x10, 0x8864c10000000000 -grevi x11, x10, 7 -sw x11, 1912(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x6, x11, 0x0000000000000000) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 20*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 240*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev8.w-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev8.w-01.S deleted file mode 100644 index 8e57a59d8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev8.w-01.S +++ /dev/null @@ -1,2164 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the grevi instruction of the RISC-V extension for the rev8.w covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",rev8.w) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKs.*);def TEST_CASE_1=True;",rev8.w) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",rev8.w) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKb.*);def TEST_CASE_1=True;",rev8.w) - -RVTEST_SIGBASE( x15,signature_x15_1) - -inst_0: -// rs1 != rd, rs1==x27, rd==x28, rs1_val == 0xFFFFFFFFFFFFFFFF -// opcode: grevi ; op1:x27; dest:x28; op1val:0xffffffffffffffff; -li x27, 0xffffffffffffffff -grevi x28, x27, 7 -sw x28, 0(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x28, 0x0000000000000000) - -inst_1: -// rs1 == rd, rs1==x22, rd==x22, rs1_val == 0x0000000000000000 -// opcode: grevi ; op1:x22; dest:x22; op1val:0x0; -li x22, 0x0 -grevi x22, x22, 7 -sw x22, 8(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x22, 0x0000000000000000) - -inst_2: -// rs1==x1, rd==x7, rs1_val == 0x8000000000000000 -// opcode: grevi ; op1:x1; dest:x7; op1val:0x8000000000000000; -li x1, 0x8000000000000000 -grevi x7, x1, 7 -sw x7, 16(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x7, 0x0000000000000000) - -inst_3: -// rs1==x24, rd==x17, rs1_val == 0xC000000000000000 -// opcode: grevi ; op1:x24; dest:x17; op1val:0xc000000000000000; -li x24, 0xc000000000000000 -grevi x17, x24, 7 -sw x17, 24(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x17, 0x0000000000000000) - -inst_4: -// rs1==x18, rd==x1, rs1_val == 0xA000000000000000 -// opcode: grevi ; op1:x18; dest:x1; op1val:0xa000000000000000; -li x18, 0xa000000000000000 -grevi x1, x18, 7 -sw x1, 32(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x1, 0x0000000000000000) - -inst_5: -// rs1==x10, rd==x12, rs1_val == 0x9000000000000000 -// opcode: grevi ; op1:x10; dest:x12; op1val:0x9000000000000000; -li x10, 0x9000000000000000 -grevi x12, x10, 7 -sw x12, 40(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x12, 0x0000000000000000) - -inst_6: -// rs1==x29, rd==x2, rs1_val == 0x0800000000000000 -// opcode: grevi ; op1:x29; dest:x2; op1val:0x800000000000000; -li x29, 0x800000000000000 -grevi x2, x29, 7 -sw x2, 48(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x2, 0x0000000000000000) - -inst_7: -// rs1==x14, rd==x3, rs1_val == 0x2C00000000000000 -// opcode: grevi ; op1:x14; dest:x3; op1val:0x2c00000000000000; -li x14, 0x2c00000000000000 -grevi x3, x14, 7 -sw x3, 56(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x3, 0x0000000000000000) - -inst_8: -// rs1==x5, rd==x24, rs1_val == 0x9600000000000000 -// opcode: grevi ; op1:x5; dest:x24; op1val:0x9600000000000000; -li x5, 0x9600000000000000 -grevi x24, x5, 7 -sw x24, 64(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x24, 0x0000000000000000) - -inst_9: -// rs1==x2, rd==x30, rs1_val == 0x0100000000000000 -// opcode: grevi ; op1:x2; dest:x30; op1val:0x100000000000000; -li x2, 0x100000000000000 -grevi x30, x2, 7 -sw x30, 72(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x30, 0x0000000000000000) - -inst_10: -// rs1==x0, rd==x6, rs1_val == 0x9480000000000000 -// opcode: grevi ; op1:x0; dest:x6; op1val:0x0; -li x0, 0x0 -grevi x6, x0, 7 -sw x6, 80(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x6, 0x0000000000000000) - -inst_11: -// rs1==x7, rd==x18, rs1_val == 0xB5C0000000000000 -// opcode: grevi ; op1:x7; dest:x18; op1val:0xb5c0000000000000; -li x7, 0xb5c0000000000000 -grevi x18, x7, 7 -sw x18, 88(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x18, 0x0000000000000000) - -inst_12: -// rs1==x30, rd==x5, rs1_val == 0x07A0000000000000 -// opcode: grevi ; op1:x30; dest:x5; op1val:0x7a0000000000000; -li x30, 0x7a0000000000000 -grevi x5, x30, 7 -sw x5, 96(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x5, 0x0000000000000000) - -inst_13: -// rs1==x28, rd==x0, rs1_val == 0x77B0000000000000 -// opcode: grevi ; op1:x28; dest:x0; op1val:0x77b0000000000000; -li x28, 0x77b0000000000000 -grevi x0, x28, 7 -sw x0, 104(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x0, 0x0000000000000000) - -inst_14: -// rs1==x20, rd==x19, rs1_val == 0xF128000000000000 -// opcode: grevi ; op1:x20; dest:x19; op1val:0xf128000000000000; -li x20, 0xf128000000000000 -grevi x19, x20, 7 -sw x19, 112(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x19, 0x0000000000000000) - -inst_15: -// rs1==x31, rd==x13, rs1_val == 0xC0E4000000000000 -// opcode: grevi ; op1:x31; dest:x13; op1val:0xc0e4000000000000; -li x31, 0xc0e4000000000000 -grevi x13, x31, 7 -sw x13, 120(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x13, 0x0000000000000000) - -inst_16: -// rs1==x4, rd==x25, rs1_val == 0xDC52000000000000 -// opcode: grevi ; op1:x4; dest:x25; op1val:0xdc52000000000000; -li x4, 0xdc52000000000000 -grevi x25, x4, 7 -sw x25, 128(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x25, 0x0000000000000000) - -inst_17: -// rs1==x13, rd==x8, rs1_val == 0x0B3D000000000000 -// opcode: grevi ; op1:x13; dest:x8; op1val:0xb3d000000000000; -li x13, 0xb3d000000000000 -grevi x8, x13, 7 -sw x8, 136(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x8, 0x0000000000000000) - -inst_18: -// rs1==x3, rd==x31, rs1_val == 0xB154800000000000 -// opcode: grevi ; op1:x3; dest:x31; op1val:0xb154800000000000; -li x3, 0xb154800000000000 -grevi x31, x3, 7 -sw x31, 144(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x31, 0x0000000000000000) - -inst_19: -// rs1==x17, rd==x10, rs1_val == 0x2609C00000000000 -// opcode: grevi ; op1:x17; dest:x10; op1val:0x2609c00000000000; -li x17, 0x2609c00000000000 -grevi x10, x17, 7 -sw x10, 152(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x10, 0x0000000000000000) - -inst_20: -// rs1==x9, rd==x27, rs1_val == 0xCF5BE00000000000 -// opcode: grevi ; op1:x9; dest:x27; op1val:0xcf5be00000000000; -li x9, 0xcf5be00000000000 -grevi x27, x9, 7 -sw x27, 160(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x27, 0x0000000000000000) - -inst_21: -// rs1==x6, rd==x26, rs1_val == 0x3ED0700000000000 -// opcode: grevi ; op1:x6; dest:x26; op1val:0x3ed0700000000000; -li x6, 0x3ed0700000000000 -grevi x26, x6, 7 -sw x26, 168(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x26, 0x0000000000000000) - -inst_22: -// rs1==x11, rd==x21, rs1_val == 0x6BDED80000000000 -// opcode: grevi ; op1:x11; dest:x21; op1val:0x6bded80000000000; -li x11, 0x6bded80000000000 -grevi x21, x11, 7 -sw x21, 176(x15) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x21, 0x0000000000000000) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_23: -// rs1==x12, rd==x9, rs1_val == 0x4637640000000000 -// opcode: grevi ; op1:x12; dest:x9; op1val:0x4637640000000000; -li x12, 0x4637640000000000 -grevi x9, x12, 7 -sw x9, 0(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x9, 0x0000000000000000) - -inst_24: -// rs1==x26, rd==x23, rs1_val == 0x7239560000000000 -// opcode: grevi ; op1:x26; dest:x23; op1val:0x7239560000000000; -li x26, 0x7239560000000000 -grevi x23, x26, 7 -sw x23, 8(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x23, 0x0000000000000000) - -inst_25: -// rs1==x23, rd==x16, rs1_val == 0x8864C10000000000 -// opcode: grevi ; op1:x23; dest:x16; op1val:0x8864c10000000000; -li x23, 0x8864c10000000000 -grevi x16, x23, 7 -sw x16, 16(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x16, 0x0000000000000000) - -inst_26: -// rs1==x16, rd==x11, rs1_val == 0x68C7D88000000000 -// opcode: grevi ; op1:x16; dest:x11; op1val:0x68c7d88000000000; -li x16, 0x68c7d88000000000 -grevi x11, x16, 7 -sw x11, 24(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_27: -// rs1==x8, rd==x4, rs1_val == 0xF52BF0C000000000 -// opcode: grevi ; op1:x8; dest:x4; op1val:0xf52bf0c000000000; -li x8, 0xf52bf0c000000000 -grevi x4, x8, 7 -sw x4, 32(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x4, 0x0000000000000000) - -inst_28: -// rs1==x21, rd==x20, rs1_val == 0xA4AFB46000000000 -// opcode: grevi ; op1:x21; dest:x20; op1val:0xa4afb46000000000; -li x21, 0xa4afb46000000000 -grevi x20, x21, 7 -sw x20, 40(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x20, 0x0000000000000000) - -inst_29: -// rs1==x19, rd==x15, rs1_val == 0x263BEA5000000000 -// opcode: grevi ; op1:x19; dest:x15; op1val:0x263bea5000000000; -li x19, 0x263bea5000000000 -grevi x15, x19, 7 -sw x15, 48(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x15, 0x0000000000000000) - -inst_30: -// rs1==x25, rd==x29, rs1_val == 0x4EE5BCE800000000 -// opcode: grevi ; op1:x25; dest:x29; op1val:0x4ee5bce800000000; -li x25, 0x4ee5bce800000000 -grevi x29, x25, 7 -sw x29, 56(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x29, 0x0000000000000000) - -inst_31: -// rs1==x15, rd==x14, rs1_val == 0x7C5DE60C00000000 -// opcode: grevi ; op1:x15; dest:x14; op1val:0x7c5de60c00000000; -li x15, 0x7c5de60c00000000 -grevi x14, x15, 7 -sw x14, 64(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x14, 0x0000000000000000) - -inst_32: -// rs1_val == 0xA005556A00000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa005556a00000000; -li x10, 0xa005556a00000000 -grevi x11, x10, 7 -sw x11, 72(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_33: -// rs1_val == 0x2293EA2900000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2293ea2900000000; -li x10, 0x2293ea2900000000 -grevi x11, x10, 7 -sw x11, 80(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_34: -// rs1_val == 0x362BA25E80000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x362ba25e80000000; -li x10, 0x362ba25e80000000 -grevi x11, x10, 7 -sw x11, 88(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_35: -// rs1_val == 0x4733A71E40000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4733a71e40000000; -li x10, 0x4733a71e40000000 -grevi x11, x10, 7 -sw x11, 96(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_36: -// rs1_val == 0x1E4B791A20000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1e4b791a20000000; -li x10, 0x1e4b791a20000000 -grevi x11, x10, 7 -sw x11, 104(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_37: -// rs1_val == 0x5FCB4D02D0000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5fcb4d02d0000000; -li x10, 0x5fcb4d02d0000000 -grevi x11, x10, 7 -sw x11, 112(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_38: -// rs1_val == 0x98BA52AA88000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x98ba52aa88000000; -li x10, 0x98ba52aa88000000 -grevi x11, x10, 7 -sw x11, 120(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_39: -// rs1_val == 0xEE0923E014000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xee0923e014000000; -li x10, 0xee0923e014000000 -grevi x11, x10, 7 -sw x11, 128(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_40: -// rs1_val == 0x4BD47F4A3A000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4bd47f4a3a000000; -li x10, 0x4bd47f4a3a000000 -grevi x11, x10, 7 -sw x11, 136(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_41: -// rs1_val == 0xB30F8F95F1000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xb30f8f95f1000000; -li x10, 0xb30f8f95f1000000 -grevi x11, x10, 7 -sw x11, 144(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_42: -// rs1_val == 0x398261A02E800000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x398261a02e800000; -li x10, 0x398261a02e800000 -grevi x11, x10, 7 -sw x11, 152(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_43: -// rs1_val == 0x0AF5E9EFD7C00000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xaf5e9efd7c00000; -li x10, 0xaf5e9efd7c00000 -grevi x11, x10, 7 -sw x11, 160(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_44: -// rs1_val == 0x4BCCF299A5E00000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4bccf299a5e00000; -li x10, 0x4bccf299a5e00000 -grevi x11, x10, 7 -sw x11, 168(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_45: -// rs1_val == 0xAFF56459AFF00000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xaff56459aff00000; -li x10, 0xaff56459aff00000 -grevi x11, x10, 7 -sw x11, 176(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_46: -// rs1_val == 0xE07D7EDD48F80000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe07d7edd48f80000; -li x10, 0xe07d7edd48f80000 -grevi x11, x10, 7 -sw x11, 184(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_47: -// rs1_val == 0x26604C6677840000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x26604c6677840000; -li x10, 0x26604c6677840000 -grevi x11, x10, 7 -sw x11, 192(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_48: -// rs1_val == 0x5F67A33C644A0000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5f67a33c644a0000; -li x10, 0x5f67a33c644a0000 -grevi x11, x10, 7 -sw x11, 200(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_49: -// rs1_val == 0xCC710F0F1C6B0000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xcc710f0f1c6b0000; -li x10, 0xcc710f0f1c6b0000 -grevi x11, x10, 7 -sw x11, 208(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_50: -// rs1_val == 0x86BA73F6EC348000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x86ba73f6ec348000; -li x10, 0x86ba73f6ec348000 -grevi x11, x10, 7 -sw x11, 216(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_51: -// rs1_val == 0x51EACA62967E4000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x51eaca62967e4000; -li x10, 0x51eaca62967e4000 -grevi x11, x10, 7 -sw x11, 224(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_52: -// rs1_val == 0xD52F0E14EDC96000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd52f0e14edc96000; -li x10, 0xd52f0e14edc96000 -grevi x11, x10, 7 -sw x11, 232(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_53: -// rs1_val == 0x0DBD625329043000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xdbd625329043000; -li x10, 0xdbd625329043000 -grevi x11, x10, 7 -sw x11, 240(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_54: -// rs1_val == 0x6DCF0D4A62B5D800 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6dcf0d4a62b5d800; -li x10, 0x6dcf0d4a62b5d800 -grevi x11, x10, 7 -sw x11, 248(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_55: -// rs1_val == 0xB4B31E3B962C1C00 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xb4b31e3b962c1c00; -li x10, 0xb4b31e3b962c1c00 -grevi x11, x10, 7 -sw x11, 256(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_56: -// rs1_val == 0x8DCD6133F22D6600 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8dcd6133f22d6600; -li x10, 0x8dcd6133f22d6600 -grevi x11, x10, 7 -sw x11, 264(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_57: -// rs1_val == 0x45AF1CB0CAAE1D00 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x45af1cb0caae1d00; -li x10, 0x45af1cb0caae1d00 -grevi x11, x10, 7 -sw x11, 272(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_58: -// rs1_val == 0xE86EB367B97C2C80 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe86eb367b97c2c80; -li x10, 0xe86eb367b97c2c80 -grevi x11, x10, 7 -sw x11, 280(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_59: -// rs1_val == 0x2D9BE3118A013740 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2d9be3118a013740; -li x10, 0x2d9be3118a013740 -grevi x11, x10, 7 -sw x11, 288(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_60: -// rs1_val == 0x70F53C4BFDFD2260 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x70f53c4bfdfd2260; -li x10, 0x70f53c4bfdfd2260 -grevi x11, x10, 7 -sw x11, 296(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_61: -// rs1_val == 0xF22A27B02C7BFF30 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf22a27b02c7bff30; -li x10, 0xf22a27b02c7bff30 -grevi x11, x10, 7 -sw x11, 304(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_62: -// rs1_val == 0x0D99CFF9240BA738 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd99cff9240ba738; -li x10, 0xd99cff9240ba738 -grevi x11, x10, 7 -sw x11, 312(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_63: -// rs1_val == 0x662E236EAA6781EC -// opcode: grevi ; op1:x10; dest:x11; op1val:0x662e236eaa6781ec; -li x10, 0x662e236eaa6781ec -grevi x11, x10, 7 -sw x11, 320(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_64: -// rs1_val == 0x0EEA3A9950A03C5A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xeea3a9950a03c5a; -li x10, 0xeea3a9950a03c5a -grevi x11, x10, 7 -sw x11, 328(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_65: -// rs1_val == 0x44DCDA6A797D76DF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x44dcda6a797d76df; -li x10, 0x44dcda6a797d76df -grevi x11, x10, 7 -sw x11, 336(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_66: -// rs1_val == 0x39935C590B0FB71C -// opcode: grevi ; op1:x10; dest:x11; op1val:0x39935c590b0fb71c; -li x10, 0x39935c590b0fb71c -grevi x11, x10, 7 -sw x11, 344(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_67: -// rs1_val == 0xDE14BFF2EED7A249 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xde14bff2eed7a249; -li x10, 0xde14bff2eed7a249 -grevi x11, x10, 7 -sw x11, 352(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_68: -// rs1_val == 0x6C9FEE24B808A67B -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6c9fee24b808a67b; -li x10, 0x6c9fee24b808a67b -grevi x11, x10, 7 -sw x11, 360(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_69: -// rs1_val == 0x008EEF6A63C2A497 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8eef6a63c2a497; -li x10, 0x8eef6a63c2a497 -grevi x11, x10, 7 -sw x11, 368(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_70: -// rs1_val == 0x76B1FD3DF423752F -// opcode: grevi ; op1:x10; dest:x11; op1val:0x76b1fd3df423752f; -li x10, 0x76b1fd3df423752f -grevi x11, x10, 7 -sw x11, 376(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_71: -// rs1_val == 0xA10BC6CCA6B7201F -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa10bc6cca6b7201f; -li x10, 0xa10bc6cca6b7201f -grevi x11, x10, 7 -sw x11, 384(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_72: -// rs1_val == 0x6E2D704512C233BF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6e2d704512c233bf; -li x10, 0x6e2d704512c233bf -grevi x11, x10, 7 -sw x11, 392(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_73: -// rs1_val == 0x218FDC135DCF017F -// opcode: grevi ; op1:x10; dest:x11; op1val:0x218fdc135dcf017f; -li x10, 0x218fdc135dcf017f -grevi x11, x10, 7 -sw x11, 400(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_74: -// rs1_val == 0xB3988B5231C8B6FF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xb3988b5231c8b6ff; -li x10, 0xb3988b5231c8b6ff -grevi x11, x10, 7 -sw x11, 408(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_75: -// rs1_val == 0xE2F99B2A3C5569FF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe2f99b2a3c5569ff; -li x10, 0xe2f99b2a3c5569ff -grevi x11, x10, 7 -sw x11, 416(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_76: -// rs1_val == 0x90BB34803C4643FF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x90bb34803c4643ff; -li x10, 0x90bb34803c4643ff -grevi x11, x10, 7 -sw x11, 424(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_77: -// rs1_val == 0x8CCE89147DA8D7FF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8cce89147da8d7ff; -li x10, 0x8cce89147da8d7ff -grevi x11, x10, 7 -sw x11, 432(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_78: -// rs1_val == 0x93C38B33217ACFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x93c38b33217acfff; -li x10, 0x93c38b33217acfff -grevi x11, x10, 7 -sw x11, 440(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_79: -// rs1_val == 0xE3A707D665505FFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe3a707d665505fff; -li x10, 0xe3a707d665505fff -grevi x11, x10, 7 -sw x11, 448(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_80: -// rs1_val == 0x47B7097B9B01BFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x47b7097b9b01bfff; -li x10, 0x47b7097b9b01bfff -grevi x11, x10, 7 -sw x11, 456(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_81: -// rs1_val == 0x4302DA54759F7FFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4302da54759f7fff; -li x10, 0x4302da54759f7fff -grevi x11, x10, 7 -sw x11, 464(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_82: -// rs1_val == 0x5F013C8240D8FFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5f013c8240d8ffff; -li x10, 0x5f013c8240d8ffff -grevi x11, x10, 7 -sw x11, 472(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_83: -// rs1_val == 0x5B33199985CDFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5b33199985cdffff; -li x10, 0x5b33199985cdffff -grevi x11, x10, 7 -sw x11, 480(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_84: -// rs1_val == 0x2DEDF12233DBFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2dedf12233dbffff; -li x10, 0x2dedf12233dbffff -grevi x11, x10, 7 -sw x11, 488(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_85: -// rs1_val == 0x4B1634E12D37FFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4b1634e12d37ffff; -li x10, 0x4b1634e12d37ffff -grevi x11, x10, 7 -sw x11, 496(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_86: -// rs1_val == 0x8935B826718FFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8935b826718fffff; -li x10, 0x8935b826718fffff -grevi x11, x10, 7 -sw x11, 504(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_87: -// rs1_val == 0xBA9C678AAD5FFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xba9c678aad5fffff; -li x10, 0xba9c678aad5fffff -grevi x11, x10, 7 -sw x11, 512(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_88: -// rs1_val == 0x70BCB8E322BFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x70bcb8e322bfffff; -li x10, 0x70bcb8e322bfffff -grevi x11, x10, 7 -sw x11, 520(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_89: -// rs1_val == 0xFCB627AFBF7FFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfcb627afbf7fffff; -li x10, 0xfcb627afbf7fffff -grevi x11, x10, 7 -sw x11, 528(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_90: -// rs1_val == 0x9C76DF528CFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x9c76df528cffffff; -li x10, 0x9c76df528cffffff -grevi x11, x10, 7 -sw x11, 536(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_91: -// rs1_val == 0x72C8DD98B1FFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x72c8dd98b1ffffff; -li x10, 0x72c8dd98b1ffffff -grevi x11, x10, 7 -sw x11, 544(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_92: -// rs1_val == 0x434CBF26FBFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x434cbf26fbffffff; -li x10, 0x434cbf26fbffffff -grevi x11, x10, 7 -sw x11, 552(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_93: -// rs1_val == 0xA23FB787C7FFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa23fb787c7ffffff; -li x10, 0xa23fb787c7ffffff -grevi x11, x10, 7 -sw x11, 560(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_94: -// rs1_val == 0x983CA1BECFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x983ca1becfffffff; -li x10, 0x983ca1becfffffff -grevi x11, x10, 7 -sw x11, 568(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_95: -// rs1_val == 0x589218431FFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x589218431fffffff; -li x10, 0x589218431fffffff -grevi x11, x10, 7 -sw x11, 576(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_96: -// rs1_val == 0xA7BE99AE3FFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa7be99ae3fffffff; -li x10, 0xa7be99ae3fffffff -grevi x11, x10, 7 -sw x11, 584(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_97: -// rs1_val == 0xA37E37287FFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa37e37287fffffff; -li x10, 0xa37e37287fffffff -grevi x11, x10, 7 -sw x11, 592(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_98: -// rs1_val == 0xE37D3738FFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe37d3738ffffffff; -li x10, 0xe37d3738ffffffff -grevi x11, x10, 7 -sw x11, 600(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_99: -// rs1_val == 0xABB4DA1DFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xabb4da1dffffffff; -li x10, 0xabb4da1dffffffff -grevi x11, x10, 7 -sw x11, 608(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_100: -// rs1_val == 0xFB3E7193FFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfb3e7193ffffffff; -li x10, 0xfb3e7193ffffffff -grevi x11, x10, 7 -sw x11, 616(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_101: -// rs1_val == 0x8CB950A7FFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8cb950a7ffffffff; -li x10, 0x8cb950a7ffffffff -grevi x11, x10, 7 -sw x11, 624(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_102: -// rs1_val == 0xE5F31BEFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe5f31befffffffff; -li x10, 0xe5f31befffffffff -grevi x11, x10, 7 -sw x11, 632(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_103: -// rs1_val == 0x3BE93F9FFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x3be93f9fffffffff; -li x10, 0x3be93f9fffffffff -grevi x11, x10, 7 -sw x11, 640(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_104: -// rs1_val == 0x5B1196BFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5b1196bfffffffff; -li x10, 0x5b1196bfffffffff -grevi x11, x10, 7 -sw x11, 648(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_105: -// rs1_val == 0xE084097FFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe084097fffffffff; -li x10, 0xe084097fffffffff -grevi x11, x10, 7 -sw x11, 656(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_106: -// rs1_val == 0x23B6BCFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x23b6bcffffffffff; -li x10, 0x23b6bcffffffffff -grevi x11, x10, 7 -sw x11, 664(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_107: -// rs1_val == 0x6FCFD5FFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6fcfd5ffffffffff; -li x10, 0x6fcfd5ffffffffff -grevi x11, x10, 7 -sw x11, 672(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_108: -// rs1_val == 0x73790BFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x73790bffffffffff; -li x10, 0x73790bffffffffff -grevi x11, x10, 7 -sw x11, 680(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_109: -// rs1_val == 0x432FF7FFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x432ff7ffffffffff; -li x10, 0x432ff7ffffffffff -grevi x11, x10, 7 -sw x11, 688(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_110: -// rs1_val == 0xE36B0FFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe36b0fffffffffff; -li x10, 0xe36b0fffffffffff -grevi x11, x10, 7 -sw x11, 696(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_111: -// rs1_val == 0xCB4ADFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xcb4adfffffffffff; -li x10, 0xcb4adfffffffffff -grevi x11, x10, 7 -sw x11, 704(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_112: -// rs1_val == 0x15BF3FFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x15bf3fffffffffff; -li x10, 0x15bf3fffffffffff -grevi x11, x10, 7 -sw x11, 712(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_113: -// rs1_val == 0xD59A7FFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd59a7fffffffffff; -li x10, 0xd59a7fffffffffff -grevi x11, x10, 7 -sw x11, 720(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_114: -// rs1_val == 0xFE32FFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfe32ffffffffffff; -li x10, 0xfe32ffffffffffff -grevi x11, x10, 7 -sw x11, 728(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_115: -// rs1_val == 0xFF7DFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xff7dffffffffffff; -li x10, 0xff7dffffffffffff -grevi x11, x10, 7 -sw x11, 736(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_116: -// rs1_val == 0xAF23FFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xaf23ffffffffffff; -li x10, 0xaf23ffffffffffff -grevi x11, x10, 7 -sw x11, 744(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_117: -// rs1_val == 0x2057FFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2057ffffffffffff; -li x10, 0x2057ffffffffffff -grevi x11, x10, 7 -sw x11, 752(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_118: -// rs1_val == 0x07AFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x7afffffffffffff; -li x10, 0x7afffffffffffff -grevi x11, x10, 7 -sw x11, 760(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_119: -// rs1_val == 0xBE9FFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xbe9fffffffffffff; -li x10, 0xbe9fffffffffffff -grevi x11, x10, 7 -sw x11, 768(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_120: -// rs1_val == 0xD8BFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd8bfffffffffffff; -li x10, 0xd8bfffffffffffff -grevi x11, x10, 7 -sw x11, 776(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_121: -// rs1_val == 0x127FFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x127fffffffffffff; -li x10, 0x127fffffffffffff -grevi x11, x10, 7 -sw x11, 784(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_122: -// rs1_val == 0x2EFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2effffffffffffff; -li x10, 0x2effffffffffffff -grevi x11, x10, 7 -sw x11, 792(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_123: -// rs1_val == 0xA5FFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa5ffffffffffffff; -li x10, 0xa5ffffffffffffff -grevi x11, x10, 7 -sw x11, 800(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_124: -// rs1_val == 0xDBFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xdbffffffffffffff; -li x10, 0xdbffffffffffffff -grevi x11, x10, 7 -sw x11, 808(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_125: -// rs1_val == 0xA7FFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa7ffffffffffffff; -li x10, 0xa7ffffffffffffff -grevi x11, x10, 7 -sw x11, 816(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_126: -// rs1_val == 0xAFFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xafffffffffffffff; -li x10, 0xafffffffffffffff -grevi x11, x10, 7 -sw x11, 824(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_127: -// rs1_val == 0xDFFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xdfffffffffffffff; -li x10, 0xdfffffffffffffff -grevi x11, x10, 7 -sw x11, 832(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_128: -// rs1_val == 0xBFFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xbfffffffffffffff; -li x10, 0xbfffffffffffffff -grevi x11, x10, 7 -sw x11, 840(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_129: -// rs1_val == 0x7FFFFFFFFFFFFFFF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x7fffffffffffffff; -li x10, 0x7fffffffffffffff -grevi x11, x10, 7 -sw x11, 848(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_130: -// rs1_val == 0xA274EA181E34B3F2 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xa274ea181e34b3f2; -li x10, 0xa274ea181e34b3f2 -grevi x11, x10, 7 -sw x11, 856(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_131: -// rs1_val == 0x6C3FBF4DC20EF165 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6c3fbf4dc20ef165; -li x10, 0x6c3fbf4dc20ef165 -grevi x11, x10, 7 -sw x11, 864(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_132: -// rs1_val == 0x28F918D8F6CDB2F9 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x28f918d8f6cdb2f9; -li x10, 0x28f918d8f6cdb2f9 -grevi x11, x10, 7 -sw x11, 872(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_133: -// rs1_val == 0x13E0D681552454F2 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x13e0d681552454f2; -li x10, 0x13e0d681552454f2 -grevi x11, x10, 7 -sw x11, 880(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_134: -// rs1_val == 0x0FAB6F3E164F1514 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfab6f3e164f1514; -li x10, 0xfab6f3e164f1514 -grevi x11, x10, 7 -sw x11, 888(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_135: -// rs1_val == 0x063E9BED45100359 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x63e9bed45100359; -li x10, 0x63e9bed45100359 -grevi x11, x10, 7 -sw x11, 896(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_136: -// rs1_val == 0x02C6D8F2C74C7CD0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2c6d8f2c74c7cd0; -li x10, 0x2c6d8f2c74c7cd0 -grevi x11, x10, 7 -sw x11, 904(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_137: -// rs1_val == 0x01D03FDDA123F502 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1d03fdda123f502; -li x10, 0x1d03fdda123f502 -grevi x11, x10, 7 -sw x11, 912(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_138: -// rs1_val == 0x00F5380E12B2A415 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf5380e12b2a415; -li x10, 0xf5380e12b2a415 -grevi x11, x10, 7 -sw x11, 920(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_139: -// rs1_val == 0x0077730F65BD9ACC -// opcode: grevi ; op1:x10; dest:x11; op1val:0x77730f65bd9acc; -li x10, 0x77730f65bd9acc -grevi x11, x10, 7 -sw x11, 928(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_140: -// rs1_val == 0x003A6A1DFAF8CDAA -// opcode: grevi ; op1:x10; dest:x11; op1val:0x3a6a1dfaf8cdaa; -li x10, 0x3a6a1dfaf8cdaa -grevi x11, x10, 7 -sw x11, 936(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_141: -// rs1_val == 0x001E5B4578511609 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1e5b4578511609; -li x10, 0x1e5b4578511609 -grevi x11, x10, 7 -sw x11, 944(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_142: -// rs1_val == 0x000D0372E90794E0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xd0372e90794e0; -li x10, 0xd0372e90794e0 -grevi x11, x10, 7 -sw x11, 952(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_143: -// rs1_val == 0x0006A24135B00A55 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6a24135b00a55; -li x10, 0x6a24135b00a55 -grevi x11, x10, 7 -sw x11, 960(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_144: -// rs1_val == 0x00020BDF0023B683 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x20bdf0023b683; -li x10, 0x20bdf0023b683 -grevi x11, x10, 7 -sw x11, 968(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_145: -// rs1_val == 0x000170EED8E94B16 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x170eed8e94b16; -li x10, 0x170eed8e94b16 -grevi x11, x10, 7 -sw x11, 976(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_146: -// rs1_val == 0x0000EF05F542441E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xef05f542441e; -li x10, 0xef05f542441e -grevi x11, x10, 7 -sw x11, 984(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_147: -// rs1_val == 0x00004AAA62F28D1B -// opcode: grevi ; op1:x10; dest:x11; op1val:0x4aaa62f28d1b; -li x10, 0x4aaa62f28d1b -grevi x11, x10, 7 -sw x11, 992(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_148: -// rs1_val == 0x00003CB3D8B9B45D -// opcode: grevi ; op1:x10; dest:x11; op1val:0x3cb3d8b9b45d; -li x10, 0x3cb3d8b9b45d -grevi x11, x10, 7 -sw x11, 1000(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_149: -// rs1_val == 0x00001BE466809A12 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1be466809a12; -li x10, 0x1be466809a12 -grevi x11, x10, 7 -sw x11, 1008(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_150: -// rs1_val == 0x0000092EC42B7171 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x92ec42b7171; -li x10, 0x92ec42b7171 -grevi x11, x10, 7 -sw x11, 1016(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_151: -// rs1_val == 0x0000074F11FA2AC1 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x74f11fa2ac1; -li x10, 0x74f11fa2ac1 -grevi x11, x10, 7 -sw x11, 1024(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_152: -// rs1_val == 0x0000025A49FE85B1 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x25a49fe85b1; -li x10, 0x25a49fe85b1 -grevi x11, x10, 7 -sw x11, 1032(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_153: -// rs1_val == 0x0000017A3ACB6267 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x17a3acb6267; -li x10, 0x17a3acb6267 -grevi x11, x10, 7 -sw x11, 1040(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_154: -// rs1_val == 0x000000C250B601FD -// opcode: grevi ; op1:x10; dest:x11; op1val:0xc250b601fd; -li x10, 0xc250b601fd -grevi x11, x10, 7 -sw x11, 1048(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_155: -// rs1_val == 0x00000067B53302FD -// opcode: grevi ; op1:x10; dest:x11; op1val:0x67b53302fd; -li x10, 0x67b53302fd -grevi x11, x10, 7 -sw x11, 1056(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_156: -// rs1_val == 0x0000002AD7185DDB -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2ad7185ddb; -li x10, 0x2ad7185ddb -grevi x11, x10, 7 -sw x11, 1064(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_157: -// rs1_val == 0x0000001FFEE5A5B3 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1ffee5a5b3; -li x10, 0x1ffee5a5b3 -grevi x11, x10, 7 -sw x11, 1072(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_158: -// rs1_val == 0x0000000AFF66658A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xaff66658a; -li x10, 0xaff66658a -grevi x11, x10, 7 -sw x11, 1080(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_159: -// rs1_val == 0x000000064735AF1D -// opcode: grevi ; op1:x10; dest:x11; op1val:0x64735af1d; -li x10, 0x64735af1d -grevi x11, x10, 7 -sw x11, 1088(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_160: -// rs1_val == 0x0000000275139238 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x275139238; -li x10, 0x275139238 -grevi x11, x10, 7 -sw x11, 1096(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_161: -// rs1_val == 0x00000001A9964AF0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1a9964af0; -li x10, 0x1a9964af0 -grevi x11, x10, 7 -sw x11, 1104(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_162: -// rs1_val == 0x00000000FFF1222A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfff1222a; -li x10, 0xfff1222a -grevi x11, x10, 7 -sw x11, 1112(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_163: -// rs1_val == 0x0000000063EA284E -// opcode: grevi ; op1:x10; dest:x11; op1val:0x63ea284e; -li x10, 0x63ea284e -grevi x11, x10, 7 -sw x11, 1120(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_164: -// rs1_val == 0x0000000024E55161 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x24e55161; -li x10, 0x24e55161 -grevi x11, x10, 7 -sw x11, 1128(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_165: -// rs1_val == 0x0000000017A94DEE -// opcode: grevi ; op1:x10; dest:x11; op1val:0x17a94dee; -li x10, 0x17a94dee -grevi x11, x10, 7 -sw x11, 1136(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_166: -// rs1_val == 0x0000000008C6A5B9 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8c6a5b9; -li x10, 0x8c6a5b9 -grevi x11, x10, 7 -sw x11, 1144(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_167: -// rs1_val == 0x0000000006C468AF -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6c468af; -li x10, 0x6c468af -grevi x11, x10, 7 -sw x11, 1152(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_168: -// rs1_val == 0x0000000003B37E15 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x3b37e15; -li x10, 0x3b37e15 -grevi x11, x10, 7 -sw x11, 1160(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_169: -// rs1_val == 0x0000000001EFFA39 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1effa39; -li x10, 0x1effa39 -grevi x11, x10, 7 -sw x11, 1168(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_170: -// rs1_val == 0x0000000000B17D0C -// opcode: grevi ; op1:x10; dest:x11; op1val:0xb17d0c; -li x10, 0xb17d0c -grevi x11, x10, 7 -sw x11, 1176(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_171: -// rs1_val == 0x00000000007EBDD4 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x7ebdd4; -li x10, 0x7ebdd4 -grevi x11, x10, 7 -sw x11, 1184(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_172: -// rs1_val == 0x000000000023FEB7 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x23feb7; -li x10, 0x23feb7 -grevi x11, x10, 7 -sw x11, 1192(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_173: -// rs1_val == 0x0000000000171685 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x171685; -li x10, 0x171685 -grevi x11, x10, 7 -sw x11, 1200(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_174: -// rs1_val == 0x0000000000098868 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x98868; -li x10, 0x98868 -grevi x11, x10, 7 -sw x11, 1208(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_175: -// rs1_val == 0x000000000005847F -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5847f; -li x10, 0x5847f -grevi x11, x10, 7 -sw x11, 1216(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_176: -// rs1_val == 0x0000000000037797 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x37797; -li x10, 0x37797 -grevi x11, x10, 7 -sw x11, 1224(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_177: -// rs1_val == 0x0000000000015DB9 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x15db9; -li x10, 0x15db9 -grevi x11, x10, 7 -sw x11, 1232(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_178: -// rs1_val == 0x0000000000008735 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x8735; -li x10, 0x8735 -grevi x11, x10, 7 -sw x11, 1240(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_179: -// rs1_val == 0x0000000000006B68 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6b68; -li x10, 0x6b68 -grevi x11, x10, 7 -sw x11, 1248(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_180: -// rs1_val == 0x0000000000002F28 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2f28; -li x10, 0x2f28 -grevi x11, x10, 7 -sw x11, 1256(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_181: -// rs1_val == 0x0000000000001D40 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1d40; -li x10, 0x1d40 -grevi x11, x10, 7 -sw x11, 1264(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_182: -// rs1_val == 0x0000000000000F26 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf26; -li x10, 0xf26 -grevi x11, x10, 7 -sw x11, 1272(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_183: -// rs1_val == 0x0000000000000482 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x482; -li x10, 0x482 -grevi x11, x10, 7 -sw x11, 1280(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_184: -// rs1_val == 0x0000000000000394 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x394; -li x10, 0x394 -grevi x11, x10, 7 -sw x11, 1288(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_185: -// rs1_val == 0x0000000000000154 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x154; -li x10, 0x154 -grevi x11, x10, 7 -sw x11, 1296(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_186: -// rs1_val == 0x00000000000000FA -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfa; -li x10, 0xfa -grevi x11, x10, 7 -sw x11, 1304(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_187: -// rs1_val == 0x0000000000000043 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x43; -li x10, 0x43 -grevi x11, x10, 7 -sw x11, 1312(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_188: -// rs1_val == 0x0000000000000039 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x39; -li x10, 0x39 -grevi x11, x10, 7 -sw x11, 1320(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_189: -// rs1_val == 0x0000000000000013 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x13; -li x10, 0x13 -grevi x11, x10, 7 -sw x11, 1328(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_190: -// rs1_val == 0x000000000000000E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xe; -li x10, 0xe -grevi x11, x10, 7 -sw x11, 1336(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_191: -// rs1_val == 0x0000000000000006 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x6; -li x10, 0x6 -grevi x11, x10, 7 -sw x11, 1344(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_192: -// rs1_val == 0x0000000000000002 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x2; -li x10, 0x2 -grevi x11, x10, 7 -sw x11, 1352(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_193: -// rs1_val == 0x0000000000000001 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x1; -li x10, 0x1 -grevi x11, x10, 7 -sw x11, 1360(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_194: -// rs1_val == 0x5D68F2012DAF94C2 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x5d68f2012daf94c2; -li x10, 0x5d68f2012daf94c2 -grevi x11, x10, 7 -sw x11, 1368(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_195: -// rs1_val == 0x85986ADB9E044707 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x85986adb9e044707; -li x10, 0x85986adb9e044707 -grevi x11, x10, 7 -sw x11, 1376(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_196: -// rs1_val == 0xC4BD48204652F62E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xc4bd48204652f62e; -li x10, 0xc4bd48204652f62e -grevi x11, x10, 7 -sw x11, 1384(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_197: -// rs1_val == 0xEE4839A13ED7E667 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xee4839a13ed7e667; -li x10, 0xee4839a13ed7e667 -grevi x11, x10, 7 -sw x11, 1392(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_198: -// rs1_val == 0xF213516D6A013381 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf213516d6a013381; -li x10, 0xf213516d6a013381 -grevi x11, x10, 7 -sw x11, 1400(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_199: -// rs1_val == 0xF871CFDE6EE84271 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xf871cfde6ee84271; -li x10, 0xf871cfde6ee84271 -grevi x11, x10, 7 -sw x11, 1408(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_200: -// rs1_val == 0xFD432A19F29C11AE -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfd432a19f29c11ae; -li x10, 0xfd432a19f29c11ae -grevi x11, x10, 7 -sw x11, 1416(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_201: -// rs1_val == 0xFEE0888FCEB506F7 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfee0888fceb506f7; -li x10, 0xfee0888fceb506f7 -grevi x11, x10, 7 -sw x11, 1424(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_202: -// rs1_val == 0xFF605EE62A96D06B -// opcode: grevi ; op1:x10; dest:x11; op1val:0xff605ee62a96d06b; -li x10, 0xff605ee62a96d06b -grevi x11, x10, 7 -sw x11, 1432(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_203: -// rs1_val == 0xFF89799918BB28EA -// opcode: grevi ; op1:x10; dest:x11; op1val:0xff89799918bb28ea; -li x10, 0xff89799918bb28ea -grevi x11, x10, 7 -sw x11, 1440(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_204: -// rs1_val == 0xFFCC6148C6880008 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffcc6148c6880008; -li x10, 0xffcc6148c6880008 -grevi x11, x10, 7 -sw x11, 1448(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_205: -// rs1_val == 0xFFEB5EA11CE80B13 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffeb5ea11ce80b13; -li x10, 0xffeb5ea11ce80b13 -grevi x11, x10, 7 -sw x11, 1456(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_206: -// rs1_val == 0xFFF039F699EF1858 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfff039f699ef1858; -li x10, 0xfff039f699ef1858 -grevi x11, x10, 7 -sw x11, 1464(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_207: -// rs1_val == 0xFFFBEA615FC9EBA5 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffbea615fc9eba5; -li x10, 0xfffbea615fc9eba5 -grevi x11, x10, 7 -sw x11, 1472(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_208: -// rs1_val == 0xFFFC8D619136580C -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffc8d619136580c; -li x10, 0xfffc8d619136580c -grevi x11, x10, 7 -sw x11, 1480(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_209: -// rs1_val == 0xFFFE46462651F638 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffe46462651f638; -li x10, 0xfffe46462651f638 -grevi x11, x10, 7 -sw x11, 1488(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_210: -// rs1_val == 0xFFFF1C79DAE98555 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffff1c79dae98555; -li x10, 0xffff1c79dae98555 -grevi x11, x10, 7 -sw x11, 1496(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_211: -// rs1_val == 0xFFFF8E6F889263CF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffff8e6f889263cf; -li x10, 0xffff8e6f889263cf -grevi x11, x10, 7 -sw x11, 1504(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_212: -// rs1_val == 0xFFFFDEE2A86B8A6F -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffdee2a86b8a6f; -li x10, 0xffffdee2a86b8a6f -grevi x11, x10, 7 -sw x11, 1512(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_213: -// rs1_val == 0xFFFFE2AFD167533B -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffe2afd167533b; -li x10, 0xffffe2afd167533b -grevi x11, x10, 7 -sw x11, 1520(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_214: -// rs1_val == 0xFFFFF1A07F216823 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffff1a07f216823; -li x10, 0xfffff1a07f216823 -grevi x11, x10, 7 -sw x11, 1528(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_215: -// rs1_val == 0xFFFFF9090973E89D -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffff9090973e89d; -li x10, 0xfffff9090973e89d -grevi x11, x10, 7 -sw x11, 1536(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_216: -// rs1_val == 0xFFFFFC3769B1DCC0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffc3769b1dcc0; -li x10, 0xfffffc3769b1dcc0 -grevi x11, x10, 7 -sw x11, 1544(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_217: -// rs1_val == 0xFFFFFEB8396BA83B -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffeb8396ba83b; -li x10, 0xfffffeb8396ba83b -grevi x11, x10, 7 -sw x11, 1552(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_218: -// rs1_val == 0xFFFFFF4F280B11FE -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffff4f280b11fe; -li x10, 0xffffff4f280b11fe -grevi x11, x10, 7 -sw x11, 1560(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_219: -// rs1_val == 0xFFFFFF85D814D576 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffff85d814d576; -li x10, 0xffffff85d814d576 -grevi x11, x10, 7 -sw x11, 1568(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_220: -// rs1_val == 0xFFFFFFCC95A4D258 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffcc95a4d258; -li x10, 0xffffffcc95a4d258 -grevi x11, x10, 7 -sw x11, 1576(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_221: -// rs1_val == 0xFFFFFFE610A37559 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffe610a37559; -li x10, 0xffffffe610a37559 -grevi x11, x10, 7 -sw x11, 1584(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_222: -// rs1_val == 0xFFFFFFF66F9FB998 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffff66f9fb998; -li x10, 0xfffffff66f9fb998 -grevi x11, x10, 7 -sw x11, 1592(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_223: -// rs1_val == 0xFFFFFFFB8C8A18B3 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffb8c8a18b3; -li x10, 0xfffffffb8c8a18b3 -grevi x11, x10, 7 -sw x11, 1600(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_224: -// rs1_val == 0xFFFFFFFC507A2561 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffc507a2561; -li x10, 0xfffffffc507a2561 -grevi x11, x10, 7 -sw x11, 1608(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_225: -// rs1_val == 0xFFFFFFFEE5F0307F -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffee5f0307f; -li x10, 0xfffffffee5f0307f -grevi x11, x10, 7 -sw x11, 1616(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_226: -// rs1_val == 0xFFFFFFFF5DBBB7CF -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffff5dbbb7cf; -li x10, 0xffffffff5dbbb7cf -grevi x11, x10, 7 -sw x11, 1624(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_227: -// rs1_val == 0xFFFFFFFF8D884510 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffff8d884510; -li x10, 0xffffffff8d884510 -grevi x11, x10, 7 -sw x11, 1632(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_228: -// rs1_val == 0xFFFFFFFFD0E58651 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffd0e58651; -li x10, 0xffffffffd0e58651 -grevi x11, x10, 7 -sw x11, 1640(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_229: -// rs1_val == 0xFFFFFFFFE24C0602 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffe24c0602; -li x10, 0xffffffffe24c0602 -grevi x11, x10, 7 -sw x11, 1648(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_230: -// rs1_val == 0xFFFFFFFFF109C208 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffff109c208; -li x10, 0xfffffffff109c208 -grevi x11, x10, 7 -sw x11, 1656(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_231: -// rs1_val == 0xFFFFFFFFFB54AA23 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffb54aa23; -li x10, 0xfffffffffb54aa23 -grevi x11, x10, 7 -sw x11, 1664(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_232: -// rs1_val == 0xFFFFFFFFFD02FC91 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffd02fc91; -li x10, 0xfffffffffd02fc91 -grevi x11, x10, 7 -sw x11, 1672(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_233: -// rs1_val == 0xFFFFFFFFFE29D2A0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffe29d2a0; -li x10, 0xfffffffffe29d2a0 -grevi x11, x10, 7 -sw x11, 1680(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_234: -// rs1_val == 0xFFFFFFFFFF7F6F5E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffff7f6f5e; -li x10, 0xffffffffff7f6f5e -grevi x11, x10, 7 -sw x11, 1688(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_235: -// rs1_val == 0xFFFFFFFFFF9104D1 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffff9104d1; -li x10, 0xffffffffff9104d1 -grevi x11, x10, 7 -sw x11, 1696(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_236: -// rs1_val == 0xFFFFFFFFFFCADB0B -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffcadb0b; -li x10, 0xffffffffffcadb0b -grevi x11, x10, 7 -sw x11, 1704(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_237: -// rs1_val == 0xFFFFFFFFFFEEC2B4 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffeec2b4; -li x10, 0xffffffffffeec2b4 -grevi x11, x10, 7 -sw x11, 1712(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_238: -// rs1_val == 0xFFFFFFFFFFF49E5F -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffff49e5f; -li x10, 0xfffffffffff49e5f -grevi x11, x10, 7 -sw x11, 1720(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_239: -// rs1_val == 0xFFFFFFFFFFFAE2EE -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffae2ee; -li x10, 0xfffffffffffae2ee -grevi x11, x10, 7 -sw x11, 1728(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_240: -// rs1_val == 0xFFFFFFFFFFFC7F0E -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffc7f0e; -li x10, 0xfffffffffffc7f0e -grevi x11, x10, 7 -sw x11, 1736(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_241: -// rs1_val == 0xFFFFFFFFFFFE3410 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffe3410; -li x10, 0xfffffffffffe3410 -grevi x11, x10, 7 -sw x11, 1744(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_242: -// rs1_val == 0xFFFFFFFFFFFF6E0A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffff6e0a; -li x10, 0xffffffffffff6e0a -grevi x11, x10, 7 -sw x11, 1752(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_243: -// rs1_val == 0xFFFFFFFFFFFFB32A -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffb32a; -li x10, 0xffffffffffffb32a -grevi x11, x10, 7 -sw x11, 1760(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_244: -// rs1_val == 0xFFFFFFFFFFFFC384 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffc384; -li x10, 0xffffffffffffc384 -grevi x11, x10, 7 -sw x11, 1768(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_245: -// rs1_val == 0xFFFFFFFFFFFFEC1D -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffec1d; -li x10, 0xffffffffffffec1d -grevi x11, x10, 7 -sw x11, 1776(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_246: -// rs1_val == 0xFFFFFFFFFFFFF3F0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffff3f0; -li x10, 0xfffffffffffff3f0 -grevi x11, x10, 7 -sw x11, 1784(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_247: -// rs1_val == 0xFFFFFFFFFFFFFB13 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffb13; -li x10, 0xfffffffffffffb13 -grevi x11, x10, 7 -sw x11, 1792(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_248: -// rs1_val == 0xFFFFFFFFFFFFFC31 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffc31; -li x10, 0xfffffffffffffc31 -grevi x11, x10, 7 -sw x11, 1800(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_249: -// rs1_val == 0xFFFFFFFFFFFFFE44 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffe44; -li x10, 0xfffffffffffffe44 -grevi x11, x10, 7 -sw x11, 1808(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_250: -// rs1_val == 0xFFFFFFFFFFFFFF58 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffff58; -li x10, 0xffffffffffffff58 -grevi x11, x10, 7 -sw x11, 1816(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_251: -// rs1_val == 0xFFFFFFFFFFFFFFB0 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffffb0; -li x10, 0xffffffffffffffb0 -grevi x11, x10, 7 -sw x11, 1824(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_252: -// rs1_val == 0xFFFFFFFFFFFFFFC6 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffffc6; -li x10, 0xffffffffffffffc6 -grevi x11, x10, 7 -sw x11, 1832(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_253: -// rs1_val == 0xFFFFFFFFFFFFFFE8 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xffffffffffffffe8; -li x10, 0xffffffffffffffe8 -grevi x11, x10, 7 -sw x11, 1840(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_254: -// rs1_val == 0xFFFFFFFFFFFFFFF2 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffff2; -li x10, 0xfffffffffffffff2 -grevi x11, x10, 7 -sw x11, 1848(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_255: -// rs1_val == 0xFFFFFFFFFFFFFFF9 -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffff9; -li x10, 0xfffffffffffffff9 -grevi x11, x10, 7 -sw x11, 1856(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_256: -// rs1_val == 0xFFFFFFFFFFFFFFFD -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; -li x10, 0xfffffffffffffffd -grevi x11, x10, 7 -sw x11, 1864(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_257: -// rs1_val == 0xFFFFFFFFFFFFFFFE -// opcode: grevi ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; -li x10, 0xfffffffffffffffe -grevi x11, x10, 7 -sw x11, 1872(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_258: -// rs1_val == 0x9480000000000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x9480000000000000; -li x10, 0x9480000000000000 -grevi x11, x10, 7 -sw x11, 1880(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_259: -// rs1_val == 0x77B0000000000000 -// opcode: grevi ; op1:x10; dest:x11; op1val:0x77b0000000000000; -li x10, 0x77b0000000000000 -grevi x11, x10, 7 -sw x11, 1888(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x15_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x15_1: - .fill 23*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 237*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rol-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rol-01.S deleted file mode 100644 index 2854f2b96..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rol-01.S +++ /dev/null @@ -1,2719 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the rol instruction of the RISC-V extension for the rol covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",rol) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",rol) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",rol) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",rol) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x27, rs2==x27, rd==x18, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: rol ; op1:x27; op2:x27; dest:x18; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(rol, x18, x27, x27, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x1, 0, x5) - -inst_1: -// rs1 == rs2 == rd, rs1==x10, rs2==x10, rd==x10, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: rol ; op1:x10; op2:x10; dest:x10; op1val:0x5ba2c7dd5ae10604; op2val:0x5ba2c7dd5ae10604 -TEST_RR_OP(rol, x10, x10, x10, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x5ba2c7dd5ae10604, x1, 8, x5) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x7, rs2==x11, rd==x29, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: rol ; op1:x7; op2:x11; dest:x29; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(rol, x29, x7, x11, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x1, 16, x5) - -inst_3: -// rs1 == rd != rs2, rs1==x3, rs2==x29, rd==x3, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: rol ; op1:x3; op2:x29; dest:x3; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(rol, x3, x3, x29, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x1, 24, x5) - -inst_4: -// rs2 == rd != rs1, rs1==x11, rs2==x12, rd==x12, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: rol ; op1:x11; op2:x12; dest:x12; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(rol, x12, x11, x12, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x1, 32, x5) - -inst_5: -// rs1==x13, rs2==x22, rd==x20, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: rol ; op1:x13; op2:x22; dest:x20; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(rol, x20, x13, x22, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x1, 40, x5) - -inst_6: -// rs1==x21, rs2==x19, rd==x9, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: rol ; op1:x21; op2:x19; dest:x9; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(rol, x9, x21, x19, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x1, 48, x5) - -inst_7: -// rs1==x29, rs2==x0, rd==x23, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: rol ; op1:x29; op2:x0; dest:x23; op1val:0x2405b307cec7313a; op2val:0x0 -TEST_RR_OP(rol, x23, x29, x0, 0x0000000000000000, 0x2405b307cec7313a, 0x0, x1, 56, x5) - -inst_8: -// rs1==x0, rs2==x23, rd==x28, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: rol ; op1:x0; op2:x23; dest:x28; op1val:0x0; op2val:0x8200000000000000 -TEST_RR_OP(rol, x28, x0, x23, 0x0000000000000000, 0x0, 0x8200000000000000, x1, 64, x5) - -inst_9: -// rs1==x15, rs2==x20, rd==x25, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: rol ; op1:x15; op2:x20; dest:x25; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(rol, x25, x15, x20, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x1, 72, x5) - -inst_10: -// rs1==x30, rs2==x9, rd==x11, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: rol ; op1:x30; op2:x9; dest:x11; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(rol, x11, x30, x9, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x1, 80, x5) - -inst_11: -// rs1==x16, rs2==x31, rd==x7, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: rol ; op1:x16; op2:x31; dest:x7; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(rol, x7, x16, x31, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x1, 88, x5) - -inst_12: -// rs1==x6, rs2==x30, rd==x27, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: rol ; op1:x6; op2:x30; dest:x27; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(rol, x27, x6, x30, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x1, 96, x5) - -inst_13: -// rs1==x24, rs2==x2, rd==x26, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: rol ; op1:x24; op2:x2; dest:x26; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(rol, x26, x24, x2, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x1, 104, x5) - -inst_14: -// rs1==x28, rs2==x4, rd==x6, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: rol ; op1:x28; op2:x4; dest:x6; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(rol, x6, x28, x4, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x1, 112, x5) - -inst_15: -// rs1==x22, rs2==x18, rd==x2, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: rol ; op1:x22; op2:x18; dest:x2; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(rol, x2, x22, x18, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x1, 120, x5) - -inst_16: -// rs1==x17, rs2==x13, rd==x4, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: rol ; op1:x17; op2:x13; dest:x4; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(rol, x4, x17, x13, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x1, 128, x5) - -inst_17: -// rs1==x20, rs2==x14, rd==x17, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: rol ; op1:x20; op2:x14; dest:x17; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(rol, x17, x20, x14, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x1, 136, x27) -RVTEST_SIGBASE( x20,signature_x20_0) - -inst_18: -// rs1==x2, rs2==x21, rd==x24, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: rol ; op1:x2; op2:x21; dest:x24; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(rol, x24, x2, x21, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x20, 0, x27) - -inst_19: -// rs1==x23, rs2==x17, rd==x5, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: rol ; op1:x23; op2:x17; dest:x5; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(rol, x5, x23, x17, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x20, 8, x27) - -inst_20: -// rs1==x14, rs2==x3, rd==x16, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: rol ; op1:x14; op2:x3; dest:x16; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(rol, x16, x14, x3, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x20, 16, x27) - -inst_21: -// rs1==x25, rs2==x24, rd==x15, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: rol ; op1:x25; op2:x24; dest:x15; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(rol, x15, x25, x24, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x20, 24, x27) - -inst_22: -// rs1==x1, rs2==x25, rd==x22, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: rol ; op1:x1; op2:x25; dest:x22; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(rol, x22, x1, x25, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x20, 32, x27) - -inst_23: -// rs1==x4, rs2==x16, rd==x30, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: rol ; op1:x4; op2:x16; dest:x30; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(rol, x30, x4, x16, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x20, 40, x27) - -inst_24: -// rs1==x31, rs2==x8, rd==x0, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: rol ; op1:x31; op2:x8; dest:x0; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(rol, x0, x31, x8, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x20, 48, x27) - -inst_25: -// rs1==x12, rs2==x15, rd==x19, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: rol ; op1:x12; op2:x15; dest:x19; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(rol, x19, x12, x15, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x20, 56, x27) - -inst_26: -// rs1==x18, rs2==x5, rd==x8, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: rol ; op1:x18; op2:x5; dest:x8; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(rol, x8, x18, x5, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x20, 64, x27) - -inst_27: -// rs1==x8, rs2==x26, rd==x14, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: rol ; op1:x8; op2:x26; dest:x14; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(rol, x14, x8, x26, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x20, 72, x27) - -inst_28: -// rs1==x19, rs2==x6, rd==x13, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: rol ; op1:x19; op2:x6; dest:x13; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(rol, x13, x19, x6, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x20, 80, x27) - -inst_29: -// rs1==x9, rs2==x7, rd==x1, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: rol ; op1:x9; op2:x7; dest:x1; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(rol, x1, x9, x7, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x20, 88, x27) - -inst_30: -// rs1==x26, rs2==x28, rd==x31, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: rol ; op1:x26; op2:x28; dest:x31; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(rol, x31, x26, x28, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x20, 96, x27) - -inst_31: -// rs1==x5, rs2==x1, rd==x21, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: rol ; op1:x5; op2:x1; dest:x21; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(rol, x21, x5, x1, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x20, 104, x27) - -inst_32: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x20, 112, x27) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_33: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x1, 0, x2) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x1, 8, x2) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x1, 16, x2) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x1, 24, x2) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x1, 32, x2) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x1, 40, x2) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x1, 48, x2) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x1, 56, x2) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x1, 64, x2) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x1, 72, x2) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x1, 80, x2) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x1, 88, x2) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x1, 96, x2) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x1, 104, x2) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x1, 112, x2) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x1, 120, x2) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x1, 128, x2) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x1, 136, x2) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x1, 144, x2) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x1, 152, x2) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x1, 160, x2) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x1, 168, x2) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x1, 176, x2) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x1, 184, x2) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x1, 192, x2) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x1, 200, x2) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x1, 208, x2) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x1, 216, x2) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x1, 224, x2) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x1, 232, x2) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x1, 240, x2) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x1, 248, x2) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x1, 256, x2) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x1, 264, x2) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x1, 272, x2) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x1, 280, x2) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x1, 288, x2) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x1, 296, x2) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x1, 304, x2) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x1, 312, x2) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x1, 320, x2) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x1, 328, x2) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x1, 336, x2) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x1, 344, x2) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x1, 352, x2) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x1, 360, x2) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x1, 368, x2) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x1, 376, x2) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x1, 384, x2) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x1, 392, x2) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x1, 400, x2) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x1, 408, x2) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x1, 416, x2) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x1, 424, x2) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x1, 432, x2) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x1, 440, x2) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x1, 448, x2) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x1, 456, x2) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x1, 464, x2) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x1, 472, x2) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x1, 480, x2) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x1, 488, x2) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x1, 496, x2) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x1, 504, x2) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x1, 512, x2) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x1, 520, x2) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x1, 528, x2) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x1, 536, x2) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x1, 544, x2) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x1, 552, x2) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x1, 560, x2) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x1, 568, x2) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x1, 576, x2) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x1, 584, x2) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x1, 592, x2) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x1, 600, x2) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x1, 608, x2) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x1, 616, x2) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x1, 624, x2) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x1, 632, x2) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x1, 640, x2) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x1, 648, x2) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x1, 656, x2) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x1, 664, x2) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x1, 672, x2) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x1, 680, x2) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x1, 688, x2) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x1, 696, x2) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x1, 704, x2) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x1, 712, x2) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x1, 720, x2) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x1, 728, x2) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x1, 736, x2) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x1, 744, x2) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x1, 752, x2) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x1, 760, x2) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x1, 768, x2) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x1, 776, x2) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x1, 784, x2) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x1, 792, x2) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x1, 800, x2) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x1, 808, x2) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x1, 816, x2) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x1, 824, x2) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x1, 832, x2) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x1, 840, x2) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x1, 848, x2) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x1, 856, x2) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x1, 864, x2) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x1, 872, x2) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x1, 880, x2) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x1, 888, x2) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x1, 896, x2) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x1, 904, x2) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x1, 912, x2) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x1, 920, x2) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x1, 928, x2) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x1, 936, x2) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x1, 944, x2) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x1, 952, x2) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x1, 960, x2) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x1, 968, x2) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x1, 976, x2) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x1, 984, x2) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x1, 992, x2) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x1, 1000, x2) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x1, 1008, x2) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x1, 1016, x2) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x1, 1024, x2) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x1, 1032, x2) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x1, 1040, x2) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x1, 1048, x2) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x1, 1056, x2) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x1, 1064, x2) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x1, 1072, x2) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x1, 1080, x2) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x1, 1088, x2) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x1, 1096, x2) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x1, 1104, x2) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x1, 1112, x2) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x1, 1120, x2) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x1, 1128, x2) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x1, 1136, x2) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x1, 1144, x2) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x1, 1152, x2) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x1, 1160, x2) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x1, 1168, x2) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x1, 1176, x2) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x1, 1184, x2) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x1, 1192, x2) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x1, 1200, x2) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x1, 1208, x2) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x1, 1216, x2) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x1, 1224, x2) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x1, 1232, x2) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x1, 1240, x2) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x1, 1248, x2) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x1, 1256, x2) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x1, 1264, x2) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x1, 1272, x2) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x1, 1280, x2) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x1, 1288, x2) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x1, 1296, x2) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x1, 1304, x2) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x1, 1312, x2) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x1, 1320, x2) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x1, 1328, x2) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x1, 1336, x2) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x1, 1344, x2) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x1, 1352, x2) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x1, 1360, x2) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x1, 1368, x2) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x1, 1376, x2) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x1, 1384, x2) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x1, 1392, x2) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x1, 1400, x2) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x1, 1408, x2) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x1, 1416, x2) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x1, 1424, x2) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x1, 1432, x2) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x1, 1440, x2) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x1, 1448, x2) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x1, 1456, x2) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x1, 1464, x2) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x1, 1472, x2) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x1, 1480, x2) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x1, 1488, x2) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x1, 1496, x2) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x1, 1504, x2) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x1, 1512, x2) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x1, 1520, x2) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x1, 1528, x2) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x1, 1536, x2) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x1, 1544, x2) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x1, 1552, x2) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x1, 1560, x2) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x1, 1568, x2) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x1, 1576, x2) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x1, 1584, x2) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x1, 1592, x2) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x1, 1600, x2) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x1, 1608, x2) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x1, 1616, x2) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x1, 1624, x2) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x1, 1632, x2) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x1, 1640, x2) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x1, 1648, x2) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x1, 1656, x2) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x1, 1664, x2) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x1, 1672, x2) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x1, 1680, x2) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x1, 1688, x2) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x1, 1696, x2) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x1, 1704, x2) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x1, 1712, x2) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x1, 1720, x2) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x1, 1728, x2) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x1, 1736, x2) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x1, 1744, x2) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x1, 1752, x2) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x1, 1760, x2) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x1, 1768, x2) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x1, 1776, x2) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x1, 1784, x2) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x1, 1792, x2) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x1, 1800, x2) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x1, 1808, x2) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x1, 1816, x2) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x1, 1824, x2) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x1, 1832, x2) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x1, 1840, x2) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x1, 1848, x2) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x1, 1856, x2) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x1, 1864, x2) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x1, 1872, x2) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x1, 1880, x2) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x1, 1888, x2) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x1, 1896, x2) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x1, 1904, x2) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x1, 1912, x2) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x1, 1920, x2) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x1, 1928, x2) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x1, 1936, x2) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x1, 1944, x2) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x1, 1952, x2) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x1, 1960, x2) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x1, 1968, x2) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x1, 1976, x2) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x1, 1984, x2) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x1, 1992, x2) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x1, 2000, x2) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x1, 2008, x2) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x1, 2016, x2) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x1, 2024, x2) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x1, 2032, x2) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x1, 2040, x2) -RVTEST_SIGBASE( x1,signature_x1_3) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x1, 0, x2) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x1, 8, x2) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x1, 16, x2) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x1, 24, x2) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x1, 32, x2) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x1, 40, x2) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x1, 48, x2) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x1, 56, x2) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x1, 64, x2) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x1, 72, x2) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x1, 80, x2) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x1, 88, x2) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x1, 96, x2) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x1, 104, x2) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x1, 112, x2) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x1, 120, x2) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x1, 128, x2) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x1, 136, x2) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x1, 144, x2) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x1, 152, x2) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x1, 160, x2) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x1, 168, x2) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x1, 176, x2) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x1, 184, x2) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x1, 192, x2) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x1, 200, x2) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x1, 208, x2) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x1, 216, x2) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x1, 224, x2) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x1, 232, x2) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x1, 240, x2) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x1, 248, x2) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x1, 256, x2) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x1, 264, x2) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x1, 272, x2) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x1, 280, x2) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x1, 288, x2) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x1, 296, x2) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x1, 304, x2) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x1, 312, x2) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x1, 320, x2) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x1, 328, x2) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x1, 336, x2) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x1, 344, x2) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x1, 352, x2) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x1, 360, x2) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x1, 368, x2) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x1, 376, x2) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x1, 384, x2) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x1, 392, x2) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x1, 400, x2) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x1, 408, x2) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x1, 416, x2) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x1, 424, x2) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x1, 432, x2) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x1, 440, x2) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x1, 448, x2) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x1, 456, x2) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x1, 464, x2) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x1, 472, x2) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x1, 480, x2) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x1, 488, x2) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x1, 496, x2) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x1, 504, x2) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x1, 512, x2) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x1, 520, x2) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x1, 528, x2) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x1, 536, x2) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x1, 544, x2) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x1, 552, x2) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x1, 560, x2) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x1, 568, x2) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x1, 576, x2) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x1, 584, x2) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x1, 592, x2) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x1, 600, x2) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x1, 608, x2) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x1, 616, x2) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x1, 624, x2) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x1, 632, x2) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x1, 640, x2) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x1, 648, x2) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x1, 656, x2) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x1, 664, x2) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x1, 672, x2) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x1, 680, x2) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x1, 688, x2) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x1, 696, x2) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x1, 704, x2) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x1, 712, x2) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x1, 720, x2) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x1, 728, x2) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x1, 736, x2) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x1, 744, x2) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x1, 752, x2) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x1, 760, x2) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x1, 768, x2) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x1, 776, x2) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x1, 784, x2) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x1, 792, x2) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x1, 800, x2) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x1, 808, x2) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x1, 816, x2) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x1, 824, x2) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x1, 832, x2) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x1, 840, x2) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x1, 848, x2) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x1, 856, x2) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x1, 864, x2) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x1, 872, x2) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x1, 880, x2) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x1, 888, x2) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x1, 896, x2) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x1, 904, x2) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x1, 912, x2) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x1, 920, x2) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x1, 928, x2) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x1, 936, x2) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x1, 944, x2) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x1, 952, x2) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x1, 960, x2) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x1, 968, x2) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x1, 976, x2) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x1, 984, x2) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x1, 992, x2) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x1, 1000, x2) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x1, 1008, x2) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x1, 1016, x2) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x1, 1024, x2) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x1, 1032, x2) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x1, 1040, x2) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x1, 1048, x2) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x1, 1056, x2) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x1, 1064, x2) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x1, 1072, x2) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x1, 1080, x2) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x1, 1088, x2) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x1, 1096, x2) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x1, 1104, x2) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x1, 1112, x2) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x1, 1120, x2) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x1, 1128, x2) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x1, 1136, x2) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x1, 1144, x2) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x1, 1152, x2) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x1, 1160, x2) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x1, 1168, x2) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x1, 1176, x2) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x1, 1184, x2) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x1, 1192, x2) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x1, 1200, x2) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x1, 1208, x2) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x1, 1216, x2) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x1, 1224, x2) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x1, 1232, x2) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x1, 1240, x2) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x1, 1248, x2) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x1, 1256, x2) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x1, 1264, x2) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x1, 1272, x2) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x1, 1280, x2) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x1, 1288, x2) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x1, 1296, x2) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x1, 1304, x2) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x1, 1312, x2) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x1, 1320, x2) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x1, 1328, x2) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x1, 1336, x2) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x1, 1344, x2) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x1, 1352, x2) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x1, 1360, x2) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x1, 1368, x2) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x1, 1376, x2) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x1, 1384, x2) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x1, 1392, x2) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x1, 1400, x2) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x1, 1408, x2) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x1, 1416, x2) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x1, 1424, x2) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x1, 1432, x2) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x1, 1440, x2) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x1, 1448, x2) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x1, 1456, x2) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x1, 1464, x2) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x1, 1472, x2) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x1, 1480, x2) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x1, 1488, x2) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x1, 1496, x2) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x1, 1504, x2) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x1, 1512, x2) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x1, 1520, x2) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x1, 1528, x2) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x1, 1536, x2) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x1, 1544, x2) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x1, 1552, x2) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x1, 1560, x2) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x1, 1568, x2) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x1, 1576, x2) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x1, 1584, x2) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x1, 1592, x2) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x1, 1600, x2) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x1, 1608, x2) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x1, 1616, x2) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x1, 1624, x2) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x1, 1632, x2) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x1, 1640, x2) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x1, 1648, x2) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x1, 1656, x2) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x1, 1664, x2) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x1, 1672, x2) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x1, 1680, x2) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x1, 1688, x2) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x1, 1696, x2) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x1, 1704, x2) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x1, 1712, x2) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x1, 1720, x2) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x1, 1728, x2) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x1, 1736, x2) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x1, 1744, x2) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x1, 1752, x2) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x1, 1760, x2) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x1, 1768, x2) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x1, 1776, x2) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x1, 1784, x2) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x1, 1792, x2) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x1, 1800, x2) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x1, 1808, x2) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x1, 1816, x2) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x1, 1824, x2) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x1, 1832, x2) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x1, 1840, x2) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x1, 1848, x2) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x1, 1856, x2) - -inst_522: -// rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x1, 1864, x2) - -inst_523: -// rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x1, 1872, x2) - -inst_524: -// rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: rol ; op1:x10; op2:x11; dest:x12; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(rol, x12, x10, x11, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x1, 1880, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 18*(XLEN/32),4,0xdeadbeef - - -signature_x20_0: - .fill 15*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x1_3: - .fill 236*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rolw-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rolw-01.S deleted file mode 100644 index 1b5351ee5..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rolw-01.S +++ /dev/null @@ -1,2714 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the rolw instruction of the RISC-V extension for the rolw covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",rolw) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKs.*);def TEST_CASE_1=True;",rolw) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",rolw) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKb.*);def TEST_CASE_1=True;",rolw) - -RVTEST_SIGBASE( x6,signature_x6_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x31, rs2==x31, rd==x27, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: rolw ; op1:x31; op2:x31; dest:x27; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(rolw, x27, x31, x31, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x6, 0, x2) - -inst_1: -// rs1 == rs2 == rd, rs1==x25, rs2==x25, rd==x25, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: rolw ; op1:x25; op2:x25; dest:x25; op1val:0x5ba2c7dd5ae10604; op2val:0x5ba2c7dd5ae10604 -TEST_RR_OP(rolw, x25, x25, x25, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x5ba2c7dd5ae10604, x6, 8, x2) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x17, rs2==x3, rd==x9, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: rolw ; op1:x17; op2:x3; dest:x9; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(rolw, x9, x17, x3, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x6, 16, x2) - -inst_3: -// rs1 == rd != rs2, rs1==x19, rs2==x16, rd==x19, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: rolw ; op1:x19; op2:x16; dest:x19; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(rolw, x19, x19, x16, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x6, 24, x2) - -inst_4: -// rs2 == rd != rs1, rs1==x30, rs2==x18, rd==x18, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: rolw ; op1:x30; op2:x18; dest:x18; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(rolw, x18, x30, x18, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x6, 32, x2) - -inst_5: -// rs1==x14, rs2==x7, rd==x16, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: rolw ; op1:x14; op2:x7; dest:x16; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(rolw, x16, x14, x7, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x6, 40, x2) - -inst_6: -// rs1==x29, rs2==x21, rd==x4, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: rolw ; op1:x29; op2:x21; dest:x4; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(rolw, x4, x29, x21, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x6, 48, x2) - -inst_7: -// rs1==x5, rs2==x26, rd==x14, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: rolw ; op1:x5; op2:x26; dest:x14; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(rolw, x14, x5, x26, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x6, 56, x2) - -inst_8: -// rs1==x8, rs2==x20, rd==x5, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: rolw ; op1:x8; op2:x20; dest:x5; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(rolw, x5, x8, x20, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x6, 64, x2) - -inst_9: -// rs1==x12, rs2==x11, rd==x30, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: rolw ; op1:x12; op2:x11; dest:x30; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(rolw, x30, x12, x11, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x6, 72, x2) - -inst_10: -// rs1==x22, rs2==x28, rd==x21, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: rolw ; op1:x22; op2:x28; dest:x21; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(rolw, x21, x22, x28, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x6, 80, x2) - -inst_11: -// rs1==x0, rs2==x9, rd==x3, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: rolw ; op1:x0; op2:x9; dest:x3; op1val:0x0; op2val:0x1940000000000000 -TEST_RR_OP(rolw, x3, x0, x9, 0x0000000000000000, 0x0, 0x1940000000000000, x6, 88, x2) - -inst_12: -// rs1==x3, rs2==x30, rd==x13, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: rolw ; op1:x3; op2:x30; dest:x13; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(rolw, x13, x3, x30, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x6, 96, x2) - -inst_13: -// rs1==x15, rs2==x29, rd==x17, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: rolw ; op1:x15; op2:x29; dest:x17; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(rolw, x17, x15, x29, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x6, 104, x2) - -inst_14: -// rs1==x7, rs2==x19, rd==x10, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: rolw ; op1:x7; op2:x19; dest:x10; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(rolw, x10, x7, x19, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x6, 112, x2) - -inst_15: -// rs1==x27, rs2==x10, rd==x7, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: rolw ; op1:x27; op2:x10; dest:x7; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(rolw, x7, x27, x10, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x6, 120, x2) - -inst_16: -// rs1==x9, rs2==x1, rd==x12, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: rolw ; op1:x9; op2:x1; dest:x12; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(rolw, x12, x9, x1, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x6, 128, x2) - -inst_17: -// rs1==x21, rs2==x12, rd==x2, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: rolw ; op1:x21; op2:x12; dest:x2; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(rolw, x2, x21, x12, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x6, 136, x7) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_18: -// rs1==x11, rs2==x0, rd==x1, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: rolw ; op1:x11; op2:x0; dest:x1; op1val:0x718e177de812dd49; op2val:0x0 -TEST_RR_OP(rolw, x1, x11, x0, 0x0000000000000000, 0x718e177de812dd49, 0x0, x3, 0, x7) - -inst_19: -// rs1==x26, rs2==x2, rd==x15, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: rolw ; op1:x26; op2:x2; dest:x15; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(rolw, x15, x26, x2, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x3, 8, x7) - -inst_20: -// rs1==x13, rs2==x6, rd==x22, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: rolw ; op1:x13; op2:x6; dest:x22; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(rolw, x22, x13, x6, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x3, 16, x7) - -inst_21: -// rs1==x28, rs2==x14, rd==x29, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: rolw ; op1:x28; op2:x14; dest:x29; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(rolw, x29, x28, x14, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x3, 24, x7) - -inst_22: -// rs1==x2, rs2==x24, rd==x20, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: rolw ; op1:x2; op2:x24; dest:x20; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(rolw, x20, x2, x24, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x3, 32, x7) - -inst_23: -// rs1==x20, rs2==x4, rd==x0, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: rolw ; op1:x20; op2:x4; dest:x0; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(rolw, x0, x20, x4, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x3, 40, x7) - -inst_24: -// rs1==x4, rs2==x5, rd==x23, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: rolw ; op1:x4; op2:x5; dest:x23; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(rolw, x23, x4, x5, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x3, 48, x7) - -inst_25: -// rs1==x23, rs2==x13, rd==x31, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: rolw ; op1:x23; op2:x13; dest:x31; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(rolw, x31, x23, x13, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x3, 56, x7) - -inst_26: -// rs1==x24, rs2==x8, rd==x11, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: rolw ; op1:x24; op2:x8; dest:x11; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(rolw, x11, x24, x8, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x3, 64, x7) - -inst_27: -// rs1==x18, rs2==x17, rd==x24, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: rolw ; op1:x18; op2:x17; dest:x24; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(rolw, x24, x18, x17, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x3, 72, x7) - -inst_28: -// rs1==x10, rs2==x15, rd==x26, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: rolw ; op1:x10; op2:x15; dest:x26; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(rolw, x26, x10, x15, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x3, 80, x7) - -inst_29: -// rs1==x6, rs2==x22, rd==x8, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: rolw ; op1:x6; op2:x22; dest:x8; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(rolw, x8, x6, x22, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x3, 88, x7) - -inst_30: -// rs1==x16, rs2==x27, rd==x28, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: rolw ; op1:x16; op2:x27; dest:x28; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(rolw, x28, x16, x27, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x3, 96, x7) - -inst_31: -// rs1==x1, rs2==x23, rd==x6, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: rolw ; op1:x1; op2:x23; dest:x6; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(rolw, x6, x1, x23, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x3, 104, x7) - -inst_32: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x3, 112, x7) - -inst_33: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x3, 120, x7) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x3, 128, x7) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x3, 136, x7) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x3, 144, x7) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x3, 152, x7) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x3, 160, x7) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x3, 168, x7) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x3, 176, x7) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x3, 184, x7) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x3, 192, x7) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x3, 200, x7) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x3, 208, x7) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x3, 216, x7) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x3, 224, x7) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x3, 232, x7) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x3, 240, x7) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x3, 248, x7) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x3, 256, x7) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x3, 264, x7) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x3, 272, x7) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x3, 280, x7) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x3, 288, x7) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x3, 296, x7) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x3, 304, x7) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x3, 312, x7) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x3, 320, x7) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x3, 328, x7) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x3, 336, x7) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x3, 344, x7) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x3, 352, x7) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x3, 360, x7) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x3, 368, x7) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x3, 376, x7) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x3, 384, x7) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x3, 392, x7) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x3, 400, x7) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x3, 408, x7) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x3, 416, x7) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x3, 424, x7) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x3, 432, x7) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x3, 440, x7) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x3, 448, x7) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x3, 456, x7) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x3, 464, x7) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x3, 472, x7) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x3, 480, x7) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x3, 488, x7) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x3, 496, x7) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x3, 504, x7) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x3, 512, x7) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x3, 520, x7) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x3, 528, x7) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x3, 536, x7) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x3, 544, x7) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x3, 552, x7) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x3, 560, x7) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x3, 568, x7) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x3, 576, x7) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x3, 584, x7) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x3, 592, x7) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x3, 600, x7) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x3, 608, x7) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x3, 616, x7) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x3, 624, x7) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x3, 632, x7) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x3, 640, x7) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x3, 648, x7) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x3, 656, x7) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x3, 664, x7) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x3, 672, x7) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x3, 680, x7) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x3, 688, x7) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x3, 696, x7) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x3, 704, x7) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x3, 712, x7) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x3, 720, x7) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x3, 728, x7) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x3, 736, x7) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x3, 744, x7) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x3, 752, x7) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x3, 760, x7) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x3, 768, x7) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x3, 776, x7) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x3, 784, x7) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x3, 792, x7) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x3, 800, x7) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x3, 808, x7) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x3, 816, x7) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x3, 824, x7) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x3, 832, x7) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x3, 840, x7) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x3, 848, x7) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x3, 856, x7) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x3, 864, x7) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x3, 872, x7) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x3, 880, x7) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x3, 888, x7) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x3, 896, x7) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x3, 904, x7) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x3, 912, x7) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x3, 920, x7) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x3, 928, x7) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x3, 936, x7) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x3, 944, x7) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x3, 952, x7) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x3, 960, x7) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x3, 968, x7) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x3, 976, x7) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x3, 984, x7) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x3, 992, x7) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x3, 1000, x7) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x3, 1008, x7) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x3, 1016, x7) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x3, 1024, x7) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x3, 1032, x7) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x3, 1040, x7) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x3, 1048, x7) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x3, 1056, x7) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x3, 1064, x7) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x3, 1072, x7) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x3, 1080, x7) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x3, 1088, x7) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x3, 1096, x7) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x3, 1104, x7) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x3, 1112, x7) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x3, 1120, x7) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x3, 1128, x7) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x3, 1136, x7) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x3, 1144, x7) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x3, 1152, x7) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x3, 1160, x7) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x3, 1168, x7) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x3, 1176, x7) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x3, 1184, x7) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x3, 1192, x7) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x3, 1200, x7) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x3, 1208, x7) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x3, 1216, x7) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x3, 1224, x7) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x3, 1232, x7) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x3, 1240, x7) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x3, 1248, x7) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x3, 1256, x7) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x3, 1264, x7) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x3, 1272, x7) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x3, 1280, x7) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x3, 1288, x7) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x3, 1296, x7) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x3, 1304, x7) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x3, 1312, x7) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x3, 1320, x7) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x3, 1328, x7) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x3, 1336, x7) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x3, 1344, x7) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x3, 1352, x7) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x3, 1360, x7) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x3, 1368, x7) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x3, 1376, x7) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x3, 1384, x7) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x3, 1392, x7) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x3, 1400, x7) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x3, 1408, x7) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x3, 1416, x7) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x3, 1424, x7) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x3, 1432, x7) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x3, 1440, x7) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x3, 1448, x7) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x3, 1456, x7) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x3, 1464, x7) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x3, 1472, x7) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x3, 1480, x7) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x3, 1488, x7) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x3, 1496, x7) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x3, 1504, x7) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x3, 1512, x7) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x3, 1520, x7) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x3, 1528, x7) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x3, 1536, x7) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x3, 1544, x7) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x3, 1552, x7) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x3, 1560, x7) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x3, 1568, x7) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x3, 1576, x7) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x3, 1584, x7) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x3, 1592, x7) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x3, 1600, x7) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x3, 1608, x7) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x3, 1616, x7) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x3, 1624, x7) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x3, 1632, x7) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x3, 1640, x7) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x3, 1648, x7) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x3, 1656, x7) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x3, 1664, x7) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x3, 1672, x7) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x3, 1680, x7) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x3, 1688, x7) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x3, 1696, x7) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x3, 1704, x7) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x3, 1712, x7) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x3, 1720, x7) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x3, 1728, x7) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x3, 1736, x7) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x3, 1744, x7) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x3, 1752, x7) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x3, 1760, x7) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x3, 1768, x7) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x3, 1776, x7) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x3, 1784, x7) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x3, 1792, x7) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x3, 1800, x7) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x3, 1808, x7) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x3, 1816, x7) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x3, 1824, x7) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x3, 1832, x7) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x3, 1840, x7) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x3, 1848, x7) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x3, 1856, x7) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x3, 1864, x7) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x3, 1872, x7) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x3, 1880, x7) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x3, 1888, x7) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x3, 1896, x7) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x3, 1904, x7) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x3, 1912, x7) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x3, 1920, x7) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x3, 1928, x7) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x3, 1936, x7) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x3, 1944, x7) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x3, 1952, x7) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x3, 1960, x7) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x3, 1968, x7) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x3, 1976, x7) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x3, 1984, x7) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x3, 1992, x7) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x3, 2000, x7) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x3, 2008, x7) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x3, 2016, x7) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x3, 2024, x7) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x3, 2032, x7) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x3, 2040, x7) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x3, 0, x7) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x3, 8, x7) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x3, 16, x7) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x3, 24, x7) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x3, 32, x7) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x3, 40, x7) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x3, 48, x7) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x3, 56, x7) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x3, 64, x7) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x3, 72, x7) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x3, 80, x7) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x3, 88, x7) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x3, 96, x7) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x3, 104, x7) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x3, 112, x7) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x3, 120, x7) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x3, 128, x7) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x3, 136, x7) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x3, 144, x7) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x3, 152, x7) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x3, 160, x7) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x3, 168, x7) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x3, 176, x7) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x3, 184, x7) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x3, 192, x7) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x3, 200, x7) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x3, 208, x7) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x3, 216, x7) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x3, 224, x7) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x3, 232, x7) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x3, 240, x7) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x3, 248, x7) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x3, 256, x7) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x3, 264, x7) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x3, 272, x7) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x3, 280, x7) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x3, 288, x7) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x3, 296, x7) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x3, 304, x7) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x3, 312, x7) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x3, 320, x7) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x3, 328, x7) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x3, 336, x7) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x3, 344, x7) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x3, 352, x7) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x3, 360, x7) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x3, 368, x7) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x3, 376, x7) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x3, 384, x7) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x3, 392, x7) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x3, 400, x7) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x3, 408, x7) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x3, 416, x7) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x3, 424, x7) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x3, 432, x7) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x3, 440, x7) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x3, 448, x7) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x3, 456, x7) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x3, 464, x7) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x3, 472, x7) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x3, 480, x7) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x3, 488, x7) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x3, 496, x7) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x3, 504, x7) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x3, 512, x7) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x3, 520, x7) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x3, 528, x7) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x3, 536, x7) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x3, 544, x7) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x3, 552, x7) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x3, 560, x7) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x3, 568, x7) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x3, 576, x7) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x3, 584, x7) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x3, 592, x7) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x3, 600, x7) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x3, 608, x7) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x3, 616, x7) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x3, 624, x7) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x3, 632, x7) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x3, 640, x7) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x3, 648, x7) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x3, 656, x7) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x3, 664, x7) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x3, 672, x7) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x3, 680, x7) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x3, 688, x7) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x3, 696, x7) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x3, 704, x7) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x3, 712, x7) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x3, 720, x7) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x3, 728, x7) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x3, 736, x7) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x3, 744, x7) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x3, 752, x7) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x3, 760, x7) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x3, 768, x7) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x3, 776, x7) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x3, 784, x7) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x3, 792, x7) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x3, 800, x7) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x3, 808, x7) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x3, 816, x7) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x3, 824, x7) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x3, 832, x7) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x3, 840, x7) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x3, 848, x7) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x3, 856, x7) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x3, 864, x7) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x3, 872, x7) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x3, 880, x7) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x3, 888, x7) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x3, 896, x7) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x3, 904, x7) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x3, 912, x7) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x3, 920, x7) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x3, 928, x7) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x3, 936, x7) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x3, 944, x7) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x3, 952, x7) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x3, 960, x7) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x3, 968, x7) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x3, 976, x7) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x3, 984, x7) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x3, 992, x7) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x3, 1000, x7) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x3, 1008, x7) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x3, 1016, x7) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x3, 1024, x7) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x3, 1032, x7) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x3, 1040, x7) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x3, 1048, x7) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x3, 1056, x7) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x3, 1064, x7) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x3, 1072, x7) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x3, 1080, x7) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x3, 1088, x7) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x3, 1096, x7) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x3, 1104, x7) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x3, 1112, x7) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x3, 1120, x7) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x3, 1128, x7) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x3, 1136, x7) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x3, 1144, x7) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x3, 1152, x7) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x3, 1160, x7) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x3, 1168, x7) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x3, 1176, x7) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x3, 1184, x7) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x3, 1192, x7) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x3, 1200, x7) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x3, 1208, x7) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x3, 1216, x7) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x3, 1224, x7) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x3, 1232, x7) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x3, 1240, x7) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x3, 1248, x7) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x3, 1256, x7) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x3, 1264, x7) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x3, 1272, x7) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x3, 1280, x7) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x3, 1288, x7) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x3, 1296, x7) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x3, 1304, x7) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x3, 1312, x7) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x3, 1320, x7) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x3, 1328, x7) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x3, 1336, x7) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x3, 1344, x7) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x3, 1352, x7) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x3, 1360, x7) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x3, 1368, x7) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x3, 1376, x7) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x3, 1384, x7) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x3, 1392, x7) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x3, 1400, x7) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x3, 1408, x7) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x3, 1416, x7) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x3, 1424, x7) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x3, 1432, x7) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x3, 1440, x7) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x3, 1448, x7) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x3, 1456, x7) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x3, 1464, x7) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x3, 1472, x7) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x3, 1480, x7) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x3, 1488, x7) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x3, 1496, x7) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x3, 1504, x7) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x3, 1512, x7) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x3, 1520, x7) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x3, 1528, x7) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x3, 1536, x7) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x3, 1544, x7) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x3, 1552, x7) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x3, 1560, x7) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x3, 1568, x7) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x3, 1576, x7) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x3, 1584, x7) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x3, 1592, x7) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x3, 1600, x7) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x3, 1608, x7) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x3, 1616, x7) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x3, 1624, x7) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x3, 1632, x7) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x3, 1640, x7) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x3, 1648, x7) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x3, 1656, x7) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x3, 1664, x7) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x3, 1672, x7) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x3, 1680, x7) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x3, 1688, x7) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x3, 1696, x7) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x3, 1704, x7) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x3, 1712, x7) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x3, 1720, x7) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x3, 1728, x7) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x3, 1736, x7) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x3, 1744, x7) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x3, 1752, x7) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x3, 1760, x7) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x3, 1768, x7) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x3, 1776, x7) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x3, 1784, x7) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x3, 1792, x7) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x3, 1800, x7) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x3, 1808, x7) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x3, 1816, x7) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x3, 1824, x7) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x3, 1832, x7) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x3, 1840, x7) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x3, 1848, x7) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x3, 1856, x7) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x3, 1864, x7) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x3, 1872, x7) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x3, 1880, x7) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x3, 1888, x7) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x3, 1896, x7) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x3, 1904, x7) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x3, 1912, x7) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x3, 1920, x7) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x3, 1928, x7) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x3, 1936, x7) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x3, 1944, x7) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x3, 1952, x7) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x3, 1960, x7) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x3, 1968, x7) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x3, 1976, x7) - -inst_522: -// rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x3, 1984, x7) - -inst_523: -// rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x3, 1992, x7) - -inst_524: -// rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: rolw ; op1:x10; op2:x11; dest:x12; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(rolw, x12, x10, x11, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x3, 2000, x7) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x6_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x6_1: - .fill 18*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 251*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/ror-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/ror-01.S deleted file mode 100644 index bec81a1db..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/ror-01.S +++ /dev/null @@ -1,2714 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the ror instruction of the RISC-V extension for the ror covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",ror) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",ror) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",ror) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",ror) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x28, rs2==x28, rd==x19, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: ror ; op1:x28; op2:x28; dest:x19; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(ror, x19, x28, x28, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x5, 0, x10) - -inst_1: -// rs1 == rs2 == rd, rs1==x6, rs2==x6, rd==x6, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: ror ; op1:x6; op2:x6; dest:x6; op1val:0x5ba2c7dd5ae10604; op2val:0x5ba2c7dd5ae10604 -TEST_RR_OP(ror, x6, x6, x6, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x5ba2c7dd5ae10604, x5, 8, x10) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x0, rs2==x15, rd==x22, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: ror ; op1:x0; op2:x15; dest:x22; op1val:0x0; op2val:0x8000000000000000 -TEST_RR_OP(ror, x22, x0, x15, 0x0000000000000000, 0x0, 0x8000000000000000, x5, 16, x10) - -inst_3: -// rs1 == rd != rs2, rs1==x18, rs2==x29, rd==x18, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: ror ; op1:x18; op2:x29; dest:x18; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(ror, x18, x18, x29, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x5, 24, x10) - -inst_4: -// rs2 == rd != rs1, rs1==x16, rs2==x21, rd==x21, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: ror ; op1:x16; op2:x21; dest:x21; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(ror, x21, x16, x21, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x5, 32, x10) - -inst_5: -// rs1==x13, rs2==x17, rd==x9, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: ror ; op1:x13; op2:x17; dest:x9; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(ror, x9, x13, x17, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x5, 40, x10) - -inst_6: -// rs1==x29, rs2==x24, rd==x14, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: ror ; op1:x29; op2:x24; dest:x14; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(ror, x14, x29, x24, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x5, 48, x10) - -inst_7: -// rs1==x30, rs2==x7, rd==x15, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: ror ; op1:x30; op2:x7; dest:x15; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(ror, x15, x30, x7, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x5, 56, x10) - -inst_8: -// rs1==x27, rs2==x8, rd==x16, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: ror ; op1:x27; op2:x8; dest:x16; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(ror, x16, x27, x8, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x5, 64, x10) - -inst_9: -// rs1==x22, rs2==x19, rd==x31, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: ror ; op1:x22; op2:x19; dest:x31; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(ror, x31, x22, x19, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x5, 72, x10) - -inst_10: -// rs1==x11, rs2==x23, rd==x24, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: ror ; op1:x11; op2:x23; dest:x24; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(ror, x24, x11, x23, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x5, 80, x10) - -inst_11: -// rs1==x9, rs2==x30, rd==x26, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: ror ; op1:x9; op2:x30; dest:x26; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(ror, x26, x9, x30, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x5, 88, x10) - -inst_12: -// rs1==x31, rs2==x27, rd==x25, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: ror ; op1:x31; op2:x27; dest:x25; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(ror, x25, x31, x27, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x5, 96, x10) - -inst_13: -// rs1==x15, rs2==x25, rd==x30, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: ror ; op1:x15; op2:x25; dest:x30; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(ror, x30, x15, x25, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x5, 104, x10) - -inst_14: -// rs1==x21, rs2==x16, rd==x7, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: ror ; op1:x21; op2:x16; dest:x7; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(ror, x7, x21, x16, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x5, 112, x10) - -inst_15: -// rs1==x2, rs2==x11, rd==x8, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: ror ; op1:x2; op2:x11; dest:x8; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(ror, x8, x2, x11, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x5, 120, x10) - -inst_16: -// rs1==x12, rs2==x13, rd==x2, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: ror ; op1:x12; op2:x13; dest:x2; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(ror, x2, x12, x13, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x5, 128, x10) - -inst_17: -// rs1==x3, rs2==x31, rd==x28, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: ror ; op1:x3; op2:x31; dest:x28; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(ror, x28, x3, x31, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x5, 136, x10) - -inst_18: -// rs1==x20, rs2==x1, rd==x4, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: ror ; op1:x20; op2:x1; dest:x4; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(ror, x4, x20, x1, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x5, 144, x10) -RVTEST_SIGBASE( x6,signature_x6_0) - -inst_19: -// rs1==x23, rs2==x0, rd==x3, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: ror ; op1:x23; op2:x0; dest:x3; op1val:0x42bea7753d32d01e; op2val:0x0 -TEST_RR_OP(ror, x3, x23, x0, 0x0000000000000000, 0x42bea7753d32d01e, 0x0, x6, 0, x15) - -inst_20: -// rs1==x26, rs2==x2, rd==x12, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: ror ; op1:x26; op2:x2; dest:x12; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(ror, x12, x26, x2, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x6, 8, x15) - -inst_21: -// rs1==x24, rs2==x4, rd==x0, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: ror ; op1:x24; op2:x4; dest:x0; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(ror, x0, x24, x4, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x6, 16, x15) - -inst_22: -// rs1==x19, rs2==x20, rd==x5, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: ror ; op1:x19; op2:x20; dest:x5; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(ror, x5, x19, x20, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x6, 24, x15) - -inst_23: -// rs1==x1, rs2==x3, rd==x23, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: ror ; op1:x1; op2:x3; dest:x23; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(ror, x23, x1, x3, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x6, 32, x15) - -inst_24: -// rs1==x7, rs2==x5, rd==x20, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: ror ; op1:x7; op2:x5; dest:x20; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(ror, x20, x7, x5, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x6, 40, x15) - -inst_25: -// rs1==x5, rs2==x26, rd==x11, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: ror ; op1:x5; op2:x26; dest:x11; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(ror, x11, x5, x26, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x6, 48, x15) - -inst_26: -// rs1==x14, rs2==x18, rd==x1, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: ror ; op1:x14; op2:x18; dest:x1; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(ror, x1, x14, x18, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x6, 56, x15) - -inst_27: -// rs1==x17, rs2==x14, rd==x29, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: ror ; op1:x17; op2:x14; dest:x29; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(ror, x29, x17, x14, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x6, 64, x15) - -inst_28: -// rs1==x25, rs2==x22, rd==x27, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: ror ; op1:x25; op2:x22; dest:x27; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(ror, x27, x25, x22, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x6, 72, x15) - -inst_29: -// rs1==x8, rs2==x10, rd==x17, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: ror ; op1:x8; op2:x10; dest:x17; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(ror, x17, x8, x10, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x6, 80, x15) - -inst_30: -// rs1==x4, rs2==x12, rd==x13, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: ror ; op1:x4; op2:x12; dest:x13; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(ror, x13, x4, x12, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x6, 88, x15) - -inst_31: -// rs1==x10, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: ror ; op1:x10; op2:x16; dest:x5; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(ror, x5, x10, x16, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x6, 96, x15) - -inst_32: -// rs2==x9, rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: ror ; op1:x31; op2:x9; dest:x20; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(ror, x20, x31, x9, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x6, 104, x15) - -inst_33: -// rd==x10, rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: ror ; op1:x20; op2:x19; dest:x10; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(ror, x10, x20, x19, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x6, 112, x15) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x6, 120, x15) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x6, 128, x15) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x6, 136, x15) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x6, 144, x15) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x6, 152, x15) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x6, 160, x15) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x6, 168, x15) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x6, 176, x15) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x6, 184, x15) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x6, 192, x15) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x6, 200, x15) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x6, 208, x15) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x6, 216, x15) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x6, 224, x15) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x6, 232, x15) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x6, 240, x15) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x6, 248, x15) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x6, 256, x15) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x6, 264, x15) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x6, 272, x15) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x6, 280, x15) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x6, 288, x15) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x6, 296, x15) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x6, 304, x15) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x6, 312, x15) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x6, 320, x15) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x6, 328, x15) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x6, 336, x15) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x6, 344, x15) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x6, 352, x15) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x6, 360, x15) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x6, 368, x15) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x6, 376, x15) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x6, 384, x15) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x6, 392, x15) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x6, 400, x15) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x6, 408, x15) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x6, 416, x15) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x6, 424, x15) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x6, 432, x15) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x6, 440, x15) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x6, 448, x15) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x6, 456, x15) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x6, 464, x15) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x6, 472, x15) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x6, 480, x15) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x6, 488, x15) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x6, 496, x15) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x6, 504, x15) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x6, 512, x15) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x6, 520, x15) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x6, 528, x15) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x6, 536, x15) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x6, 544, x15) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x6, 552, x15) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x6, 560, x15) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x6, 568, x15) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x6, 576, x15) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x6, 584, x15) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x6, 592, x15) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x6, 600, x15) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x6, 608, x15) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x6, 616, x15) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x6, 624, x15) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x6, 632, x15) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x6, 640, x15) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x6, 648, x15) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x6, 656, x15) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x6, 664, x15) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x6, 672, x15) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x6, 680, x15) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x6, 688, x15) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x6, 696, x15) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x6, 704, x15) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x6, 712, x15) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x6, 720, x15) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x6, 728, x15) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x6, 736, x15) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x6, 744, x15) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x6, 752, x15) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x6, 760, x15) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x6, 768, x15) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x6, 776, x15) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x6, 784, x15) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x6, 792, x15) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x6, 800, x15) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x6, 808, x15) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x6, 816, x15) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x6, 824, x15) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x6, 832, x15) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x6, 840, x15) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x6, 848, x15) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x6, 856, x15) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x6, 864, x15) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x6, 872, x15) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x6, 880, x15) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x6, 888, x15) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x6, 896, x15) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x6, 904, x15) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x6, 912, x15) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x6, 920, x15) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x6, 928, x15) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x6, 936, x15) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x6, 944, x15) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x6, 952, x15) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x6, 960, x15) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x6, 968, x15) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x6, 976, x15) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x6, 984, x15) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x6, 992, x15) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x6, 1000, x15) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x6, 1008, x15) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x6, 1016, x15) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x6, 1024, x15) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x6, 1032, x15) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x6, 1040, x15) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x6, 1048, x15) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x6, 1056, x15) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x6, 1064, x15) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x6, 1072, x15) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x6, 1080, x15) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x6, 1088, x15) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x6, 1096, x15) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x6, 1104, x15) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x6, 1112, x15) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x6, 1120, x15) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x6, 1128, x15) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x6, 1136, x15) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x6, 1144, x15) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x6, 1152, x15) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x6, 1160, x15) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x6, 1168, x15) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x6, 1176, x15) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x6, 1184, x15) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x6, 1192, x15) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x6, 1200, x15) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x6, 1208, x15) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x6, 1216, x15) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x6, 1224, x15) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x6, 1232, x15) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x6, 1240, x15) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x6, 1248, x15) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x6, 1256, x15) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x6, 1264, x15) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x6, 1272, x15) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x6, 1280, x15) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x6, 1288, x15) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x6, 1296, x15) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x6, 1304, x15) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x6, 1312, x15) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x6, 1320, x15) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x6, 1328, x15) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x6, 1336, x15) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x6, 1344, x15) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x6, 1352, x15) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x6, 1360, x15) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x6, 1368, x15) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x6, 1376, x15) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x6, 1384, x15) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x6, 1392, x15) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x6, 1400, x15) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x6, 1408, x15) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x6, 1416, x15) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x6, 1424, x15) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x6, 1432, x15) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x6, 1440, x15) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x6, 1448, x15) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x6, 1456, x15) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x6, 1464, x15) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x6, 1472, x15) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x6, 1480, x15) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x6, 1488, x15) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x6, 1496, x15) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x6, 1504, x15) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x6, 1512, x15) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x6, 1520, x15) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x6, 1528, x15) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x6, 1536, x15) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x6, 1544, x15) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x6, 1552, x15) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x6, 1560, x15) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x6, 1568, x15) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x6, 1576, x15) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x6, 1584, x15) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x6, 1592, x15) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x6, 1600, x15) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x6, 1608, x15) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x6, 1616, x15) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x6, 1624, x15) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x6, 1632, x15) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x6, 1640, x15) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x6, 1648, x15) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x6, 1656, x15) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x6, 1664, x15) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x6, 1672, x15) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x6, 1680, x15) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x6, 1688, x15) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x6, 1696, x15) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x6, 1704, x15) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x6, 1712, x15) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x6, 1720, x15) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x6, 1728, x15) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x6, 1736, x15) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x6, 1744, x15) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x6, 1752, x15) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x6, 1760, x15) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x6, 1768, x15) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x6, 1776, x15) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x6, 1784, x15) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x6, 1792, x15) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x6, 1800, x15) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x6, 1808, x15) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x6, 1816, x15) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x6, 1824, x15) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x6, 1832, x15) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x6, 1840, x15) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x6, 1848, x15) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x6, 1856, x15) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x6, 1864, x15) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x6, 1872, x15) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x6, 1880, x15) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x6, 1888, x15) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x6, 1896, x15) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x6, 1904, x15) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x6, 1912, x15) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x6, 1920, x15) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x6, 1928, x15) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x6, 1936, x15) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x6, 1944, x15) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x6, 1952, x15) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x6, 1960, x15) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x6, 1968, x15) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x6, 1976, x15) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x6, 1984, x15) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x6, 1992, x15) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x6, 2000, x15) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x6, 2008, x15) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x6, 2016, x15) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x6, 2024, x15) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x6, 2032, x15) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x6, 2040, x15) -RVTEST_SIGBASE( x6,signature_x6_1) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x6, 0, x15) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x6, 8, x15) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x6, 16, x15) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x6, 24, x15) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x6, 32, x15) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x6, 40, x15) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x6, 48, x15) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x6, 56, x15) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x6, 64, x15) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x6, 72, x15) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x6, 80, x15) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x6, 88, x15) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x6, 96, x15) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x6, 104, x15) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x6, 112, x15) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x6, 120, x15) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x6, 128, x15) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x6, 136, x15) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x6, 144, x15) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x6, 152, x15) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x6, 160, x15) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x6, 168, x15) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x6, 176, x15) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x6, 184, x15) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x6, 192, x15) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x6, 200, x15) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x6, 208, x15) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x6, 216, x15) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x6, 224, x15) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x6, 232, x15) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x6, 240, x15) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x6, 248, x15) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x6, 256, x15) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x6, 264, x15) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x6, 272, x15) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x6, 280, x15) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x6, 288, x15) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x6, 296, x15) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x6, 304, x15) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x6, 312, x15) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x6, 320, x15) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x6, 328, x15) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x6, 336, x15) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x6, 344, x15) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x6, 352, x15) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x6, 360, x15) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x6, 368, x15) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x6, 376, x15) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x6, 384, x15) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x6, 392, x15) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x6, 400, x15) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x6, 408, x15) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x6, 416, x15) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x6, 424, x15) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x6, 432, x15) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x6, 440, x15) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x6, 448, x15) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x6, 456, x15) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x6, 464, x15) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x6, 472, x15) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x6, 480, x15) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x6, 488, x15) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x6, 496, x15) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x6, 504, x15) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x6, 512, x15) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x6, 520, x15) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x6, 528, x15) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x6, 536, x15) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x6, 544, x15) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x6, 552, x15) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x6, 560, x15) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x6, 568, x15) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x6, 576, x15) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x6, 584, x15) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x6, 592, x15) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x6, 600, x15) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x6, 608, x15) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x6, 616, x15) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x6, 624, x15) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x6, 632, x15) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x6, 640, x15) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x6, 648, x15) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x6, 656, x15) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x6, 664, x15) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x6, 672, x15) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x6, 680, x15) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x6, 688, x15) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x6, 696, x15) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x6, 704, x15) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x6, 712, x15) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x6, 720, x15) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x6, 728, x15) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x6, 736, x15) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x6, 744, x15) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x6, 752, x15) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x6, 760, x15) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x6, 768, x15) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x6, 776, x15) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x6, 784, x15) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x6, 792, x15) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x6, 800, x15) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x6, 808, x15) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x6, 816, x15) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x6, 824, x15) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x6, 832, x15) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x6, 840, x15) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x6, 848, x15) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x6, 856, x15) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x6, 864, x15) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x6, 872, x15) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x6, 880, x15) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x6, 888, x15) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x6, 896, x15) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x6, 904, x15) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x6, 912, x15) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x6, 920, x15) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x6, 928, x15) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x6, 936, x15) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x6, 944, x15) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x6, 952, x15) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x6, 960, x15) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x6, 968, x15) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x6, 976, x15) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x6, 984, x15) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x6, 992, x15) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x6, 1000, x15) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x6, 1008, x15) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x6, 1016, x15) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x6, 1024, x15) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x6, 1032, x15) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x6, 1040, x15) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x6, 1048, x15) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x6, 1056, x15) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x6, 1064, x15) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x6, 1072, x15) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x6, 1080, x15) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x6, 1088, x15) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x6, 1096, x15) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x6, 1104, x15) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x6, 1112, x15) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x6, 1120, x15) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x6, 1128, x15) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x6, 1136, x15) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x6, 1144, x15) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x6, 1152, x15) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x6, 1160, x15) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x6, 1168, x15) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x6, 1176, x15) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x6, 1184, x15) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x6, 1192, x15) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x6, 1200, x15) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x6, 1208, x15) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x6, 1216, x15) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x6, 1224, x15) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x6, 1232, x15) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x6, 1240, x15) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x6, 1248, x15) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x6, 1256, x15) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x6, 1264, x15) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x6, 1272, x15) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x6, 1280, x15) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x6, 1288, x15) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x6, 1296, x15) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x6, 1304, x15) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x6, 1312, x15) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x6, 1320, x15) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x6, 1328, x15) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x6, 1336, x15) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x6, 1344, x15) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x6, 1352, x15) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x6, 1360, x15) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x6, 1368, x15) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x6, 1376, x15) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x6, 1384, x15) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x6, 1392, x15) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x6, 1400, x15) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x6, 1408, x15) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x6, 1416, x15) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x6, 1424, x15) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x6, 1432, x15) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x6, 1440, x15) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x6, 1448, x15) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x6, 1456, x15) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x6, 1464, x15) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x6, 1472, x15) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x6, 1480, x15) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x6, 1488, x15) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x6, 1496, x15) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x6, 1504, x15) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x6, 1512, x15) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x6, 1520, x15) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x6, 1528, x15) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x6, 1536, x15) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x6, 1544, x15) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x6, 1552, x15) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x6, 1560, x15) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x6, 1568, x15) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x6, 1576, x15) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x6, 1584, x15) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x6, 1592, x15) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x6, 1600, x15) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x6, 1608, x15) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x6, 1616, x15) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x6, 1624, x15) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x6, 1632, x15) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x6, 1640, x15) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x6, 1648, x15) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x6, 1656, x15) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x6, 1664, x15) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x6, 1672, x15) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x6, 1680, x15) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x6, 1688, x15) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x6, 1696, x15) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x6, 1704, x15) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x6, 1712, x15) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x6, 1720, x15) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x6, 1728, x15) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x6, 1736, x15) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x6, 1744, x15) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x6, 1752, x15) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x6, 1760, x15) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x6, 1768, x15) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x6, 1776, x15) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x6, 1784, x15) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x6, 1792, x15) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x6, 1800, x15) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x6, 1808, x15) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x6, 1816, x15) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x6, 1824, x15) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x6, 1832, x15) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x6, 1840, x15) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x6, 1848, x15) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x6, 1856, x15) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x6, 1864, x15) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x6, 1872, x15) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x6, 1880, x15) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x6, 1888, x15) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x6, 1896, x15) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x6, 1904, x15) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x6, 1912, x15) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x6, 1920, x15) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x6, 1928, x15) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x6, 1936, x15) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x6, 1944, x15) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x6, 1952, x15) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x6, 1960, x15) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x6, 1968, x15) - -inst_522: -// rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x6, 1976, x15) - -inst_523: -// rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x6, 1984, x15) - -inst_524: -// rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: ror ; op1:x10; op2:x11; dest:x12; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(ror, x12, x10, x11, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x6, 1992, x15) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 19*(XLEN/32),4,0xdeadbeef - - -signature_x6_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x6_1: - .fill 250*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rori-01.S deleted file mode 100644 index 91b414049..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rori-01.S +++ /dev/null @@ -1,1534 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the rori instruction of the RISC-V extension for the rori covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",rori) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",rori) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",rori) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",rori) - -RVTEST_SIGBASE( x8,signature_x8_1) - -inst_0: -// rs1 != rd, rs1==x22, rd==x16, rs1_val == 0xFFFFFFFFFFFFFFFF and imm_val == 0x36 -// opcode: rori ; op1:x22; dest:x16; op1val:0xffffffffffffffff; immval:0x36 -TEST_IMM_OP( rori, x16, x22, 0x0000000000000000, 0xffffffffffffffff, 0x36, x8, 0, x11) - -inst_1: -// rs1 == rd, rs1==x0, rd==x0, imm_val == 0x00 and rs1_val == 0xCADB5BEC61250888 -// opcode: rori ; op1:x0; dest:x0; op1val:0x0; immval:0x0 -TEST_IMM_OP( rori, x0, x0, 0x0000000000000000, 0x0, 0x0, x8, 8, x11) - -inst_2: -// rs1==x30, rd==x25, imm_val == 0x20 and rs1_val == 0xE917333212AF8F73 -// opcode: rori ; op1:x30; dest:x25; op1val:0xe917333212af8f73; immval:0x20 -TEST_IMM_OP( rori, x25, x30, 0x0000000000000000, 0xe917333212af8f73, 0x20, x8, 16, x11) - -inst_3: -// rs1==x24, rd==x4, imm_val == 0x10 and rs1_val == 0xCC381E1007E8BF65 -// opcode: rori ; op1:x24; dest:x4; op1val:0xcc381e1007e8bf65; immval:0x10 -TEST_IMM_OP( rori, x4, x24, 0x0000000000000000, 0xcc381e1007e8bf65, 0x10, x8, 24, x11) - -inst_4: -// rs1==x25, rd==x20, imm_val == 0x18 and rs1_val == 0xFAE216DC58F45328 -// opcode: rori ; op1:x25; dest:x20; op1val:0xfae216dc58f45328; immval:0x18 -TEST_IMM_OP( rori, x20, x25, 0x0000000000000000, 0xfae216dc58f45328, 0x18, x8, 32, x11) - -inst_5: -// rs1==x2, rd==x7, imm_val == 0x1C and rs1_val == 0xE6A56AE617C67895 -// opcode: rori ; op1:x2; dest:x7; op1val:0xe6a56ae617c67895; immval:0x1c -TEST_IMM_OP( rori, x7, x2, 0x0000000000000000, 0xe6a56ae617c67895, 0x1c, x8, 40, x11) - -inst_6: -// rs1==x21, rd==x24, imm_val == 0x22 and rs1_val == 0x6AEB7DBD0A095049 -// opcode: rori ; op1:x21; dest:x24; op1val:0x6aeb7dbd0a095049; immval:0x22 -TEST_IMM_OP( rori, x24, x21, 0x0000000000000000, 0x6aeb7dbd0a095049, 0x22, x8, 48, x11) - -inst_7: -// rs1==x12, rd==x1, imm_val == 0x0D and rs1_val == 0xF644D360EFB8C545 -// opcode: rori ; op1:x12; dest:x1; op1val:0xf644d360efb8c545; immval:0xd -TEST_IMM_OP( rori, x1, x12, 0x0000000000000000, 0xf644d360efb8c545, 0xd, x8, 56, x11) - -inst_8: -// rs1==x19, rd==x14, rs1_val == 0x0000000000000000 and imm_val == 0x1E -// opcode: rori ; op1:x19; dest:x14; op1val:0x0; immval:0x1e -TEST_IMM_OP( rori, x14, x19, 0x0000000000000000, 0x0, 0x1e, x8, 64, x11) - -inst_9: -// rs1==x29, rd==x27, rs1_val == 0x8000000000000000 and imm_val == 0x22 -// opcode: rori ; op1:x29; dest:x27; op1val:0x8000000000000000; immval:0x22 -TEST_IMM_OP( rori, x27, x29, 0x0000000000000000, 0x8000000000000000, 0x22, x8, 72, x11) - -inst_10: -// rs1==x4, rd==x22, rs1_val == 0xC000000000000000 and imm_val == 0x10 -// opcode: rori ; op1:x4; dest:x22; op1val:0xc000000000000000; immval:0x10 -TEST_IMM_OP( rori, x22, x4, 0x0000000000000000, 0xc000000000000000, 0x10, x8, 80, x11) - -inst_11: -// rs1==x15, rd==x30, rs1_val == 0x6000000000000000 and imm_val == 0x00 -// opcode: rori ; op1:x15; dest:x30; op1val:0x6000000000000000; immval:0x0 -TEST_IMM_OP( rori, x30, x15, 0x0000000000000000, 0x6000000000000000, 0x0, x8, 88, x11) - -inst_12: -// rs1==x10, rd==x21, rs1_val == 0xF000000000000000 and imm_val == 0x20 -// opcode: rori ; op1:x10; dest:x21; op1val:0xf000000000000000; immval:0x20 -TEST_IMM_OP( rori, x21, x10, 0x0000000000000000, 0xf000000000000000, 0x20, x8, 96, x11) - -inst_13: -// rs1==x6, rd==x29, rs1_val == 0x1800000000000000 and imm_val == 0x1B -// opcode: rori ; op1:x6; dest:x29; op1val:0x1800000000000000; immval:0x1b -TEST_IMM_OP( rori, x29, x6, 0x0000000000000000, 0x1800000000000000, 0x1b, x8, 104, x11) - -inst_14: -// rs1==x3, rd==x26, rs1_val == 0x4400000000000000 and imm_val == 0x38 -// opcode: rori ; op1:x3; dest:x26; op1val:0x4400000000000000; immval:0x38 -TEST_IMM_OP( rori, x26, x3, 0x0000000000000000, 0x4400000000000000, 0x38, x8, 112, x11) - -inst_15: -// rs1==x23, rd==x15, rs1_val == 0x3E00000000000000 and imm_val == 0x05 -// opcode: rori ; op1:x23; dest:x15; op1val:0x3e00000000000000; immval:0x5 -TEST_IMM_OP( rori, x15, x23, 0x0000000000000000, 0x3e00000000000000, 0x5, x8, 120, x11) - -inst_16: -// rs1==x16, rd==x12, rs1_val == 0x3500000000000000 and imm_val == 0x14 -// opcode: rori ; op1:x16; dest:x12; op1val:0x3500000000000000; immval:0x14 -TEST_IMM_OP( rori, x12, x16, 0x0000000000000000, 0x3500000000000000, 0x14, x8, 128, x11) - -inst_17: -// rs1==x31, rd==x23, rs1_val == 0x6F80000000000000 and imm_val == 0x38 -// opcode: rori ; op1:x31; dest:x23; op1val:0x6f80000000000000; immval:0x38 -TEST_IMM_OP( rori, x23, x31, 0x0000000000000000, 0x6f80000000000000, 0x38, x8, 136, x11) - -inst_18: -// rs1==x5, rd==x19, rs1_val == 0x4EC0000000000000 and imm_val == 0x2D -// opcode: rori ; op1:x5; dest:x19; op1val:0x4ec0000000000000; immval:0x2d -TEST_IMM_OP( rori, x19, x5, 0x0000000000000000, 0x4ec0000000000000, 0x2d, x8, 144, x11) - -inst_19: -// rs1==x9, rd==x28, rs1_val == 0x1E20000000000000 and imm_val == 0x3D -// opcode: rori ; op1:x9; dest:x28; op1val:0x1e20000000000000; immval:0x3d -TEST_IMM_OP( rori, x28, x9, 0x0000000000000000, 0x1e20000000000000, 0x3d, x8, 152, x11) - -inst_20: -// rs1==x26, rd==x18, rs1_val == 0x1910000000000000 and imm_val == 0x1D -// opcode: rori ; op1:x26; dest:x18; op1val:0x1910000000000000; immval:0x1d -TEST_IMM_OP( rori, x18, x26, 0x0000000000000000, 0x1910000000000000, 0x1d, x8, 160, x11) - -inst_21: -// rs1==x13, rd==x3, rs1_val == 0x1248000000000000 and imm_val == 0x23 -// opcode: rori ; op1:x13; dest:x3; op1val:0x1248000000000000; immval:0x23 -TEST_IMM_OP( rori, x3, x13, 0x0000000000000000, 0x1248000000000000, 0x23, x8, 168, x4) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_22: -// rs1==x27, rd==x10, rs1_val == 0xBF84000000000000 and imm_val == 0x29 -// opcode: rori ; op1:x27; dest:x10; op1val:0xbf84000000000000; immval:0x29 -TEST_IMM_OP( rori, x10, x27, 0x0000000000000000, 0xbf84000000000000, 0x29, x3, 0, x4) - -inst_23: -// rs1==x11, rd==x17, rs1_val == 0xC116000000000000 and imm_val == 0x13 -// opcode: rori ; op1:x11; dest:x17; op1val:0xc116000000000000; immval:0x13 -TEST_IMM_OP( rori, x17, x11, 0x0000000000000000, 0xc116000000000000, 0x13, x3, 8, x4) - -inst_24: -// rs1==x18, rd==x13, rs1_val == 0xD631000000000000 and imm_val == 0x2E -// opcode: rori ; op1:x18; dest:x13; op1val:0xd631000000000000; immval:0x2e -TEST_IMM_OP( rori, x13, x18, 0x0000000000000000, 0xd631000000000000, 0x2e, x3, 16, x4) - -inst_25: -// rs1==x28, rd==x9, rs1_val == 0x17B2800000000000 and imm_val == 0x1F -// opcode: rori ; op1:x28; dest:x9; op1val:0x17b2800000000000; immval:0x1f -TEST_IMM_OP( rori, x9, x28, 0x0000000000000000, 0x17b2800000000000, 0x1f, x3, 24, x4) - -inst_26: -// rs1==x17, rd==x5, rs1_val == 0x9568400000000000 and imm_val == 0x01 -// opcode: rori ; op1:x17; dest:x5; op1val:0x9568400000000000; immval:0x1 -TEST_IMM_OP( rori, x5, x17, 0x0000000000000000, 0x9568400000000000, 0x1, x3, 32, x4) - -inst_27: -// rs1==x1, rd==x11, rs1_val == 0x8B06600000000000 and imm_val == 0x2B -// opcode: rori ; op1:x1; dest:x11; op1val:0x8b06600000000000; immval:0x2b -TEST_IMM_OP( rori, x11, x1, 0x0000000000000000, 0x8b06600000000000, 0x2b, x3, 40, x4) - -inst_28: -// rs1==x20, rd==x6, rs1_val == 0xB93DF00000000000 and imm_val == 0x00 -// opcode: rori ; op1:x20; dest:x6; op1val:0xb93df00000000000; immval:0x0 -TEST_IMM_OP( rori, x6, x20, 0x0000000000000000, 0xb93df00000000000, 0x0, x3, 48, x4) - -inst_29: -// rs1==x8, rd==x2, rs1_val == 0x88ADB80000000000 and imm_val == 0x1C -// opcode: rori ; op1:x8; dest:x2; op1val:0x88adb80000000000; immval:0x1c -TEST_IMM_OP( rori, x2, x8, 0x0000000000000000, 0x88adb80000000000, 0x1c, x3, 56, x4) - -inst_30: -// rs1==x14, rd==x8, rs1_val == 0xE180E40000000000 and imm_val == 0x2E -// opcode: rori ; op1:x14; dest:x8; op1val:0xe180e40000000000; immval:0x2e -TEST_IMM_OP( rori, x8, x14, 0x0000000000000000, 0xe180e40000000000, 0x2e, x3, 64, x4) - -inst_31: -// rs1==x7, rd==x31, rs1_val == 0xD5CB7E0000000000 and imm_val == 0x15 -// opcode: rori ; op1:x7; dest:x31; op1val:0xd5cb7e0000000000; immval:0x15 -TEST_IMM_OP( rori, x31, x7, 0x0000000000000000, 0xd5cb7e0000000000, 0x15, x3, 72, x4) - -inst_32: -// rs1_val == 0xA438230000000000 and imm_val == 0x3D -// opcode: rori ; op1:x10; dest:x11; op1val:0xa438230000000000; immval:0x3d -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xa438230000000000, 0x3d, x3, 80, x4) - -inst_33: -// rs1_val == 0xB9BE488000000000 and imm_val == 0x24 -// opcode: rori ; op1:x10; dest:x11; op1val:0xb9be488000000000; immval:0x24 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xb9be488000000000, 0x24, x3, 88, x4) - -inst_34: -// rs1_val == 0xE5ABA74000000000 and imm_val == 0x2F -// opcode: rori ; op1:x10; dest:x11; op1val:0xe5aba74000000000; immval:0x2f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xe5aba74000000000, 0x2f, x3, 96, x4) - -inst_35: -// rs1_val == 0xF2124BA000000000 and imm_val == 0x06 -// opcode: rori ; op1:x10; dest:x11; op1val:0xf2124ba000000000; immval:0x6 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xf2124ba000000000, 0x6, x3, 104, x4) - -inst_36: -// rs1_val == 0x96EBEC5000000000 and imm_val == 0x23 -// opcode: rori ; op1:x10; dest:x11; op1val:0x96ebec5000000000; immval:0x23 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x96ebec5000000000, 0x23, x3, 112, x4) - -inst_37: -// rs1_val == 0x6CA53BC800000000 and imm_val == 0x23 -// opcode: rori ; op1:x10; dest:x11; op1val:0x6ca53bc800000000; immval:0x23 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x6ca53bc800000000, 0x23, x3, 120, x4) - -inst_38: -// rs1_val == 0x035FF31C00000000 and imm_val == 0x1C -// opcode: rori ; op1:x10; dest:x11; op1val:0x35ff31c00000000; immval:0x1c -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x35ff31c00000000, 0x1c, x3, 128, x4) - -inst_39: -// rs1_val == 0x8B38E95A00000000 and imm_val == 0x0D -// opcode: rori ; op1:x10; dest:x11; op1val:0x8b38e95a00000000; immval:0xd -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x8b38e95a00000000, 0xd, x3, 136, x4) - -inst_40: -// rs1_val == 0x0B21BBBB00000000 and imm_val == 0x1A -// opcode: rori ; op1:x10; dest:x11; op1val:0xb21bbbb00000000; immval:0x1a -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xb21bbbb00000000, 0x1a, x3, 144, x4) - -inst_41: -// rs1_val == 0x9C6FFFDC80000000 and imm_val == 0x36 -// opcode: rori ; op1:x10; dest:x11; op1val:0x9c6fffdc80000000; immval:0x36 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x9c6fffdc80000000, 0x36, x3, 152, x4) - -inst_42: -// rs1_val == 0x8217FFBE40000000 and imm_val == 0x03 -// opcode: rori ; op1:x10; dest:x11; op1val:0x8217ffbe40000000; immval:0x3 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x8217ffbe40000000, 0x3, x3, 160, x4) - -inst_43: -// rs1_val == 0x60E68CB720000000 and imm_val == 0x37 -// opcode: rori ; op1:x10; dest:x11; op1val:0x60e68cb720000000; immval:0x37 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x60e68cb720000000, 0x37, x3, 168, x4) - -inst_44: -// rs1_val == 0xF5D02B2010000000 and imm_val == 0x16 -// opcode: rori ; op1:x10; dest:x11; op1val:0xf5d02b2010000000; immval:0x16 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xf5d02b2010000000, 0x16, x3, 176, x4) - -inst_45: -// rs1_val == 0x4204DE9838000000 and imm_val == 0x2A -// opcode: rori ; op1:x10; dest:x11; op1val:0x4204de9838000000; immval:0x2a -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x4204de9838000000, 0x2a, x3, 184, x4) - -inst_46: -// rs1_val == 0xF6B1F180F4000000 and imm_val == 0x0E -// opcode: rori ; op1:x10; dest:x11; op1val:0xf6b1f180f4000000; immval:0xe -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xf6b1f180f4000000, 0xe, x3, 192, x4) - -inst_47: -// rs1_val == 0xF5BB75A166000000 and imm_val == 0x31 -// opcode: rori ; op1:x10; dest:x11; op1val:0xf5bb75a166000000; immval:0x31 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xf5bb75a166000000, 0x31, x3, 200, x4) - -inst_48: -// rs1_val == 0xAA5B397039000000 and imm_val == 0x2E -// opcode: rori ; op1:x10; dest:x11; op1val:0xaa5b397039000000; immval:0x2e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xaa5b397039000000, 0x2e, x3, 208, x4) - -inst_49: -// rs1_val == 0x0E7BD5B154800000 and imm_val == 0x21 -// opcode: rori ; op1:x10; dest:x11; op1val:0xe7bd5b154800000; immval:0x21 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xe7bd5b154800000, 0x21, x3, 216, x4) - -inst_50: -// rs1_val == 0xB7A2A2301A400000 and imm_val == 0x0A -// opcode: rori ; op1:x10; dest:x11; op1val:0xb7a2a2301a400000; immval:0xa -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xb7a2a2301a400000, 0xa, x3, 224, x4) - -inst_51: -// rs1_val == 0x29EF41AF7B600000 and imm_val == 0x31 -// opcode: rori ; op1:x10; dest:x11; op1val:0x29ef41af7b600000; immval:0x31 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x29ef41af7b600000, 0x31, x3, 232, x4) - -inst_52: -// rs1_val == 0xDD8AB0BCEFB00000 and imm_val == 0x3C -// opcode: rori ; op1:x10; dest:x11; op1val:0xdd8ab0bcefb00000; immval:0x3c -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xdd8ab0bcefb00000, 0x3c, x3, 240, x4) - -inst_53: -// rs1_val == 0xFA3B344326080000 and imm_val == 0x35 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfa3b344326080000; immval:0x35 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfa3b344326080000, 0x35, x3, 248, x4) - -inst_54: -// rs1_val == 0xBF06387955040000 and imm_val == 0x0A -// opcode: rori ; op1:x10; dest:x11; op1val:0xbf06387955040000; immval:0xa -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xbf06387955040000, 0xa, x3, 256, x4) - -inst_55: -// rs1_val == 0x7D49F3CA79FA0000 and imm_val == 0x06 -// opcode: rori ; op1:x10; dest:x11; op1val:0x7d49f3ca79fa0000; immval:0x6 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x7d49f3ca79fa0000, 0x6, x3, 264, x4) - -inst_56: -// rs1_val == 0xAAB48A1C0F230000 and imm_val == 0x14 -// opcode: rori ; op1:x10; dest:x11; op1val:0xaab48a1c0f230000; immval:0x14 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xaab48a1c0f230000, 0x14, x3, 272, x4) - -inst_57: -// rs1_val == 0xA25E549735CB8000 and imm_val == 0x11 -// opcode: rori ; op1:x10; dest:x11; op1val:0xa25e549735cb8000; immval:0x11 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xa25e549735cb8000, 0x11, x3, 280, x4) - -inst_58: -// rs1_val == 0x49E43C96F2344000 and imm_val == 0x0E -// opcode: rori ; op1:x10; dest:x11; op1val:0x49e43c96f2344000; immval:0xe -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x49e43c96f2344000, 0xe, x3, 288, x4) - -inst_59: -// rs1_val == 0x971662E94AAA2000 and imm_val == 0x36 -// opcode: rori ; op1:x10; dest:x11; op1val:0x971662e94aaa2000; immval:0x36 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x971662e94aaa2000, 0x36, x3, 296, x4) - -inst_60: -// rs1_val == 0xFA51CD1D4D2B5000 and imm_val == 0x1C -// opcode: rori ; op1:x10; dest:x11; op1val:0xfa51cd1d4d2b5000; immval:0x1c -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfa51cd1d4d2b5000, 0x1c, x3, 304, x4) - -inst_61: -// rs1_val == 0xEBC398261A02E800 and imm_val == 0x07 -// opcode: rori ; op1:x10; dest:x11; op1val:0xebc398261a02e800; immval:0x7 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xebc398261a02e800, 0x7, x3, 312, x4) - -inst_62: -// rs1_val == 0x5334BAB9CA6C0400 and imm_val == 0x0B -// opcode: rori ; op1:x10; dest:x11; op1val:0x5334bab9ca6c0400; immval:0xb -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x5334bab9ca6c0400, 0xb, x3, 320, x4) - -inst_63: -// rs1_val == 0xEC133026333BC200 and imm_val == 0x2B -// opcode: rori ; op1:x10; dest:x11; op1val:0xec133026333bc200; immval:0x2b -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xec133026333bc200, 0x2b, x3, 328, x4) - -inst_64: -// rs1_val == 0x82CC710F0F1C6B00 and imm_val == 0x19 -// opcode: rori ; op1:x10; dest:x11; op1val:0x82cc710f0f1c6b00; immval:0x19 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x82cc710f0f1c6b00, 0x19, x3, 336, x4) - -inst_65: -// rs1_val == 0x7AA3D594C52CFC80 and imm_val == 0x1A -// opcode: rori ; op1:x10; dest:x11; op1val:0x7aa3d594c52cfc80; immval:0x1a -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x7aa3d594c52cfc80, 0x1a, x3, 344, x4) - -inst_66: -// rs1_val == 0x29DB927E9836F5C0 and imm_val == 0x2F -// opcode: rori ; op1:x10; dest:x11; op1val:0x29db927e9836f5c0; immval:0x2f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x29db927e9836f5c0, 0x2f, x3, 352, x4) - -inst_67: -// rs1_val == 0x0DA598F1DCB160E0 and imm_val == 0x12 -// opcode: rori ; op1:x10; dest:x11; op1val:0xda598f1dcb160e0; immval:0x12 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xda598f1dcb160e0, 0x12, x3, 360, x4) - -inst_68: -// rs1_val == 0xD45AF1CB0CAAE1D0 and imm_val == 0x1D -// opcode: rori ; op1:x10; dest:x11; op1val:0xd45af1cb0caae1d0; immval:0x1d -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xd45af1cb0caae1d0, 0x1d, x3, 368, x4) - -inst_69: -// rs1_val == 0x25B37C62314026E8 and imm_val == 0x3A -// opcode: rori ; op1:x10; dest:x11; op1val:0x25b37c62314026e8; immval:0x3a -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x25b37c62314026e8, 0x3a, x3, 376, x4) - -inst_70: -// rs1_val == 0x7FBFA447FC8A89F4 and imm_val == 0x3D -// opcode: rori ; op1:x10; dest:x11; op1val:0x7fbfa447fc8a89f4; immval:0x3d -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x7fbfa447fc8a89f4, 0x3d, x3, 384, x4) - -inst_71: -// rs1_val == 0xC36673FE4902E9CE and imm_val == 0x01 -// opcode: rori ; op1:x10; dest:x11; op1val:0xc36673fe4902e9ce; immval:0x1 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xc36673fe4902e9ce, 0x1, x3, 392, x4) - -inst_72: -// rs1_val == 0x44DCDA6A797D76DF and imm_val == 0x2C -// opcode: rori ; op1:x10; dest:x11; op1val:0x44dcda6a797d76df; immval:0x2c -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x44dcda6a797d76df, 0x2c, x3, 400, x4) - -inst_73: -// imm_val == 0x18 and rs1_val == 0x0B27C3726F2BF862 -// opcode: rori ; op1:x10; dest:x11; op1val:0xb27c3726f2bf862; immval:0x18 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xb27c3726f2bf862, 0x18, x3, 408, x4) - -inst_74: -// imm_val == 0x01 and rs1_val == 0x20D68CEC58FC0342 -// opcode: rori ; op1:x10; dest:x11; op1val:0x20d68cec58fc0342; immval:0x1 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x20d68cec58fc0342, 0x1, x3, 416, x4) - -inst_75: -// imm_val == 0x13 and rs1_val == 0x636A75E39A6DA5B1 -// opcode: rori ; op1:x10; dest:x11; op1val:0x636a75e39a6da5b1; immval:0x13 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x636a75e39a6da5b1, 0x13, x3, 424, x4) - -inst_76: -// imm_val == 0x37 and rs1_val == 0x37E0DE00280088DB -// opcode: rori ; op1:x10; dest:x11; op1val:0x37e0de00280088db; immval:0x37 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x37e0de00280088db, 0x37, x3, 432, x4) - -inst_77: -// imm_val == 0x0F and rs1_val == 0x1CA7BD1F0D770F3C -// opcode: rori ; op1:x10; dest:x11; op1val:0x1ca7bd1f0d770f3c; immval:0xf -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x1ca7bd1f0d770f3c, 0xf, x3, 440, x4) - -inst_78: -// imm_val == 0x1F and rs1_val == 0x5536B8D863C2504C -// opcode: rori ; op1:x10; dest:x11; op1val:0x5536b8d863c2504c; immval:0x1f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x5536b8d863c2504c, 0x1f, x3, 448, x4) - -inst_79: -// imm_val == 0x3F and rs1_val == 0x4E6EE408C61B1FBF -// opcode: rori ; op1:x10; dest:x11; op1val:0x4e6ee408c61b1fbf; immval:0x3f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x4e6ee408c61b1fbf, 0x3f, x3, 456, x4) - -inst_80: -// rs1_val == 0xC215E193118E5332 and imm_val == 0x05 -// opcode: rori ; op1:x10; dest:x11; op1val:0xc215e193118e5332; immval:0x5 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xc215e193118e5332, 0x5, x3, 464, x4) - -inst_81: -// rs1_val == 0x75EE935F65CB60C1 and imm_val == 0x0B -// opcode: rori ; op1:x10; dest:x11; op1val:0x75ee935f65cb60c1; immval:0xb -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x75ee935f65cb60c1, 0xb, x3, 472, x4) - -inst_82: -// rs1_val == 0x09C161626CE859BB and imm_val == 0x28 -// opcode: rori ; op1:x10; dest:x11; op1val:0x9c161626ce859bb; immval:0x28 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x9c161626ce859bb, 0x28, x3, 480, x4) - -inst_83: -// rs1_val == 0xA4053175342F57C7 and imm_val == 0x2C -// opcode: rori ; op1:x10; dest:x11; op1val:0xa4053175342f57c7; immval:0x2c -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xa4053175342f57c7, 0x2c, x3, 488, x4) - -inst_84: -// rs1_val == 0x499006C897933E6F and imm_val == 0x36 -// opcode: rori ; op1:x10; dest:x11; op1val:0x499006c897933e6f; immval:0x36 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x499006c897933e6f, 0x36, x3, 496, x4) - -inst_85: -// rs1_val == 0xC5DD85CA5B064A1F and imm_val == 0x1F -// opcode: rori ; op1:x10; dest:x11; op1val:0xc5dd85ca5b064a1f; immval:0x1f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xc5dd85ca5b064a1f, 0x1f, x3, 504, x4) - -inst_86: -// rs1_val == 0x6CC30F7242302B3F and imm_val == 0x1E -// opcode: rori ; op1:x10; dest:x11; op1val:0x6cc30f7242302b3f; immval:0x1e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x6cc30f7242302b3f, 0x1e, x3, 512, x4) - -inst_87: -// rs1_val == 0xAF1DBF276CE4747F and imm_val == 0x1B -// opcode: rori ; op1:x10; dest:x11; op1val:0xaf1dbf276ce4747f; immval:0x1b -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xaf1dbf276ce4747f, 0x1b, x3, 520, x4) - -inst_88: -// rs1_val == 0x25784F4FBD0608FF and imm_val == 0x21 -// opcode: rori ; op1:x10; dest:x11; op1val:0x25784f4fbd0608ff; immval:0x21 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x25784f4fbd0608ff, 0x21, x3, 528, x4) - -inst_89: -// rs1_val == 0x805A391B604C85FF and imm_val == 0x14 -// opcode: rori ; op1:x10; dest:x11; op1val:0x805a391b604c85ff; immval:0x14 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x805a391b604c85ff, 0x14, x3, 536, x4) - -inst_90: -// rs1_val == 0xCC7EB77D4BEB1BFF and imm_val == 0x04 -// opcode: rori ; op1:x10; dest:x11; op1val:0xcc7eb77d4beb1bff; immval:0x4 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xcc7eb77d4beb1bff, 0x4, x3, 544, x4) - -inst_91: -// rs1_val == 0xAB647BCA3919F7FF and imm_val == 0x1A -// opcode: rori ; op1:x10; dest:x11; op1val:0xab647bca3919f7ff; immval:0x1a -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xab647bca3919f7ff, 0x1a, x3, 552, x4) - -inst_92: -// rs1_val == 0x7F1E7F8627B22FFF and imm_val == 0x3C -// opcode: rori ; op1:x10; dest:x11; op1val:0x7f1e7f8627b22fff; immval:0x3c -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x7f1e7f8627b22fff, 0x3c, x3, 560, x4) - -inst_93: -// rs1_val == 0x51D6D6DA01769FFF and imm_val == 0x2A -// opcode: rori ; op1:x10; dest:x11; op1val:0x51d6d6da01769fff; immval:0x2a -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x51d6d6da01769fff, 0x2a, x3, 568, x4) - -inst_94: -// rs1_val == 0xD5A2038FDA04BFFF and imm_val == 0x04 -// opcode: rori ; op1:x10; dest:x11; op1val:0xd5a2038fda04bfff; immval:0x4 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xd5a2038fda04bfff, 0x4, x3, 576, x4) - -inst_95: -// rs1_val == 0x784ABEBBF03B7FFF and imm_val == 0x2E -// opcode: rori ; op1:x10; dest:x11; op1val:0x784abebbf03b7fff; immval:0x2e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x784abebbf03b7fff, 0x2e, x3, 584, x4) - -inst_96: -// rs1_val == 0x44D988FBE81EFFFF and imm_val == 0x09 -// opcode: rori ; op1:x10; dest:x11; op1val:0x44d988fbe81effff; immval:0x9 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x44d988fbe81effff, 0x9, x3, 592, x4) - -inst_97: -// rs1_val == 0x6875944E1F19FFFF and imm_val == 0x26 -// opcode: rori ; op1:x10; dest:x11; op1val:0x6875944e1f19ffff; immval:0x26 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x6875944e1f19ffff, 0x26, x3, 600, x4) - -inst_98: -// rs1_val == 0xFF7746E52063FFFF and imm_val == 0x27 -// opcode: rori ; op1:x10; dest:x11; op1val:0xff7746e52063ffff; immval:0x27 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xff7746e52063ffff, 0x27, x3, 608, x4) - -inst_99: -// rs1_val == 0x17B8B123A527FFFF and imm_val == 0x00 -// opcode: rori ; op1:x10; dest:x11; op1val:0x17b8b123a527ffff; immval:0x0 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x17b8b123a527ffff, 0x0, x3, 616, x4) - -inst_100: -// rs1_val == 0x70890268F88FFFFF and imm_val == 0x2B -// opcode: rori ; op1:x10; dest:x11; op1val:0x70890268f88fffff; immval:0x2b -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x70890268f88fffff, 0x2b, x3, 624, x4) - -inst_101: -// rs1_val == 0x6DDC74E6119FFFFF and imm_val == 0x04 -// opcode: rori ; op1:x10; dest:x11; op1val:0x6ddc74e6119fffff; immval:0x4 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x6ddc74e6119fffff, 0x4, x3, 632, x4) - -inst_102: -// rs1_val == 0x39BE2172E6BFFFFF and imm_val == 0x15 -// opcode: rori ; op1:x10; dest:x11; op1val:0x39be2172e6bfffff; immval:0x15 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x39be2172e6bfffff, 0x15, x3, 640, x4) - -inst_103: -// rs1_val == 0xC99324582A7FFFFF and imm_val == 0x0E -// opcode: rori ; op1:x10; dest:x11; op1val:0xc99324582a7fffff; immval:0xe -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xc99324582a7fffff, 0xe, x3, 648, x4) - -inst_104: -// rs1_val == 0x4B9A6C802EFFFFFF and imm_val == 0x18 -// opcode: rori ; op1:x10; dest:x11; op1val:0x4b9a6c802effffff; immval:0x18 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x4b9a6c802effffff, 0x18, x3, 656, x4) - -inst_105: -// rs1_val == 0x9541240E59FFFFFF and imm_val == 0x2B -// opcode: rori ; op1:x10; dest:x11; op1val:0x9541240e59ffffff; immval:0x2b -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x9541240e59ffffff, 0x2b, x3, 664, x4) - -inst_106: -// rs1_val == 0xB3A8D61293FFFFFF and imm_val == 0x04 -// opcode: rori ; op1:x10; dest:x11; op1val:0xb3a8d61293ffffff; immval:0x4 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xb3a8d61293ffffff, 0x4, x3, 672, x4) - -inst_107: -// rs1_val == 0x9E03793FD7FFFFFF and imm_val == 0x05 -// opcode: rori ; op1:x10; dest:x11; op1val:0x9e03793fd7ffffff; immval:0x5 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x9e03793fd7ffffff, 0x5, x3, 680, x4) - -inst_108: -// rs1_val == 0x7F1071ECAFFFFFFF and imm_val == 0x1B -// opcode: rori ; op1:x10; dest:x11; op1val:0x7f1071ecafffffff; immval:0x1b -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x7f1071ecafffffff, 0x1b, x3, 688, x4) - -inst_109: -// rs1_val == 0xF8A75516DFFFFFFF and imm_val == 0x1E -// opcode: rori ; op1:x10; dest:x11; op1val:0xf8a75516dfffffff; immval:0x1e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xf8a75516dfffffff, 0x1e, x3, 696, x4) - -inst_110: -// rs1_val == 0xB76D454DBFFFFFFF and imm_val == 0x11 -// opcode: rori ; op1:x10; dest:x11; op1val:0xb76d454dbfffffff; immval:0x11 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xb76d454dbfffffff, 0x11, x3, 704, x4) - -inst_111: -// rs1_val == 0xB494A73D7FFFFFFF and imm_val == 0x2F -// opcode: rori ; op1:x10; dest:x11; op1val:0xb494a73d7fffffff; immval:0x2f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xb494a73d7fffffff, 0x2f, x3, 712, x4) - -inst_112: -// rs1_val == 0xC28CB594FFFFFFFF and imm_val == 0x2C -// opcode: rori ; op1:x10; dest:x11; op1val:0xc28cb594ffffffff; immval:0x2c -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xc28cb594ffffffff, 0x2c, x3, 720, x4) - -inst_113: -// rs1_val == 0x69DA8A2DFFFFFFFF and imm_val == 0x20 -// opcode: rori ; op1:x10; dest:x11; op1val:0x69da8a2dffffffff; immval:0x20 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x69da8a2dffffffff, 0x20, x3, 728, x4) - -inst_114: -// rs1_val == 0x40F27003FFFFFFFF and imm_val == 0x14 -// opcode: rori ; op1:x10; dest:x11; op1val:0x40f27003ffffffff; immval:0x14 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x40f27003ffffffff, 0x14, x3, 736, x4) - -inst_115: -// rs1_val == 0xB2B8AF97FFFFFFFF and imm_val == 0x38 -// opcode: rori ; op1:x10; dest:x11; op1val:0xb2b8af97ffffffff; immval:0x38 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xb2b8af97ffffffff, 0x38, x3, 744, x4) - -inst_116: -// rs1_val == 0x24496FEFFFFFFFFF and imm_val == 0x3F -// opcode: rori ; op1:x10; dest:x11; op1val:0x24496fefffffffff; immval:0x3f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x24496fefffffffff, 0x3f, x3, 752, x4) - -inst_117: -// rs1_val == 0xDE14BFDFFFFFFFFF and imm_val == 0x05 -// opcode: rori ; op1:x10; dest:x11; op1val:0xde14bfdfffffffff; immval:0x5 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xde14bfdfffffffff, 0x5, x3, 760, x4) - -inst_118: -// rs1_val == 0x008EEF3FFFFFFFFF and imm_val == 0x36 -// opcode: rori ; op1:x10; dest:x11; op1val:0x8eef3fffffffff; immval:0x36 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x8eef3fffffffff, 0x36, x3, 768, x4) - -inst_119: -// rs1_val == 0x6E2D707FFFFFFFFF and imm_val == 0x3B -// opcode: rori ; op1:x10; dest:x11; op1val:0x6e2d707fffffffff; immval:0x3b -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x6e2d707fffffffff, 0x3b, x3, 776, x4) - -inst_120: -// rs1_val == 0x5DCF00FFFFFFFFFF and imm_val == 0x10 -// opcode: rori ; op1:x10; dest:x11; op1val:0x5dcf00ffffffffff; immval:0x10 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x5dcf00ffffffffff, 0x10, x3, 784, x4) - -inst_121: -// rs1_val == 0x3C5569FFFFFFFFFF and imm_val == 0x18 -// opcode: rori ; op1:x10; dest:x11; op1val:0x3c5569ffffffffff; immval:0x18 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x3c5569ffffffffff, 0x18, x3, 792, x4) - -inst_122: -// rs1_val == 0x7DA8D3FFFFFFFFFF and imm_val == 0x1E -// opcode: rori ; op1:x10; dest:x11; op1val:0x7da8d3ffffffffff; immval:0x1e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x7da8d3ffffffffff, 0x1e, x3, 800, x4) - -inst_123: -// rs1_val == 0xE3A707FFFFFFFFFF and imm_val == 0x10 -// opcode: rori ; op1:x10; dest:x11; op1val:0xe3a707ffffffffff; immval:0x10 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xe3a707ffffffffff, 0x10, x3, 808, x4) - -inst_124: -// rs1_val == 0x9B01EFFFFFFFFFFF and imm_val == 0x23 -// opcode: rori ; op1:x10; dest:x11; op1val:0x9b01efffffffffff; immval:0x23 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x9b01efffffffffff, 0x23, x3, 816, x4) - -inst_125: -// rs1_val == 0x5F011FFFFFFFFFFF and imm_val == 0x3A -// opcode: rori ; op1:x10; dest:x11; op1val:0x5f011fffffffffff; immval:0x3a -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x5f011fffffffffff, 0x3a, x3, 824, x4) - -inst_126: -// rs1_val == 0x2DEDBFFFFFFFFFFF and imm_val == 0x2D -// opcode: rori ; op1:x10; dest:x11; op1val:0x2dedbfffffffffff; immval:0x2d -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x2dedbfffffffffff, 0x2d, x3, 832, x4) - -inst_127: -// rs1_val == 0x2D377FFFFFFFFFFF and imm_val == 0x25 -// opcode: rori ; op1:x10; dest:x11; op1val:0x2d377fffffffffff; immval:0x25 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x2d377fffffffffff, 0x25, x3, 840, x4) - -inst_128: -// rs1_val == 0xAD44FFFFFFFFFFFF and imm_val == 0x38 -// opcode: rori ; op1:x10; dest:x11; op1val:0xad44ffffffffffff; immval:0x38 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xad44ffffffffffff, 0x38, x3, 848, x4) - -inst_129: -// rs1_val == 0x72C9FFFFFFFFFFFF and imm_val == 0x11 -// opcode: rori ; op1:x10; dest:x11; op1val:0x72c9ffffffffffff; immval:0x11 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x72c9ffffffffffff, 0x11, x3, 856, x4) - -inst_130: -// rs1_val == 0xD1D3FFFFFFFFFFFF and imm_val == 0x21 -// opcode: rori ; op1:x10; dest:x11; op1val:0xd1d3ffffffffffff; immval:0x21 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xd1d3ffffffffffff, 0x21, x3, 864, x4) - -inst_131: -// rs1_val == 0x5057FFFFFFFFFFFF and imm_val == 0x0F -// opcode: rori ; op1:x10; dest:x11; op1val:0x5057ffffffffffff; immval:0xf -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x5057ffffffffffff, 0xf, x3, 872, x4) - -inst_132: -// rs1_val == 0x5D2FFFFFFFFFFFFF and imm_val == 0x37 -// opcode: rori ; op1:x10; dest:x11; op1val:0x5d2fffffffffffff; immval:0x37 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x5d2fffffffffffff, 0x37, x3, 880, x4) - -inst_133: -// rs1_val == 0xE5DFFFFFFFFFFFFF and imm_val == 0x36 -// opcode: rori ; op1:x10; dest:x11; op1val:0xe5dfffffffffffff; immval:0x36 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xe5dfffffffffffff, 0x36, x3, 888, x4) - -inst_134: -// rs1_val == 0xD9BFFFFFFFFFFFFF and imm_val == 0x1D -// opcode: rori ; op1:x10; dest:x11; op1val:0xd9bfffffffffffff; immval:0x1d -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xd9bfffffffffffff, 0x1d, x3, 896, x4) - -inst_135: -// rs1_val == 0x237FFFFFFFFFFFFF and imm_val == 0x20 -// opcode: rori ; op1:x10; dest:x11; op1val:0x237fffffffffffff; immval:0x20 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x237fffffffffffff, 0x20, x3, 904, x4) - -inst_136: -// rs1_val == 0x72FFFFFFFFFFFFFF and imm_val == 0x37 -// opcode: rori ; op1:x10; dest:x11; op1val:0x72ffffffffffffff; immval:0x37 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x72ffffffffffffff, 0x37, x3, 912, x4) - -inst_137: -// rs1_val == 0xDDFFFFFFFFFFFFFF and imm_val == 0x21 -// opcode: rori ; op1:x10; dest:x11; op1val:0xddffffffffffffff; immval:0x21 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xddffffffffffffff, 0x21, x3, 920, x4) - -inst_138: -// rs1_val == 0x43FFFFFFFFFFFFFF and imm_val == 0x12 -// opcode: rori ; op1:x10; dest:x11; op1val:0x43ffffffffffffff; immval:0x12 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x43ffffffffffffff, 0x12, x3, 928, x4) - -inst_139: -// rs1_val == 0x27FFFFFFFFFFFFFF and imm_val == 0x01 -// opcode: rori ; op1:x10; dest:x11; op1val:0x27ffffffffffffff; immval:0x1 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x27ffffffffffffff, 0x1, x3, 936, x4) - -inst_140: -// rs1_val == 0x4FFFFFFFFFFFFFFF and imm_val == 0x03 -// opcode: rori ; op1:x10; dest:x11; op1val:0x4fffffffffffffff; immval:0x3 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x4fffffffffffffff, 0x3, x3, 944, x4) - -inst_141: -// rs1_val == 0x1FFFFFFFFFFFFFFF and imm_val == 0x1B -// opcode: rori ; op1:x10; dest:x11; op1val:0x1fffffffffffffff; immval:0x1b -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x1fffffffffffffff, 0x1b, x3, 952, x4) - -inst_142: -// rs1_val == 0x3FFFFFFFFFFFFFFF and imm_val == 0x17 -// opcode: rori ; op1:x10; dest:x11; op1val:0x3fffffffffffffff; immval:0x17 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x3fffffffffffffff, 0x17, x3, 960, x4) - -inst_143: -// rs1_val == 0x7FFFFFFFFFFFFFFF and imm_val == 0x12 -// opcode: rori ; op1:x10; dest:x11; op1val:0x7fffffffffffffff; immval:0x12 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x7fffffffffffffff, 0x12, x3, 968, x4) - -inst_144: -// rs1_val == 0xFFFFFFFFFFFFFFFF and imm_val == 0x17 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffffffffff; immval:0x17 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffffffffff, 0x17, x3, 976, x4) - -inst_145: -// imm_val == 0x22 and rs1_val == 0xF91ACB8D9279B1E9 -// opcode: rori ; op1:x10; dest:x11; op1val:0xf91acb8d9279b1e9; immval:0x22 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xf91acb8d9279b1e9, 0x22, x3, 984, x4) - -inst_146: -// imm_val == 0x13 and rs1_val == 0x482EA7602D1EF7BF -// opcode: rori ; op1:x10; dest:x11; op1val:0x482ea7602d1ef7bf; immval:0x13 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x482ea7602d1ef7bf, 0x13, x3, 992, x4) - -inst_147: -// imm_val == 0x0B and rs1_val == 0x3FC2A9087219C1DA -// opcode: rori ; op1:x10; dest:x11; op1val:0x3fc2a9087219c1da; immval:0xb -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x3fc2a9087219c1da, 0xb, x3, 1000, x4) - -inst_148: -// imm_val == 0x04 and rs1_val == 0x11B41900043E3EF5 -// opcode: rori ; op1:x10; dest:x11; op1val:0x11b41900043e3ef5; immval:0x4 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x11b41900043e3ef5, 0x4, x3, 1008, x4) - -inst_149: -// imm_val == 0x02 and rs1_val == 0xEA3A0683EAD81DCD -// opcode: rori ; op1:x10; dest:x11; op1val:0xea3a0683ead81dcd; immval:0x2 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xea3a0683ead81dcd, 0x2, x3, 1016, x4) - -inst_150: -// imm_val == 0x01 and rs1_val == 0x12FAD8029D42F670 -// opcode: rori ; op1:x10; dest:x11; op1val:0x12fad8029d42f670; immval:0x1 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x12fad8029d42f670, 0x1, x3, 1024, x4) - -inst_151: -// imm_val == 0x00 and rs1_val == 0xFA285A0DB869135C -// opcode: rori ; op1:x10; dest:x11; op1val:0xfa285a0db869135c; immval:0x0 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfa285a0db869135c, 0x0, x3, 1032, x4) - -inst_152: -// rs1_val == 0x852395744B1E943F and imm_val == 0x16 -// opcode: rori ; op1:x10; dest:x11; op1val:0x852395744b1e943f; immval:0x16 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x852395744b1e943f, 0x16, x3, 1040, x4) - -inst_153: -// rs1_val == 0x6BBA8D2141C9886F and imm_val == 0x3E -// opcode: rori ; op1:x10; dest:x11; op1val:0x6bba8d2141c9886f; immval:0x3e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x6bba8d2141c9886f, 0x3e, x3, 1048, x4) - -inst_154: -// rs1_val == 0x3D65693B3D0840FC and imm_val == 0x32 -// opcode: rori ; op1:x10; dest:x11; op1val:0x3d65693b3d0840fc; immval:0x32 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x3d65693b3d0840fc, 0x32, x3, 1056, x4) - -inst_155: -// rs1_val == 0x19E803191BEA8594 and imm_val == 0x20 -// opcode: rori ; op1:x10; dest:x11; op1val:0x19e803191bea8594; immval:0x20 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x19e803191bea8594, 0x20, x3, 1064, x4) - -inst_156: -// rs1_val == 0x0C2282666BE49EE8 and imm_val == 0x0D -// opcode: rori ; op1:x10; dest:x11; op1val:0xc2282666be49ee8; immval:0xd -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xc2282666be49ee8, 0xd, x3, 1072, x4) - -inst_157: -// rs1_val == 0x07862EACE1D73010 and imm_val == 0x0A -// opcode: rori ; op1:x10; dest:x11; op1val:0x7862eace1d73010; immval:0xa -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x7862eace1d73010, 0xa, x3, 1080, x4) - -inst_158: -// rs1_val == 0x033C1A7FAFDD8734 and imm_val == 0x31 -// opcode: rori ; op1:x10; dest:x11; op1val:0x33c1a7fafdd8734; immval:0x31 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x33c1a7fafdd8734, 0x31, x3, 1088, x4) - -inst_159: -// rs1_val == 0x0104A795BD4AEAB1 and imm_val == 0x19 -// opcode: rori ; op1:x10; dest:x11; op1val:0x104a795bd4aeab1; immval:0x19 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x104a795bd4aeab1, 0x19, x3, 1096, x4) - -inst_160: -// rs1_val == 0x0096C6C8B9B338EC and imm_val == 0x14 -// opcode: rori ; op1:x10; dest:x11; op1val:0x96c6c8b9b338ec; immval:0x14 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x96c6c8b9b338ec, 0x14, x3, 1104, x4) - -inst_161: -// rs1_val == 0x00775505E88E7530 and imm_val == 0x1F -// opcode: rori ; op1:x10; dest:x11; op1val:0x775505e88e7530; immval:0x1f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x775505e88e7530, 0x1f, x3, 1112, x4) - -inst_162: -// rs1_val == 0x00356991A0931ED5 and imm_val == 0x27 -// opcode: rori ; op1:x10; dest:x11; op1val:0x356991a0931ed5; immval:0x27 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x356991a0931ed5, 0x27, x3, 1120, x4) - -inst_163: -// rs1_val == 0x00174145DDD4A055 and imm_val == 0x17 -// opcode: rori ; op1:x10; dest:x11; op1val:0x174145ddd4a055; immval:0x17 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x174145ddd4a055, 0x17, x3, 1128, x4) - -inst_164: -// rs1_val == 0x000F19FDD5157E9E and imm_val == 0x11 -// opcode: rori ; op1:x10; dest:x11; op1val:0xf19fdd5157e9e; immval:0x11 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xf19fdd5157e9e, 0x11, x3, 1136, x4) - -inst_165: -// rs1_val == 0x0005D99A20918FA8 and imm_val == 0x3D -// opcode: rori ; op1:x10; dest:x11; op1val:0x5d99a20918fa8; immval:0x3d -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x5d99a20918fa8, 0x3d, x3, 1144, x4) - -inst_166: -// rs1_val == 0x00032C075F27FF09 and imm_val == 0x3A -// opcode: rori ; op1:x10; dest:x11; op1val:0x32c075f27ff09; immval:0x3a -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x32c075f27ff09, 0x3a, x3, 1152, x4) - -inst_167: -// rs1_val == 0x0001BCE703F8670E and imm_val == 0x2F -// opcode: rori ; op1:x10; dest:x11; op1val:0x1bce703f8670e; immval:0x2f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x1bce703f8670e, 0x2f, x3, 1160, x4) - -inst_168: -// rs1_val == 0x00009B8B356F8BD2 and imm_val == 0x1F -// opcode: rori ; op1:x10; dest:x11; op1val:0x9b8b356f8bd2; immval:0x1f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x9b8b356f8bd2, 0x1f, x3, 1168, x4) - -inst_169: -// rs1_val == 0x0000545212CA3F71 and imm_val == 0x0B -// opcode: rori ; op1:x10; dest:x11; op1val:0x545212ca3f71; immval:0xb -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x545212ca3f71, 0xb, x3, 1176, x4) - -inst_170: -// rs1_val == 0x00003090D48DD9F4 and imm_val == 0x31 -// opcode: rori ; op1:x10; dest:x11; op1val:0x3090d48dd9f4; immval:0x31 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x3090d48dd9f4, 0x31, x3, 1184, x4) - -inst_171: -// rs1_val == 0x00001DD8027385CA and imm_val == 0x2A -// opcode: rori ; op1:x10; dest:x11; op1val:0x1dd8027385ca; immval:0x2a -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x1dd8027385ca, 0x2a, x3, 1192, x4) - -inst_172: -// rs1_val == 0x0000082236971E1C and imm_val == 0x21 -// opcode: rori ; op1:x10; dest:x11; op1val:0x82236971e1c; immval:0x21 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x82236971e1c, 0x21, x3, 1200, x4) - -inst_173: -// rs1_val == 0x000004440AC793F6 and imm_val == 0x12 -// opcode: rori ; op1:x10; dest:x11; op1val:0x4440ac793f6; immval:0x12 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x4440ac793f6, 0x12, x3, 1208, x4) - -inst_174: -// rs1_val == 0x000003D5FEEF16EA and imm_val == 0x0C -// opcode: rori ; op1:x10; dest:x11; op1val:0x3d5feef16ea; immval:0xc -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x3d5feef16ea, 0xc, x3, 1216, x4) - -inst_175: -// rs1_val == 0x0000010735F11AF3 and imm_val == 0x32 -// opcode: rori ; op1:x10; dest:x11; op1val:0x10735f11af3; immval:0x32 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x10735f11af3, 0x32, x3, 1224, x4) - -inst_176: -// rs1_val == 0x000000ACFF769E38 and imm_val == 0x02 -// opcode: rori ; op1:x10; dest:x11; op1val:0xacff769e38; immval:0x2 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xacff769e38, 0x2, x3, 1232, x4) - -inst_177: -// rs1_val == 0x000000764511488A and imm_val == 0x26 -// opcode: rori ; op1:x10; dest:x11; op1val:0x764511488a; immval:0x26 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x764511488a, 0x26, x3, 1240, x4) - -inst_178: -// rs1_val == 0x0000002DFD74106A and imm_val == 0x00 -// opcode: rori ; op1:x10; dest:x11; op1val:0x2dfd74106a; immval:0x0 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x2dfd74106a, 0x0, x3, 1248, x4) - -inst_179: -// rs1_val == 0x000000101DD377C0 and imm_val == 0x35 -// opcode: rori ; op1:x10; dest:x11; op1val:0x101dd377c0; immval:0x35 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x101dd377c0, 0x35, x3, 1256, x4) - -inst_180: -// rs1_val == 0x0000000A6B52B08E and imm_val == 0x31 -// opcode: rori ; op1:x10; dest:x11; op1val:0xa6b52b08e; immval:0x31 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xa6b52b08e, 0x31, x3, 1264, x4) - -inst_181: -// rs1_val == 0x00000004FC3B66FB and imm_val == 0x10 -// opcode: rori ; op1:x10; dest:x11; op1val:0x4fc3b66fb; immval:0x10 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x4fc3b66fb, 0x10, x3, 1272, x4) - -inst_182: -// rs1_val == 0x00000002728A6FD0 and imm_val == 0x18 -// opcode: rori ; op1:x10; dest:x11; op1val:0x2728a6fd0; immval:0x18 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x2728a6fd0, 0x18, x3, 1280, x4) - -inst_183: -// rs1_val == 0x00000001AE9CA08C and imm_val == 0x18 -// opcode: rori ; op1:x10; dest:x11; op1val:0x1ae9ca08c; immval:0x18 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x1ae9ca08c, 0x18, x3, 1288, x4) - -inst_184: -// rs1_val == 0x00000000CC099A1F and imm_val == 0x16 -// opcode: rori ; op1:x10; dest:x11; op1val:0xcc099a1f; immval:0x16 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xcc099a1f, 0x16, x3, 1296, x4) - -inst_185: -// rs1_val == 0x0000000042CDF2B0 and imm_val == 0x3B -// opcode: rori ; op1:x10; dest:x11; op1val:0x42cdf2b0; immval:0x3b -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x42cdf2b0, 0x3b, x3, 1304, x4) - -inst_186: -// rs1_val == 0x000000002274EA19 and imm_val == 0x0C -// opcode: rori ; op1:x10; dest:x11; op1val:0x2274ea19; immval:0xc -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x2274ea19, 0xc, x3, 1312, x4) - -inst_187: -// rs1_val == 0x00000000120EF165 and imm_val == 0x0F -// opcode: rori ; op1:x10; dest:x11; op1val:0x120ef165; immval:0xf -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x120ef165, 0xf, x3, 1320, x4) - -inst_188: -// rs1_val == 0x000000000D2454F2 and imm_val == 0x34 -// opcode: rori ; op1:x10; dest:x11; op1val:0xd2454f2; immval:0x34 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xd2454f2, 0x34, x3, 1328, x4) - -inst_189: -// rs1_val == 0x00000000063E9BEE and imm_val == 0x27 -// opcode: rori ; op1:x10; dest:x11; op1val:0x63e9bee; immval:0x27 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x63e9bee, 0x27, x3, 1336, x4) - -inst_190: -// rs1_val == 0x00000000034C7CD0 and imm_val == 0x22 -// opcode: rori ; op1:x10; dest:x11; op1val:0x34c7cd0; immval:0x22 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x34c7cd0, 0x22, x3, 1344, x4) - -inst_191: -// rs1_val == 0x0000000001777310 and imm_val == 0x19 -// opcode: rori ; op1:x10; dest:x11; op1val:0x1777310; immval:0x19 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x1777310, 0x19, x3, 1352, x4) - -inst_192: -// rs1_val == 0x0000000000D11609 and imm_val == 0x32 -// opcode: rori ; op1:x10; dest:x11; op1val:0xd11609; immval:0x32 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xd11609, 0x32, x3, 1360, x4) - -inst_193: -// rs1_val == 0x0000000000680BE0 and imm_val == 0x06 -// opcode: rori ; op1:x10; dest:x11; op1val:0x680be0; immval:0x6 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x680be0, 0x6, x3, 1368, x4) - -inst_194: -// rs1_val == 0x0000000000294B16 and imm_val == 0x00 -// opcode: rori ; op1:x10; dest:x11; op1val:0x294b16; immval:0x0 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x294b16, 0x0, x3, 1376, x4) - -inst_195: -// rs1_val == 0x0000000000128D1B and imm_val == 0x02 -// opcode: rori ; op1:x10; dest:x11; op1val:0x128d1b; immval:0x2 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x128d1b, 0x2, x3, 1384, x4) - -inst_196: -// rs1_val == 0x0000000000089A12 and imm_val == 0x25 -// opcode: rori ; op1:x10; dest:x11; op1val:0x89a12; immval:0x25 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x89a12, 0x25, x3, 1392, x4) - -inst_197: -// rs1_val == 0x000000000005D25B and imm_val == 0x0D -// opcode: rori ; op1:x10; dest:x11; op1val:0x5d25b; immval:0xd -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x5d25b, 0xd, x3, 1400, x4) - -inst_198: -// rs1_val == 0x000000000003A2C3 and imm_val == 0x24 -// opcode: rori ; op1:x10; dest:x11; op1val:0x3a2c3; immval:0x24 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x3a2c3, 0x24, x3, 1408, x4) - -inst_199: -// rs1_val == 0x00000000000102FD and imm_val == 0x28 -// opcode: rori ; op1:x10; dest:x11; op1val:0x102fd; immval:0x28 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x102fd, 0x28, x3, 1416, x4) - -inst_200: -// rs1_val == 0x000000000000A6B3 and imm_val == 0x0A -// opcode: rori ; op1:x10; dest:x11; op1val:0xa6b3; immval:0xa -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xa6b3, 0xa, x3, 1424, x4) - -inst_201: -// rs1_val == 0x0000000000005238 and imm_val == 0x23 -// opcode: rori ; op1:x10; dest:x11; op1val:0x5238; immval:0x23 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x5238, 0x23, x3, 1432, x4) - -inst_202: -// rs1_val == 0x000000000000222A and imm_val == 0x0A -// opcode: rori ; op1:x10; dest:x11; op1val:0x222a; immval:0xa -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x222a, 0xa, x3, 1440, x4) - -inst_203: -// rs1_val == 0x0000000000001161 and imm_val == 0x25 -// opcode: rori ; op1:x10; dest:x11; op1val:0x1161; immval:0x25 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x1161, 0x25, x3, 1448, x4) - -inst_204: -// rs1_val == 0x0000000000000DB9 and imm_val == 0x19 -// opcode: rori ; op1:x10; dest:x11; op1val:0xdb9; immval:0x19 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xdb9, 0x19, x3, 1456, x4) - -inst_205: -// rs1_val == 0x00000000000004C1 and imm_val == 0x29 -// opcode: rori ; op1:x10; dest:x11; op1val:0x4c1; immval:0x29 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x4c1, 0x29, x3, 1464, x4) - -inst_206: -// rs1_val == 0x0000000000000390 and imm_val == 0x03 -// opcode: rori ; op1:x10; dest:x11; op1val:0x390; immval:0x3 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x390, 0x3, x3, 1472, x4) - -inst_207: -// rs1_val == 0x00000000000001D4 and imm_val == 0x18 -// opcode: rori ; op1:x10; dest:x11; op1val:0x1d4; immval:0x18 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x1d4, 0x18, x3, 1480, x4) - -inst_208: -// rs1_val == 0x00000000000000A7 and imm_val == 0x08 -// opcode: rori ; op1:x10; dest:x11; op1val:0xa7; immval:0x8 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xa7, 0x8, x3, 1488, x4) - -inst_209: -// rs1_val == 0x0000000000000079 and imm_val == 0x14 -// opcode: rori ; op1:x10; dest:x11; op1val:0x79; immval:0x14 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x79, 0x14, x3, 1496, x4) - -inst_210: -// rs1_val == 0x000000000000002C and imm_val == 0x32 -// opcode: rori ; op1:x10; dest:x11; op1val:0x2c; immval:0x32 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x2c, 0x32, x3, 1504, x4) - -inst_211: -// rs1_val == 0x000000000000001D and imm_val == 0x05 -// opcode: rori ; op1:x10; dest:x11; op1val:0x1d; immval:0x5 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x1d, 0x5, x3, 1512, x4) - -inst_212: -// rs1_val == 0x000000000000000C and imm_val == 0x26 -// opcode: rori ; op1:x10; dest:x11; op1val:0xc; immval:0x26 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xc, 0x26, x3, 1520, x4) - -inst_213: -// rs1_val == 0x0000000000000006 and imm_val == 0x17 -// opcode: rori ; op1:x10; dest:x11; op1val:0x6; immval:0x17 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x6, 0x17, x3, 1528, x4) - -inst_214: -// rs1_val == 0x0000000000000003 and imm_val == 0x3C -// opcode: rori ; op1:x10; dest:x11; op1val:0x3; immval:0x3c -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x3, 0x3c, x3, 1536, x4) - -inst_215: -// rs1_val == 0x0000000000000001 and imm_val == 0x18 -// opcode: rori ; op1:x10; dest:x11; op1val:0x1; immval:0x18 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x1, 0x18, x3, 1544, x4) - -inst_216: -// rs1_val == 0x0000000000000000 and imm_val == 0x3B -// opcode: rori ; op1:x10; dest:x11; op1val:0x0; immval:0x3b -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x0, 0x3b, x3, 1552, x4) - -inst_217: -// imm_val == 0x1C and rs1_val == 0xFC7C6D0A352C006F -// opcode: rori ; op1:x10; dest:x11; op1val:0xfc7c6d0a352c006f; immval:0x1c -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfc7c6d0a352c006f, 0x1c, x3, 1560, x4) - -inst_218: -// imm_val == 0x2C and rs1_val == 0x976AD220146A3673 -// opcode: rori ; op1:x10; dest:x11; op1val:0x976ad220146a3673; immval:0x2c -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x976ad220146a3673, 0x2c, x3, 1568, x4) - -inst_219: -// imm_val == 0x37 and rs1_val == 0xFC6113A3312529DC -// opcode: rori ; op1:x10; dest:x11; op1val:0xfc6113a3312529dc; immval:0x37 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfc6113a3312529dc, 0x37, x3, 1576, x4) - -inst_220: -// imm_val == 0x3B and rs1_val == 0x242A809B7A3209FE -// opcode: rori ; op1:x10; dest:x11; op1val:0x242a809b7a3209fe; immval:0x3b -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x242a809b7a3209fe, 0x3b, x3, 1584, x4) - -inst_221: -// imm_val == 0x3C and rs1_val == 0xE380A1764A104E66 -// opcode: rori ; op1:x10; dest:x11; op1val:0xe380a1764a104e66; immval:0x3c -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xe380a1764a104e66, 0x3c, x3, 1592, x4) - -inst_222: -// imm_val == 0x3E and rs1_val == 0xA0E0BD86D4551408 -// opcode: rori ; op1:x10; dest:x11; op1val:0xa0e0bd86d4551408; immval:0x3e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xa0e0bd86d4551408, 0x3e, x3, 1600, x4) - -inst_223: -// imm_val == 0x3F and rs1_val == 0xAFE08A13086C1B78 -// opcode: rori ; op1:x10; dest:x11; op1val:0xafe08a13086c1b78; immval:0x3f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xafe08a13086c1b78, 0x3f, x3, 1608, x4) - -inst_224: -// rs1_val == 0x3CC279B3BD59213F and imm_val == 0x29 -// opcode: rori ; op1:x10; dest:x11; op1val:0x3cc279b3bd59213f; immval:0x29 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x3cc279b3bd59213f, 0x29, x3, 1616, x4) - -inst_225: -// rs1_val == 0x941060376C5E1579 and imm_val == 0x13 -// opcode: rori ; op1:x10; dest:x11; op1val:0x941060376c5e1579; immval:0x13 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0x941060376c5e1579, 0x13, x3, 1624, x4) - -inst_226: -// rs1_val == 0xC9EA3210E5DF7F0B and imm_val == 0x3A -// opcode: rori ; op1:x10; dest:x11; op1val:0xc9ea3210e5df7f0b; immval:0x3a -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xc9ea3210e5df7f0b, 0x3a, x3, 1632, x4) - -inst_227: -// rs1_val == 0xE9E93D5257DDE0F0 and imm_val == 0x21 -// opcode: rori ; op1:x10; dest:x11; op1val:0xe9e93d5257dde0f0; immval:0x21 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xe9e93d5257dde0f0, 0x21, x3, 1640, x4) - -inst_228: -// rs1_val == 0xF048E341C64C47E8 and imm_val == 0x39 -// opcode: rori ; op1:x10; dest:x11; op1val:0xf048e341c64c47e8; immval:0x39 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xf048e341c64c47e8, 0x39, x3, 1648, x4) - -inst_229: -// rs1_val == 0xF969730123A92480 and imm_val == 0x37 -// opcode: rori ; op1:x10; dest:x11; op1val:0xf969730123a92480; immval:0x37 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xf969730123a92480, 0x37, x3, 1656, x4) - -inst_230: -// rs1_val == 0xFC20CE1CD55D1CE8 and imm_val == 0x3E -// opcode: rori ; op1:x10; dest:x11; op1val:0xfc20ce1cd55d1ce8; immval:0x3e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfc20ce1cd55d1ce8, 0x3e, x3, 1664, x4) - -inst_231: -// rs1_val == 0xFED775C526EA42ED and imm_val == 0x3A -// opcode: rori ; op1:x10; dest:x11; op1val:0xfed775c526ea42ed; immval:0x3a -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfed775c526ea42ed, 0x3a, x3, 1672, x4) - -inst_232: -// rs1_val == 0xFF01DFA30CF25924 and imm_val == 0x0B -// opcode: rori ; op1:x10; dest:x11; op1val:0xff01dfa30cf25924; immval:0xb -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xff01dfa30cf25924, 0xb, x3, 1680, x4) - -inst_233: -// rs1_val == 0xFF912F0A7046AB61 and imm_val == 0x08 -// opcode: rori ; op1:x10; dest:x11; op1val:0xff912f0a7046ab61; immval:0x8 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xff912f0a7046ab61, 0x8, x3, 1688, x4) - -inst_234: -// rs1_val == 0xFFCA96C9D43DB43F and imm_val == 0x00 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffca96c9d43db43f; immval:0x0 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffca96c9d43db43f, 0x0, x3, 1696, x4) - -inst_235: -// rs1_val == 0xFFEB4B49194135A5 and imm_val == 0x35 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffeb4b49194135a5; immval:0x35 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffeb4b49194135a5, 0x35, x3, 1704, x4) - -inst_236: -// rs1_val == 0xFFF1A2ADB3A63FA4 and imm_val == 0x38 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfff1a2adb3a63fa4; immval:0x38 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfff1a2adb3a63fa4, 0x38, x3, 1712, x4) - -inst_237: -// rs1_val == 0xFFF8306E89E6156C and imm_val == 0x3E -// opcode: rori ; op1:x10; dest:x11; op1val:0xfff8306e89e6156c; immval:0x3e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfff8306e89e6156c, 0x3e, x3, 1720, x4) - -inst_238: -// rs1_val == 0xFFFDA6CA22C13476 and imm_val == 0x2C -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffda6ca22c13476; immval:0x2c -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffda6ca22c13476, 0x2c, x3, 1728, x4) - -inst_239: -// rs1_val == 0xFFFE03B4AC9E9033 and imm_val == 0x33 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffe03b4ac9e9033; immval:0x33 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffe03b4ac9e9033, 0x33, x3, 1736, x4) - -inst_240: -// rs1_val == 0xFFFF3AD31011EEB5 and imm_val == 0x3E -// opcode: rori ; op1:x10; dest:x11; op1val:0xffff3ad31011eeb5; immval:0x3e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffff3ad31011eeb5, 0x3e, x3, 1744, x4) - -inst_241: -// rs1_val == 0xFFFF90F48525E8A9 and imm_val == 0x3F -// opcode: rori ; op1:x10; dest:x11; op1val:0xffff90f48525e8a9; immval:0x3f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffff90f48525e8a9, 0x3f, x3, 1752, x4) - -inst_242: -// rs1_val == 0xFFFFD6E6BC7F85E3 and imm_val == 0x22 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffd6e6bc7f85e3; immval:0x22 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffd6e6bc7f85e3, 0x22, x3, 1760, x4) - -inst_243: -// rs1_val == 0xFFFFE7F6AC60E057 and imm_val == 0x1E -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffe7f6ac60e057; immval:0x1e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffe7f6ac60e057, 0x1e, x3, 1768, x4) - -inst_244: -// rs1_val == 0xFFFFF12863FD8180 and imm_val == 0x3F -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffff12863fd8180; immval:0x3f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffff12863fd8180, 0x3f, x3, 1776, x4) - -inst_245: -// rs1_val == 0xFFFFFB5472B3CB0C and imm_val == 0x14 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffb5472b3cb0c; immval:0x14 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffb5472b3cb0c, 0x14, x3, 1784, x4) - -inst_246: -// rs1_val == 0xFFFFFD8D3E1C8522 and imm_val == 0x21 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffd8d3e1c8522; immval:0x21 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffd8d3e1c8522, 0x21, x3, 1792, x4) - -inst_247: -// rs1_val == 0xFFFFFE8F54E77CDC and imm_val == 0x28 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffe8f54e77cdc; immval:0x28 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffe8f54e77cdc, 0x28, x3, 1800, x4) - -inst_248: -// rs1_val == 0xFFFFFF048B7B5379 and imm_val == 0x05 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffff048b7b5379; immval:0x5 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffff048b7b5379, 0x5, x3, 1808, x4) - -inst_249: -// rs1_val == 0xFFFFFF856F4930C9 and imm_val == 0x00 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffff856f4930c9; immval:0x0 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffff856f4930c9, 0x0, x3, 1816, x4) - -inst_250: -// rs1_val == 0xFFFFFFDCC5D97467 and imm_val == 0x29 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffdcc5d97467; immval:0x29 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffdcc5d97467, 0x29, x3, 1824, x4) - -inst_251: -// rs1_val == 0xFFFFFFE5C70AFC93 and imm_val == 0x3D -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffe5c70afc93; immval:0x3d -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffe5c70afc93, 0x3d, x3, 1832, x4) - -inst_252: -// rs1_val == 0xFFFFFFF6A911655F and imm_val == 0x16 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffff6a911655f; immval:0x16 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffff6a911655f, 0x16, x3, 1840, x4) - -inst_253: -// rs1_val == 0xFFFFFFF974AB0A39 and imm_val == 0x15 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffff974ab0a39; immval:0x15 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffff974ab0a39, 0x15, x3, 1848, x4) - -inst_254: -// rs1_val == 0xFFFFFFFCDD68F202 and imm_val == 0x22 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffcdd68f202; immval:0x22 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffcdd68f202, 0x22, x3, 1856, x4) - -inst_255: -// rs1_val == 0xFFFFFFFE24BD4821 and imm_val == 0x16 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffe24bd4821; immval:0x16 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffe24bd4821, 0x16, x3, 1864, x4) - -inst_256: -// rs1_val == 0xFFFFFFFF3ED7E667 and imm_val == 0x23 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffff3ed7e667; immval:0x23 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffff3ed7e667, 0x23, x3, 1872, x4) - -inst_257: -// rs1_val == 0xFFFFFFFFB871CFDF and imm_val == 0x39 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffb871cfdf; immval:0x39 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffb871cfdf, 0x39, x3, 1880, x4) - -inst_258: -// rs1_val == 0xFFFFFFFFD29C11AE and imm_val == 0x37 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffd29c11ae; immval:0x37 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffd29c11ae, 0x37, x3, 1888, x4) - -inst_259: -// rs1_val == 0xFFFFFFFFE109799A and imm_val == 0x18 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffe109799a; immval:0x18 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffe109799a, 0x18, x3, 1896, x4) - -inst_260: -// rs1_val == 0xFFFFFFFFF4E80B13 and imm_val == 0x0C -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffff4e80b13; immval:0xc -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffff4e80b13, 0xc, x3, 1904, x4) - -inst_261: -// rs1_val == 0xFFFFFFFFF9B7EA62 and imm_val == 0x13 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffff9b7ea62; immval:0x13 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffff9b7ea62, 0x13, x3, 1912, x4) - -inst_262: -// rs1_val == 0xFFFFFFFFFC51F638 and imm_val == 0x2F -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffffc51f638; immval:0x2f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffffc51f638, 0x2f, x3, 1920, x4) - -inst_263: -// rs1_val == 0xFFFFFFFFFE9263CF and imm_val == 0x0A -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffffe9263cf; immval:0xa -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffffe9263cf, 0xa, x3, 1928, x4) - -inst_264: -// rs1_val == 0xFFFFFFFFFF216823 and imm_val == 0x09 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffff216823; immval:0x9 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffff216823, 0x9, x3, 1936, x4) - -inst_265: -// rs1_val == 0xFFFFFFFFFF861438 and imm_val == 0x04 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffff861438; immval:0x4 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffff861438, 0x4, x3, 1944, x4) - -inst_266: -// rs1_val == 0xFFFFFFFFFFD8C9D0 and imm_val == 0x34 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffffd8c9d0; immval:0x34 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffffd8c9d0, 0x34, x3, 1952, x4) - -inst_267: -// rs1_val == 0xFFFFFFFFFFE4D576 and imm_val == 0x14 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffffe4d576; immval:0x14 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffffe4d576, 0x14, x3, 1960, x4) - -inst_268: -// rs1_val == 0xFFFFFFFFFFF18C67 and imm_val == 0x29 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffffff18c67; immval:0x29 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffffff18c67, 0x29, x3, 1968, x4) - -inst_269: -// rs1_val == 0xFFFFFFFFFFFBB998 and imm_val == 0x08 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffffffbb998; immval:0x8 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffffffbb998, 0x8, x3, 1976, x4) - -inst_270: -// rs1_val == 0xFFFFFFFFFFFC2561 and imm_val == 0x39 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffffffc2561; immval:0x39 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffffffc2561, 0x39, x3, 1984, x4) - -inst_271: -// rs1_val == 0xFFFFFFFFFFFEEAB5 and imm_val == 0x1E -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffffffeeab5; immval:0x1e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffffffeeab5, 0x1e, x3, 1992, x4) - -inst_272: -// rs1_val == 0xFFFFFFFFFFFF0651 and imm_val == 0x26 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffffff0651; immval:0x26 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffffff0651, 0x26, x3, 2000, x4) - -inst_273: -// rs1_val == 0xFFFFFFFFFFFF90E4 and imm_val == 0x18 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffffff90e4; immval:0x18 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffffff90e4, 0x18, x3, 2008, x4) - -inst_274: -// rs1_val == 0xFFFFFFFFFFFFCA23 and imm_val == 0x00 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffffffca23; immval:0x0 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffffffca23, 0x0, x3, 2016, x4) - -inst_275: -// rs1_val == 0xFFFFFFFFFFFFE2A0 and imm_val == 0x30 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffffffe2a0; immval:0x30 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffffffe2a0, 0x30, x3, 2024, x4) - -inst_276: -// rs1_val == 0xFFFFFFFFFFFFF2CC and imm_val == 0x1E -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffffffff2cc; immval:0x1e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffffffff2cc, 0x1e, x3, 2032, x4) - -inst_277: -// rs1_val == 0xFFFFFFFFFFFFFAB4 and imm_val == 0x11 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffffffffab4; immval:0x11 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffffffffab4, 0x11, x3, 2040, x4) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_278: -// rs1_val == 0xFFFFFFFFFFFFFCEE and imm_val == 0x26 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffffffffcee; immval:0x26 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffffffffcee, 0x26, x3, 0, x4) - -inst_279: -// rs1_val == 0xFFFFFFFFFFFFFE04 and imm_val == 0x21 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffffffffe04; immval:0x21 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffffffffe04, 0x21, x3, 8, x4) - -inst_280: -// rs1_val == 0xFFFFFFFFFFFFFF2A and imm_val == 0x30 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffffffff2a; immval:0x30 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffffffff2a, 0x30, x3, 16, x4) - -inst_281: -// rs1_val == 0xFFFFFFFFFFFFFF9D and imm_val == 0x11 -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffffffff9d; immval:0x11 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffffffff9d, 0x11, x3, 24, x4) - -inst_282: -// rs1_val == 0xFFFFFFFFFFFFFFC7 and imm_val == 0x2E -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffffffffc7; immval:0x2e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffffffffc7, 0x2e, x3, 32, x4) - -inst_283: -// rs1_val == 0xFFFFFFFFFFFFFFE4 and imm_val == 0x1F -// opcode: rori ; op1:x10; dest:x11; op1val:0xffffffffffffffe4; immval:0x1f -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xffffffffffffffe4, 0x1f, x3, 40, x4) - -inst_284: -// rs1_val == 0xFFFFFFFFFFFFFFF2 and imm_val == 0x3E -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffffffffff2; immval:0x3e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffffffffff2, 0x3e, x3, 48, x4) - -inst_285: -// rs1_val == 0xFFFFFFFFFFFFFFF8 and imm_val == 0x14 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffffffffff8; immval:0x14 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffffffffff8, 0x14, x3, 56, x4) - -inst_286: -// rs1_val == 0xFFFFFFFFFFFFFFFD and imm_val == 0x3E -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; immval:0x3e -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffffffffffd, 0x3e, x3, 64, x4) - -inst_287: -// rs1_val == 0xFFFFFFFFFFFFFFFE and imm_val == 0x01 -// opcode: rori ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; immval:0x1 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xfffffffffffffffe, 0x1, x3, 72, x4) - -inst_288: -// imm_val == 0x00 and rs1_val == 0xCADB5BEC61250888 -// opcode: rori ; op1:x10; dest:x11; op1val:0xcadb5bec61250888; immval:0x0 -TEST_IMM_OP( rori, x11, x10, 0x0000000000000000, 0xcadb5bec61250888, 0x0, x3, 80, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x8_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x8_1: - .fill 22*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 11*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/roriw-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/roriw-01.S deleted file mode 100644 index 1270e98d0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/roriw-01.S +++ /dev/null @@ -1,1519 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the roriw instruction of the RISC-V extension for the roriw covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",roriw) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKs.*);def TEST_CASE_1=True;",roriw) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",roriw) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKb.*);def TEST_CASE_1=True;",roriw) - -RVTEST_SIGBASE( x18,signature_x18_1) - -inst_0: -// rs1 != rd, rs1==x16, rd==x20, rs1_val == 0xFFFFFFFFFFFFFFFF and imm_val == 0x1B -// opcode: roriw ; op1:x16; dest:x20; op1val:0xffffffffffffffff; immval:0x1b -TEST_IMM_OP( roriw, x20, x16, 0x0000000000000000, 0xffffffffffffffff, 0x1b, x18, 0, x6) - -inst_1: -// rs1 == rd, rs1==x17, rd==x17, imm_val == 0x00 and rs1_val == 0xE917333212AF8F73 -// opcode: roriw ; op1:x17; dest:x17; op1val:0xe917333212af8f73; immval:0x0 -TEST_IMM_OP( roriw, x17, x17, 0x0000000000000000, 0xe917333212af8f73, 0x0, x18, 8, x6) - -inst_2: -// rs1==x3, rd==x1, imm_val == 0x10 and rs1_val == 0xCC381E1007E8BF65 -// opcode: roriw ; op1:x3; dest:x1; op1val:0xcc381e1007e8bf65; immval:0x10 -TEST_IMM_OP( roriw, x1, x3, 0x0000000000000000, 0xcc381e1007e8bf65, 0x10, x18, 16, x6) - -inst_3: -// rs1==x30, rd==x19, imm_val == 0x18 and rs1_val == 0xFAE216DC58F45328 -// opcode: roriw ; op1:x30; dest:x19; op1val:0xfae216dc58f45328; immval:0x18 -TEST_IMM_OP( roriw, x19, x30, 0x0000000000000000, 0xfae216dc58f45328, 0x18, x18, 24, x6) - -inst_4: -// rs1==x2, rd==x22, imm_val == 0x0C and rs1_val == 0xE6A56AE617C67895 -// opcode: roriw ; op1:x2; dest:x22; op1val:0xe6a56ae617c67895; immval:0xc -TEST_IMM_OP( roriw, x22, x2, 0x0000000000000000, 0xe6a56ae617c67895, 0xc, x18, 32, x6) - -inst_5: -// rs1==x25, rd==x3, imm_val == 0x12 and rs1_val == 0x6AEB7DBD0A095049 -// opcode: roriw ; op1:x25; dest:x3; op1val:0x6aeb7dbd0a095049; immval:0x12 -TEST_IMM_OP( roriw, x3, x25, 0x0000000000000000, 0x6aeb7dbd0a095049, 0x12, x18, 40, x6) - -inst_6: -// rs1==x19, rd==x31, imm_val == 0x07 and rs1_val == 0xF644D360EFB8C545 -// opcode: roriw ; op1:x19; dest:x31; op1val:0xf644d360efb8c545; immval:0x7 -TEST_IMM_OP( roriw, x31, x19, 0x0000000000000000, 0xf644d360efb8c545, 0x7, x18, 48, x6) - -inst_7: -// rs1==x8, rd==x25, rs1_val == 0x0000000000000000 and imm_val == 0x0F -// opcode: roriw ; op1:x8; dest:x25; op1val:0x0; immval:0xf -TEST_IMM_OP( roriw, x25, x8, 0x0000000000000000, 0x0, 0xf, x18, 56, x6) - -inst_8: -// rs1==x22, rd==x14, rs1_val == 0x8000000000000000 and imm_val == 0x11 -// opcode: roriw ; op1:x22; dest:x14; op1val:0x8000000000000000; immval:0x11 -TEST_IMM_OP( roriw, x14, x22, 0x0000000000000000, 0x8000000000000000, 0x11, x18, 64, x6) - -inst_9: -// rs1==x28, rd==x12, rs1_val == 0xC000000000000000 and imm_val == 0x08 -// opcode: roriw ; op1:x28; dest:x12; op1val:0xc000000000000000; immval:0x8 -TEST_IMM_OP( roriw, x12, x28, 0x0000000000000000, 0xc000000000000000, 0x8, x18, 72, x6) - -inst_10: -// rs1==x23, rd==x5, rs1_val == 0x6000000000000000 and imm_val == 0x00 -// opcode: roriw ; op1:x23; dest:x5; op1val:0x6000000000000000; immval:0x0 -TEST_IMM_OP( roriw, x5, x23, 0x0000000000000000, 0x6000000000000000, 0x0, x18, 80, x6) - -inst_11: -// rs1==x14, rd==x4, rs1_val == 0xF000000000000000 and imm_val == 0x10 -// opcode: roriw ; op1:x14; dest:x4; op1val:0xf000000000000000; immval:0x10 -TEST_IMM_OP( roriw, x4, x14, 0x0000000000000000, 0xf000000000000000, 0x10, x18, 88, x6) - -inst_12: -// rs1==x4, rd==x26, rs1_val == 0x1800000000000000 and imm_val == 0x0D -// opcode: roriw ; op1:x4; dest:x26; op1val:0x1800000000000000; immval:0xd -TEST_IMM_OP( roriw, x26, x4, 0x0000000000000000, 0x1800000000000000, 0xd, x18, 96, x6) - -inst_13: -// rs1==x13, rd==x16, rs1_val == 0x4400000000000000 and imm_val == 0x1C -// opcode: roriw ; op1:x13; dest:x16; op1val:0x4400000000000000; immval:0x1c -TEST_IMM_OP( roriw, x16, x13, 0x0000000000000000, 0x4400000000000000, 0x1c, x18, 104, x6) - -inst_14: -// rs1==x27, rd==x11, rs1_val == 0x3E00000000000000 and imm_val == 0x02 -// opcode: roriw ; op1:x27; dest:x11; op1val:0x3e00000000000000; immval:0x2 -TEST_IMM_OP( roriw, x11, x27, 0x0000000000000000, 0x3e00000000000000, 0x2, x18, 112, x6) - -inst_15: -// rs1==x20, rd==x7, rs1_val == 0x3500000000000000 and imm_val == 0x0A -// opcode: roriw ; op1:x20; dest:x7; op1val:0x3500000000000000; immval:0xa -TEST_IMM_OP( roriw, x7, x20, 0x0000000000000000, 0x3500000000000000, 0xa, x18, 120, x6) - -inst_16: -// rs1==x11, rd==x30, rs1_val == 0x6F80000000000000 and imm_val == 0x1C -// opcode: roriw ; op1:x11; dest:x30; op1val:0x6f80000000000000; immval:0x1c -TEST_IMM_OP( roriw, x30, x11, 0x0000000000000000, 0x6f80000000000000, 0x1c, x18, 128, x6) - -inst_17: -// rs1==x1, rd==x28, rs1_val == 0x4EC0000000000000 and imm_val == 0x16 -// opcode: roriw ; op1:x1; dest:x28; op1val:0x4ec0000000000000; immval:0x16 -TEST_IMM_OP( roriw, x28, x1, 0x0000000000000000, 0x4ec0000000000000, 0x16, x18, 136, x6) - -inst_18: -// rs1==x0, rd==x23, rs1_val == 0x1E20000000000000 and imm_val == 0x1E -// opcode: roriw ; op1:x0; dest:x23; op1val:0x0; immval:0x1e -TEST_IMM_OP( roriw, x23, x0, 0x0000000000000000, 0x0, 0x1e, x18, 144, x6) - -inst_19: -// rs1==x9, rd==x21, rs1_val == 0x1910000000000000 and imm_val == 0x0E -// opcode: roriw ; op1:x9; dest:x21; op1val:0x1910000000000000; immval:0xe -TEST_IMM_OP( roriw, x21, x9, 0x0000000000000000, 0x1910000000000000, 0xe, x18, 152, x6) - -inst_20: -// rs1==x7, rd==x15, rs1_val == 0x1248000000000000 and imm_val == 0x11 -// opcode: roriw ; op1:x7; dest:x15; op1val:0x1248000000000000; immval:0x11 -TEST_IMM_OP( roriw, x15, x7, 0x0000000000000000, 0x1248000000000000, 0x11, x18, 160, x6) - -inst_21: -// rs1==x24, rd==x27, rs1_val == 0xBF84000000000000 and imm_val == 0x14 -// opcode: roriw ; op1:x24; dest:x27; op1val:0xbf84000000000000; immval:0x14 -TEST_IMM_OP( roriw, x27, x24, 0x0000000000000000, 0xbf84000000000000, 0x14, x18, 168, x6) - -inst_22: -// rs1==x10, rd==x6, rs1_val == 0xC116000000000000 and imm_val == 0x09 -// opcode: roriw ; op1:x10; dest:x6; op1val:0xc116000000000000; immval:0x9 -TEST_IMM_OP( roriw, x6, x10, 0x0000000000000000, 0xc116000000000000, 0x9, x18, 176, x3) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_23: -// rs1==x29, rd==x8, rs1_val == 0xD631000000000000 and imm_val == 0x17 -// opcode: roriw ; op1:x29; dest:x8; op1val:0xd631000000000000; immval:0x17 -TEST_IMM_OP( roriw, x8, x29, 0x0000000000000000, 0xd631000000000000, 0x17, x1, 0, x3) - -inst_24: -// rs1==x6, rd==x10, rs1_val == 0x17B2800000000000 and imm_val == 0x0F -// opcode: roriw ; op1:x6; dest:x10; op1val:0x17b2800000000000; immval:0xf -TEST_IMM_OP( roriw, x10, x6, 0x0000000000000000, 0x17b2800000000000, 0xf, x1, 8, x3) - -inst_25: -// rs1==x12, rd==x0, rs1_val == 0x9568400000000000 and imm_val == 0x00 -// opcode: roriw ; op1:x12; dest:x0; op1val:0x9568400000000000; immval:0x0 -TEST_IMM_OP( roriw, x0, x12, 0x0000000000000000, 0x9568400000000000, 0x0, x1, 16, x3) - -inst_26: -// rs1==x18, rd==x13, rs1_val == 0x8B06600000000000 and imm_val == 0x15 -// opcode: roriw ; op1:x18; dest:x13; op1val:0x8b06600000000000; immval:0x15 -TEST_IMM_OP( roriw, x13, x18, 0x0000000000000000, 0x8b06600000000000, 0x15, x1, 24, x3) - -inst_27: -// rs1==x21, rd==x24, rs1_val == 0xB93DF00000000000 and imm_val == 0x00 -// opcode: roriw ; op1:x21; dest:x24; op1val:0xb93df00000000000; immval:0x0 -TEST_IMM_OP( roriw, x24, x21, 0x0000000000000000, 0xb93df00000000000, 0x0, x1, 32, x3) - -inst_28: -// rs1==x15, rd==x9, rs1_val == 0x88ADB80000000000 and imm_val == 0x0E -// opcode: roriw ; op1:x15; dest:x9; op1val:0x88adb80000000000; immval:0xe -TEST_IMM_OP( roriw, x9, x15, 0x0000000000000000, 0x88adb80000000000, 0xe, x1, 40, x3) - -inst_29: -// rs1==x5, rd==x18, rs1_val == 0xE180E40000000000 and imm_val == 0x17 -// opcode: roriw ; op1:x5; dest:x18; op1val:0xe180e40000000000; immval:0x17 -TEST_IMM_OP( roriw, x18, x5, 0x0000000000000000, 0xe180e40000000000, 0x17, x1, 48, x3) - -inst_30: -// rs1==x26, rd==x29, rs1_val == 0xD5CB7E0000000000 and imm_val == 0x0A -// opcode: roriw ; op1:x26; dest:x29; op1val:0xd5cb7e0000000000; immval:0xa -TEST_IMM_OP( roriw, x29, x26, 0x0000000000000000, 0xd5cb7e0000000000, 0xa, x1, 56, x3) - -inst_31: -// rs1==x31, rd==x2, rs1_val == 0xA438230000000000 and imm_val == 0x1E -// opcode: roriw ; op1:x31; dest:x2; op1val:0xa438230000000000; immval:0x1e -TEST_IMM_OP( roriw, x2, x31, 0x0000000000000000, 0xa438230000000000, 0x1e, x1, 64, x3) - -inst_32: -// rs1_val == 0xB9BE488000000000 and imm_val == 0x12 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xb9be488000000000; immval:0x12 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xb9be488000000000, 0x12, x1, 72, x3) - -inst_33: -// rs1_val == 0xE5ABA74000000000 and imm_val == 0x17 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xe5aba74000000000; immval:0x17 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xe5aba74000000000, 0x17, x1, 80, x3) - -inst_34: -// rs1_val == 0xF2124BA000000000 and imm_val == 0x03 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xf2124ba000000000; immval:0x3 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xf2124ba000000000, 0x3, x1, 88, x3) - -inst_35: -// rs1_val == 0x96EBEC5000000000 and imm_val == 0x11 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x96ebec5000000000; immval:0x11 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x96ebec5000000000, 0x11, x1, 96, x3) - -inst_36: -// rs1_val == 0x6CA53BC800000000 and imm_val == 0x11 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x6ca53bc800000000; immval:0x11 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x6ca53bc800000000, 0x11, x1, 104, x3) - -inst_37: -// rs1_val == 0x035FF31C00000000 and imm_val == 0x0E -// opcode: roriw ; op1:x10; dest:x11; op1val:0x35ff31c00000000; immval:0xe -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x35ff31c00000000, 0xe, x1, 112, x3) - -inst_38: -// rs1_val == 0x8B38E95A00000000 and imm_val == 0x06 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x8b38e95a00000000; immval:0x6 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x8b38e95a00000000, 0x6, x1, 120, x3) - -inst_39: -// rs1_val == 0x0B21BBBB00000000 and imm_val == 0x0D -// opcode: roriw ; op1:x10; dest:x11; op1val:0xb21bbbb00000000; immval:0xd -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xb21bbbb00000000, 0xd, x1, 128, x3) - -inst_40: -// rs1_val == 0x9C6FFFDC80000000 and imm_val == 0x1B -// opcode: roriw ; op1:x10; dest:x11; op1val:0x9c6fffdc80000000; immval:0x1b -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x9c6fffdc80000000, 0x1b, x1, 136, x3) - -inst_41: -// rs1_val == 0x8217FFBE40000000 and imm_val == 0x01 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x8217ffbe40000000; immval:0x1 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x8217ffbe40000000, 0x1, x1, 144, x3) - -inst_42: -// rs1_val == 0x60E68CB720000000 and imm_val == 0x1B -// opcode: roriw ; op1:x10; dest:x11; op1val:0x60e68cb720000000; immval:0x1b -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x60e68cb720000000, 0x1b, x1, 152, x3) - -inst_43: -// rs1_val == 0xF5D02B2010000000 and imm_val == 0x0B -// opcode: roriw ; op1:x10; dest:x11; op1val:0xf5d02b2010000000; immval:0xb -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xf5d02b2010000000, 0xb, x1, 160, x3) - -inst_44: -// rs1_val == 0x4204DE9838000000 and imm_val == 0x15 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x4204de9838000000; immval:0x15 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x4204de9838000000, 0x15, x1, 168, x3) - -inst_45: -// rs1_val == 0xF6B1F180F4000000 and imm_val == 0x07 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xf6b1f180f4000000; immval:0x7 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xf6b1f180f4000000, 0x7, x1, 176, x3) - -inst_46: -// rs1_val == 0xF5BB75A166000000 and imm_val == 0x18 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xf5bb75a166000000; immval:0x18 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xf5bb75a166000000, 0x18, x1, 184, x3) - -inst_47: -// rs1_val == 0xAA5B397039000000 and imm_val == 0x17 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xaa5b397039000000; immval:0x17 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xaa5b397039000000, 0x17, x1, 192, x3) - -inst_48: -// rs1_val == 0x0E7BD5B154800000 and imm_val == 0x10 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xe7bd5b154800000; immval:0x10 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xe7bd5b154800000, 0x10, x1, 200, x3) - -inst_49: -// rs1_val == 0xB7A2A2301A400000 and imm_val == 0x05 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xb7a2a2301a400000; immval:0x5 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xb7a2a2301a400000, 0x5, x1, 208, x3) - -inst_50: -// rs1_val == 0x29EF41AF7B600000 and imm_val == 0x18 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x29ef41af7b600000; immval:0x18 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x29ef41af7b600000, 0x18, x1, 216, x3) - -inst_51: -// rs1_val == 0xDD8AB0BCEFB00000 and imm_val == 0x1E -// opcode: roriw ; op1:x10; dest:x11; op1val:0xdd8ab0bcefb00000; immval:0x1e -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xdd8ab0bcefb00000, 0x1e, x1, 224, x3) - -inst_52: -// rs1_val == 0xFA3B344326080000 and imm_val == 0x1A -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfa3b344326080000; immval:0x1a -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfa3b344326080000, 0x1a, x1, 232, x3) - -inst_53: -// rs1_val == 0xBF06387955040000 and imm_val == 0x05 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xbf06387955040000; immval:0x5 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xbf06387955040000, 0x5, x1, 240, x3) - -inst_54: -// rs1_val == 0x7D49F3CA79FA0000 and imm_val == 0x03 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x7d49f3ca79fa0000; immval:0x3 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x7d49f3ca79fa0000, 0x3, x1, 248, x3) - -inst_55: -// rs1_val == 0xAAB48A1C0F230000 and imm_val == 0x0A -// opcode: roriw ; op1:x10; dest:x11; op1val:0xaab48a1c0f230000; immval:0xa -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xaab48a1c0f230000, 0xa, x1, 256, x3) - -inst_56: -// rs1_val == 0xA25E549735CB8000 and imm_val == 0x08 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xa25e549735cb8000; immval:0x8 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xa25e549735cb8000, 0x8, x1, 264, x3) - -inst_57: -// rs1_val == 0x49E43C96F2344000 and imm_val == 0x07 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x49e43c96f2344000; immval:0x7 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x49e43c96f2344000, 0x7, x1, 272, x3) - -inst_58: -// rs1_val == 0x971662E94AAA2000 and imm_val == 0x1B -// opcode: roriw ; op1:x10; dest:x11; op1val:0x971662e94aaa2000; immval:0x1b -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x971662e94aaa2000, 0x1b, x1, 280, x3) - -inst_59: -// rs1_val == 0xFA51CD1D4D2B5000 and imm_val == 0x0E -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfa51cd1d4d2b5000; immval:0xe -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfa51cd1d4d2b5000, 0xe, x1, 288, x3) - -inst_60: -// rs1_val == 0xEBC398261A02E800 and imm_val == 0x03 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xebc398261a02e800; immval:0x3 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xebc398261a02e800, 0x3, x1, 296, x3) - -inst_61: -// rs1_val == 0x5334BAB9CA6C0400 and imm_val == 0x05 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x5334bab9ca6c0400; immval:0x5 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x5334bab9ca6c0400, 0x5, x1, 304, x3) - -inst_62: -// rs1_val == 0xEC133026333BC200 and imm_val == 0x15 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xec133026333bc200; immval:0x15 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xec133026333bc200, 0x15, x1, 312, x3) - -inst_63: -// rs1_val == 0x82CC710F0F1C6B00 and imm_val == 0x0C -// opcode: roriw ; op1:x10; dest:x11; op1val:0x82cc710f0f1c6b00; immval:0xc -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x82cc710f0f1c6b00, 0xc, x1, 320, x3) - -inst_64: -// rs1_val == 0x7AA3D594C52CFC80 and imm_val == 0x0D -// opcode: roriw ; op1:x10; dest:x11; op1val:0x7aa3d594c52cfc80; immval:0xd -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x7aa3d594c52cfc80, 0xd, x1, 328, x3) - -inst_65: -// rs1_val == 0x29DB927E9836F5C0 and imm_val == 0x17 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x29db927e9836f5c0; immval:0x17 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x29db927e9836f5c0, 0x17, x1, 336, x3) - -inst_66: -// rs1_val == 0x0DA598F1DCB160E0 and imm_val == 0x09 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xda598f1dcb160e0; immval:0x9 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xda598f1dcb160e0, 0x9, x1, 344, x3) - -inst_67: -// rs1_val == 0xD45AF1CB0CAAE1D0 and imm_val == 0x0E -// opcode: roriw ; op1:x10; dest:x11; op1val:0xd45af1cb0caae1d0; immval:0xe -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xd45af1cb0caae1d0, 0xe, x1, 352, x3) - -inst_68: -// rs1_val == 0x25B37C62314026E8 and imm_val == 0x1D -// opcode: roriw ; op1:x10; dest:x11; op1val:0x25b37c62314026e8; immval:0x1d -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x25b37c62314026e8, 0x1d, x1, 360, x3) - -inst_69: -// rs1_val == 0x7FBFA447FC8A89F4 and imm_val == 0x1E -// opcode: roriw ; op1:x10; dest:x11; op1val:0x7fbfa447fc8a89f4; immval:0x1e -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x7fbfa447fc8a89f4, 0x1e, x1, 368, x3) - -inst_70: -// rs1_val == 0xC36673FE4902E9CE and imm_val == 0x00 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xc36673fe4902e9ce; immval:0x0 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xc36673fe4902e9ce, 0x0, x1, 376, x3) - -inst_71: -// rs1_val == 0x44DCDA6A797D76DF and imm_val == 0x16 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x44dcda6a797d76df; immval:0x16 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x44dcda6a797d76df, 0x16, x1, 384, x3) - -inst_72: -// imm_val == 0x02 and rs1_val == 0x20D68CEC58FC0342 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x20d68cec58fc0342; immval:0x2 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x20d68cec58fc0342, 0x2, x1, 392, x3) - -inst_73: -// imm_val == 0x09 and rs1_val == 0x636A75E39A6DA5B1 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x636a75e39a6da5b1; immval:0x9 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x636a75e39a6da5b1, 0x9, x1, 400, x3) - -inst_74: -// imm_val == 0x1B and rs1_val == 0x37E0DE00280088DB -// opcode: roriw ; op1:x10; dest:x11; op1val:0x37e0de00280088db; immval:0x1b -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x37e0de00280088db, 0x1b, x1, 408, x3) - -inst_75: -// imm_val == 0x07 and rs1_val == 0x1CA7BD1F0D770F3C -// opcode: roriw ; op1:x10; dest:x11; op1val:0x1ca7bd1f0d770f3c; immval:0x7 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x1ca7bd1f0d770f3c, 0x7, x1, 416, x3) - -inst_76: -// imm_val == 0x0F and rs1_val == 0x5536B8D863C2504C -// opcode: roriw ; op1:x10; dest:x11; op1val:0x5536b8d863c2504c; immval:0xf -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x5536b8d863c2504c, 0xf, x1, 424, x3) - -inst_77: -// imm_val == 0x1F and rs1_val == 0x4E6EE408C61B1FBF -// opcode: roriw ; op1:x10; dest:x11; op1val:0x4e6ee408c61b1fbf; immval:0x1f -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x4e6ee408c61b1fbf, 0x1f, x1, 432, x3) - -inst_78: -// rs1_val == 0xC215E193118E5332 and imm_val == 0x02 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xc215e193118e5332; immval:0x2 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xc215e193118e5332, 0x2, x1, 440, x3) - -inst_79: -// rs1_val == 0x75EE935F65CB60C1 and imm_val == 0x05 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x75ee935f65cb60c1; immval:0x5 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x75ee935f65cb60c1, 0x5, x1, 448, x3) - -inst_80: -// rs1_val == 0x09C161626CE859BB and imm_val == 0x14 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x9c161626ce859bb; immval:0x14 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x9c161626ce859bb, 0x14, x1, 456, x3) - -inst_81: -// rs1_val == 0xA4053175342F57C7 and imm_val == 0x16 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xa4053175342f57c7; immval:0x16 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xa4053175342f57c7, 0x16, x1, 464, x3) - -inst_82: -// rs1_val == 0x499006C897933E6F and imm_val == 0x1B -// opcode: roriw ; op1:x10; dest:x11; op1val:0x499006c897933e6f; immval:0x1b -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x499006c897933e6f, 0x1b, x1, 472, x3) - -inst_83: -// rs1_val == 0xC5DD85CA5B064A1F and imm_val == 0x0F -// opcode: roriw ; op1:x10; dest:x11; op1val:0xc5dd85ca5b064a1f; immval:0xf -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xc5dd85ca5b064a1f, 0xf, x1, 480, x3) - -inst_84: -// rs1_val == 0x6CC30F7242302B3F and imm_val == 0x0F -// opcode: roriw ; op1:x10; dest:x11; op1val:0x6cc30f7242302b3f; immval:0xf -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x6cc30f7242302b3f, 0xf, x1, 488, x3) - -inst_85: -// rs1_val == 0xAF1DBF276CE4747F and imm_val == 0x0D -// opcode: roriw ; op1:x10; dest:x11; op1val:0xaf1dbf276ce4747f; immval:0xd -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xaf1dbf276ce4747f, 0xd, x1, 496, x3) - -inst_86: -// rs1_val == 0x25784F4FBD0608FF and imm_val == 0x10 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x25784f4fbd0608ff; immval:0x10 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x25784f4fbd0608ff, 0x10, x1, 504, x3) - -inst_87: -// rs1_val == 0x805A391B604C85FF and imm_val == 0x0A -// opcode: roriw ; op1:x10; dest:x11; op1val:0x805a391b604c85ff; immval:0xa -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x805a391b604c85ff, 0xa, x1, 512, x3) - -inst_88: -// rs1_val == 0xCC7EB77D4BEB1BFF and imm_val == 0x02 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xcc7eb77d4beb1bff; immval:0x2 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xcc7eb77d4beb1bff, 0x2, x1, 520, x3) - -inst_89: -// rs1_val == 0xAB647BCA3919F7FF and imm_val == 0x0D -// opcode: roriw ; op1:x10; dest:x11; op1val:0xab647bca3919f7ff; immval:0xd -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xab647bca3919f7ff, 0xd, x1, 528, x3) - -inst_90: -// rs1_val == 0x7F1E7F8627B22FFF and imm_val == 0x1E -// opcode: roriw ; op1:x10; dest:x11; op1val:0x7f1e7f8627b22fff; immval:0x1e -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x7f1e7f8627b22fff, 0x1e, x1, 536, x3) - -inst_91: -// rs1_val == 0x51D6D6DA01769FFF and imm_val == 0x15 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x51d6d6da01769fff; immval:0x15 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x51d6d6da01769fff, 0x15, x1, 544, x3) - -inst_92: -// rs1_val == 0xD5A2038FDA04BFFF and imm_val == 0x02 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xd5a2038fda04bfff; immval:0x2 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xd5a2038fda04bfff, 0x2, x1, 552, x3) - -inst_93: -// rs1_val == 0x784ABEBBF03B7FFF and imm_val == 0x17 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x784abebbf03b7fff; immval:0x17 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x784abebbf03b7fff, 0x17, x1, 560, x3) - -inst_94: -// rs1_val == 0x44D988FBE81EFFFF and imm_val == 0x04 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x44d988fbe81effff; immval:0x4 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x44d988fbe81effff, 0x4, x1, 568, x3) - -inst_95: -// rs1_val == 0x6875944E1F19FFFF and imm_val == 0x13 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x6875944e1f19ffff; immval:0x13 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x6875944e1f19ffff, 0x13, x1, 576, x3) - -inst_96: -// rs1_val == 0xFF7746E52063FFFF and imm_val == 0x13 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xff7746e52063ffff; immval:0x13 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xff7746e52063ffff, 0x13, x1, 584, x3) - -inst_97: -// rs1_val == 0x17B8B123A527FFFF and imm_val == 0x00 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x17b8b123a527ffff; immval:0x0 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x17b8b123a527ffff, 0x0, x1, 592, x3) - -inst_98: -// rs1_val == 0x70890268F88FFFFF and imm_val == 0x15 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x70890268f88fffff; immval:0x15 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x70890268f88fffff, 0x15, x1, 600, x3) - -inst_99: -// rs1_val == 0x6DDC74E6119FFFFF and imm_val == 0x02 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x6ddc74e6119fffff; immval:0x2 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x6ddc74e6119fffff, 0x2, x1, 608, x3) - -inst_100: -// rs1_val == 0x39BE2172E6BFFFFF and imm_val == 0x0A -// opcode: roriw ; op1:x10; dest:x11; op1val:0x39be2172e6bfffff; immval:0xa -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x39be2172e6bfffff, 0xa, x1, 616, x3) - -inst_101: -// rs1_val == 0xC99324582A7FFFFF and imm_val == 0x07 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xc99324582a7fffff; immval:0x7 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xc99324582a7fffff, 0x7, x1, 624, x3) - -inst_102: -// rs1_val == 0x4B9A6C802EFFFFFF and imm_val == 0x0C -// opcode: roriw ; op1:x10; dest:x11; op1val:0x4b9a6c802effffff; immval:0xc -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x4b9a6c802effffff, 0xc, x1, 632, x3) - -inst_103: -// rs1_val == 0x9541240E59FFFFFF and imm_val == 0x15 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x9541240e59ffffff; immval:0x15 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x9541240e59ffffff, 0x15, x1, 640, x3) - -inst_104: -// rs1_val == 0xB3A8D61293FFFFFF and imm_val == 0x02 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xb3a8d61293ffffff; immval:0x2 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xb3a8d61293ffffff, 0x2, x1, 648, x3) - -inst_105: -// rs1_val == 0x9E03793FD7FFFFFF and imm_val == 0x02 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x9e03793fd7ffffff; immval:0x2 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x9e03793fd7ffffff, 0x2, x1, 656, x3) - -inst_106: -// rs1_val == 0x7F1071ECAFFFFFFF and imm_val == 0x0D -// opcode: roriw ; op1:x10; dest:x11; op1val:0x7f1071ecafffffff; immval:0xd -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x7f1071ecafffffff, 0xd, x1, 664, x3) - -inst_107: -// rs1_val == 0xF8A75516DFFFFFFF and imm_val == 0x0F -// opcode: roriw ; op1:x10; dest:x11; op1val:0xf8a75516dfffffff; immval:0xf -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xf8a75516dfffffff, 0xf, x1, 672, x3) - -inst_108: -// rs1_val == 0xB76D454DBFFFFFFF and imm_val == 0x08 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xb76d454dbfffffff; immval:0x8 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xb76d454dbfffffff, 0x8, x1, 680, x3) - -inst_109: -// rs1_val == 0xB494A73D7FFFFFFF and imm_val == 0x17 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xb494a73d7fffffff; immval:0x17 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xb494a73d7fffffff, 0x17, x1, 688, x3) - -inst_110: -// rs1_val == 0xC28CB594FFFFFFFF and imm_val == 0x16 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xc28cb594ffffffff; immval:0x16 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xc28cb594ffffffff, 0x16, x1, 696, x3) - -inst_111: -// rs1_val == 0x69DA8A2DFFFFFFFF and imm_val == 0x10 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x69da8a2dffffffff; immval:0x10 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x69da8a2dffffffff, 0x10, x1, 704, x3) - -inst_112: -// rs1_val == 0x40F27003FFFFFFFF and imm_val == 0x0A -// opcode: roriw ; op1:x10; dest:x11; op1val:0x40f27003ffffffff; immval:0xa -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x40f27003ffffffff, 0xa, x1, 712, x3) - -inst_113: -// rs1_val == 0xB2B8AF97FFFFFFFF and imm_val == 0x1C -// opcode: roriw ; op1:x10; dest:x11; op1val:0xb2b8af97ffffffff; immval:0x1c -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xb2b8af97ffffffff, 0x1c, x1, 720, x3) - -inst_114: -// rs1_val == 0x24496FEFFFFFFFFF and imm_val == 0x1F -// opcode: roriw ; op1:x10; dest:x11; op1val:0x24496fefffffffff; immval:0x1f -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x24496fefffffffff, 0x1f, x1, 728, x3) - -inst_115: -// rs1_val == 0xDE14BFDFFFFFFFFF and imm_val == 0x02 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xde14bfdfffffffff; immval:0x2 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xde14bfdfffffffff, 0x2, x1, 736, x3) - -inst_116: -// rs1_val == 0x008EEF3FFFFFFFFF and imm_val == 0x1B -// opcode: roriw ; op1:x10; dest:x11; op1val:0x8eef3fffffffff; immval:0x1b -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x8eef3fffffffff, 0x1b, x1, 744, x3) - -inst_117: -// rs1_val == 0x6E2D707FFFFFFFFF and imm_val == 0x1D -// opcode: roriw ; op1:x10; dest:x11; op1val:0x6e2d707fffffffff; immval:0x1d -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x6e2d707fffffffff, 0x1d, x1, 752, x3) - -inst_118: -// rs1_val == 0x5DCF00FFFFFFFFFF and imm_val == 0x08 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x5dcf00ffffffffff; immval:0x8 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x5dcf00ffffffffff, 0x8, x1, 760, x3) - -inst_119: -// rs1_val == 0x3C5569FFFFFFFFFF and imm_val == 0x0C -// opcode: roriw ; op1:x10; dest:x11; op1val:0x3c5569ffffffffff; immval:0xc -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x3c5569ffffffffff, 0xc, x1, 768, x3) - -inst_120: -// rs1_val == 0x7DA8D3FFFFFFFFFF and imm_val == 0x0F -// opcode: roriw ; op1:x10; dest:x11; op1val:0x7da8d3ffffffffff; immval:0xf -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x7da8d3ffffffffff, 0xf, x1, 776, x3) - -inst_121: -// rs1_val == 0xE3A707FFFFFFFFFF and imm_val == 0x08 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xe3a707ffffffffff; immval:0x8 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xe3a707ffffffffff, 0x8, x1, 784, x3) - -inst_122: -// rs1_val == 0x9B01EFFFFFFFFFFF and imm_val == 0x11 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x9b01efffffffffff; immval:0x11 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x9b01efffffffffff, 0x11, x1, 792, x3) - -inst_123: -// rs1_val == 0x5F011FFFFFFFFFFF and imm_val == 0x1D -// opcode: roriw ; op1:x10; dest:x11; op1val:0x5f011fffffffffff; immval:0x1d -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x5f011fffffffffff, 0x1d, x1, 800, x3) - -inst_124: -// rs1_val == 0x2DEDBFFFFFFFFFFF and imm_val == 0x16 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x2dedbfffffffffff; immval:0x16 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x2dedbfffffffffff, 0x16, x1, 808, x3) - -inst_125: -// rs1_val == 0x2D377FFFFFFFFFFF and imm_val == 0x12 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x2d377fffffffffff; immval:0x12 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x2d377fffffffffff, 0x12, x1, 816, x3) - -inst_126: -// rs1_val == 0xAD44FFFFFFFFFFFF and imm_val == 0x1C -// opcode: roriw ; op1:x10; dest:x11; op1val:0xad44ffffffffffff; immval:0x1c -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xad44ffffffffffff, 0x1c, x1, 824, x3) - -inst_127: -// rs1_val == 0x72C9FFFFFFFFFFFF and imm_val == 0x08 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x72c9ffffffffffff; immval:0x8 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x72c9ffffffffffff, 0x8, x1, 832, x3) - -inst_128: -// rs1_val == 0xD1D3FFFFFFFFFFFF and imm_val == 0x10 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xd1d3ffffffffffff; immval:0x10 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xd1d3ffffffffffff, 0x10, x1, 840, x3) - -inst_129: -// rs1_val == 0x5057FFFFFFFFFFFF and imm_val == 0x07 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x5057ffffffffffff; immval:0x7 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x5057ffffffffffff, 0x7, x1, 848, x3) - -inst_130: -// rs1_val == 0x5D2FFFFFFFFFFFFF and imm_val == 0x1B -// opcode: roriw ; op1:x10; dest:x11; op1val:0x5d2fffffffffffff; immval:0x1b -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x5d2fffffffffffff, 0x1b, x1, 856, x3) - -inst_131: -// rs1_val == 0xE5DFFFFFFFFFFFFF and imm_val == 0x1B -// opcode: roriw ; op1:x10; dest:x11; op1val:0xe5dfffffffffffff; immval:0x1b -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xe5dfffffffffffff, 0x1b, x1, 864, x3) - -inst_132: -// rs1_val == 0xD9BFFFFFFFFFFFFF and imm_val == 0x0E -// opcode: roriw ; op1:x10; dest:x11; op1val:0xd9bfffffffffffff; immval:0xe -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xd9bfffffffffffff, 0xe, x1, 872, x3) - -inst_133: -// rs1_val == 0x237FFFFFFFFFFFFF and imm_val == 0x10 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x237fffffffffffff; immval:0x10 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x237fffffffffffff, 0x10, x1, 880, x3) - -inst_134: -// rs1_val == 0x72FFFFFFFFFFFFFF and imm_val == 0x1B -// opcode: roriw ; op1:x10; dest:x11; op1val:0x72ffffffffffffff; immval:0x1b -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x72ffffffffffffff, 0x1b, x1, 888, x3) - -inst_135: -// rs1_val == 0xDDFFFFFFFFFFFFFF and imm_val == 0x10 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xddffffffffffffff; immval:0x10 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xddffffffffffffff, 0x10, x1, 896, x3) - -inst_136: -// rs1_val == 0x43FFFFFFFFFFFFFF and imm_val == 0x09 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x43ffffffffffffff; immval:0x9 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x43ffffffffffffff, 0x9, x1, 904, x3) - -inst_137: -// rs1_val == 0x27FFFFFFFFFFFFFF and imm_val == 0x00 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x27ffffffffffffff; immval:0x0 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x27ffffffffffffff, 0x0, x1, 912, x3) - -inst_138: -// rs1_val == 0x4FFFFFFFFFFFFFFF and imm_val == 0x01 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x4fffffffffffffff; immval:0x1 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x4fffffffffffffff, 0x1, x1, 920, x3) - -inst_139: -// rs1_val == 0x1FFFFFFFFFFFFFFF and imm_val == 0x0D -// opcode: roriw ; op1:x10; dest:x11; op1val:0x1fffffffffffffff; immval:0xd -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x1fffffffffffffff, 0xd, x1, 928, x3) - -inst_140: -// rs1_val == 0x3FFFFFFFFFFFFFFF and imm_val == 0x0B -// opcode: roriw ; op1:x10; dest:x11; op1val:0x3fffffffffffffff; immval:0xb -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x3fffffffffffffff, 0xb, x1, 936, x3) - -inst_141: -// rs1_val == 0x7FFFFFFFFFFFFFFF and imm_val == 0x09 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x7fffffffffffffff; immval:0x9 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x7fffffffffffffff, 0x9, x1, 944, x3) - -inst_142: -// rs1_val == 0xFFFFFFFFFFFFFFFF and imm_val == 0x0B -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffffffffff; immval:0xb -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffffffffff, 0xb, x1, 952, x3) - -inst_143: -// imm_val == 0x12 and rs1_val == 0x482EA7602D1EF7BF -// opcode: roriw ; op1:x10; dest:x11; op1val:0x482ea7602d1ef7bf; immval:0x12 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x482ea7602d1ef7bf, 0x12, x1, 960, x3) - -inst_144: -// imm_val == 0x0E and rs1_val == 0x3FC2A9087219C1DA -// opcode: roriw ; op1:x10; dest:x11; op1val:0x3fc2a9087219c1da; immval:0xe -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x3fc2a9087219c1da, 0xe, x1, 968, x3) - -inst_145: -// imm_val == 0x04 and rs1_val == 0x11B41900043E3EF5 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x11b41900043e3ef5; immval:0x4 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x11b41900043e3ef5, 0x4, x1, 976, x3) - -inst_146: -// imm_val == 0x03 and rs1_val == 0xEA3A0683EAD81DCD -// opcode: roriw ; op1:x10; dest:x11; op1val:0xea3a0683ead81dcd; immval:0x3 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xea3a0683ead81dcd, 0x3, x1, 984, x3) - -inst_147: -// imm_val == 0x01 and rs1_val == 0x12FAD8029D42F670 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x12fad8029d42f670; immval:0x1 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x12fad8029d42f670, 0x1, x1, 992, x3) - -inst_148: -// imm_val == 0x00 and rs1_val == 0xFA285A0DB869135C -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfa285a0db869135c; immval:0x0 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfa285a0db869135c, 0x0, x1, 1000, x3) - -inst_149: -// rs1_val == 0x852395744B1E943F and imm_val == 0x0B -// opcode: roriw ; op1:x10; dest:x11; op1val:0x852395744b1e943f; immval:0xb -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x852395744b1e943f, 0xb, x1, 1008, x3) - -inst_150: -// rs1_val == 0x6BBA8D2141C9886F and imm_val == 0x1F -// opcode: roriw ; op1:x10; dest:x11; op1val:0x6bba8d2141c9886f; immval:0x1f -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x6bba8d2141c9886f, 0x1f, x1, 1016, x3) - -inst_151: -// rs1_val == 0x3D65693B3D0840FC and imm_val == 0x19 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x3d65693b3d0840fc; immval:0x19 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x3d65693b3d0840fc, 0x19, x1, 1024, x3) - -inst_152: -// rs1_val == 0x19E803191BEA8594 and imm_val == 0x10 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x19e803191bea8594; immval:0x10 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x19e803191bea8594, 0x10, x1, 1032, x3) - -inst_153: -// rs1_val == 0x0C2282666BE49EE8 and imm_val == 0x06 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xc2282666be49ee8; immval:0x6 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xc2282666be49ee8, 0x6, x1, 1040, x3) - -inst_154: -// rs1_val == 0x07862EACE1D73010 and imm_val == 0x05 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x7862eace1d73010; immval:0x5 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x7862eace1d73010, 0x5, x1, 1048, x3) - -inst_155: -// rs1_val == 0x033C1A7FAFDD8734 and imm_val == 0x18 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x33c1a7fafdd8734; immval:0x18 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x33c1a7fafdd8734, 0x18, x1, 1056, x3) - -inst_156: -// rs1_val == 0x0104A795BD4AEAB1 and imm_val == 0x0C -// opcode: roriw ; op1:x10; dest:x11; op1val:0x104a795bd4aeab1; immval:0xc -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x104a795bd4aeab1, 0xc, x1, 1064, x3) - -inst_157: -// rs1_val == 0x0096C6C8B9B338EC and imm_val == 0x0A -// opcode: roriw ; op1:x10; dest:x11; op1val:0x96c6c8b9b338ec; immval:0xa -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x96c6c8b9b338ec, 0xa, x1, 1072, x3) - -inst_158: -// rs1_val == 0x00775505E88E7530 and imm_val == 0x0F -// opcode: roriw ; op1:x10; dest:x11; op1val:0x775505e88e7530; immval:0xf -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x775505e88e7530, 0xf, x1, 1080, x3) - -inst_159: -// rs1_val == 0x00356991A0931ED5 and imm_val == 0x13 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x356991a0931ed5; immval:0x13 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x356991a0931ed5, 0x13, x1, 1088, x3) - -inst_160: -// rs1_val == 0x00174145DDD4A055 and imm_val == 0x0B -// opcode: roriw ; op1:x10; dest:x11; op1val:0x174145ddd4a055; immval:0xb -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x174145ddd4a055, 0xb, x1, 1096, x3) - -inst_161: -// rs1_val == 0x000F19FDD5157E9E and imm_val == 0x08 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xf19fdd5157e9e; immval:0x8 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xf19fdd5157e9e, 0x8, x1, 1104, x3) - -inst_162: -// rs1_val == 0x0005D99A20918FA8 and imm_val == 0x1E -// opcode: roriw ; op1:x10; dest:x11; op1val:0x5d99a20918fa8; immval:0x1e -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x5d99a20918fa8, 0x1e, x1, 1112, x3) - -inst_163: -// rs1_val == 0x00032C075F27FF09 and imm_val == 0x1D -// opcode: roriw ; op1:x10; dest:x11; op1val:0x32c075f27ff09; immval:0x1d -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x32c075f27ff09, 0x1d, x1, 1120, x3) - -inst_164: -// rs1_val == 0x0001BCE703F8670E and imm_val == 0x17 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x1bce703f8670e; immval:0x17 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x1bce703f8670e, 0x17, x1, 1128, x3) - -inst_165: -// rs1_val == 0x00009B8B356F8BD2 and imm_val == 0x0F -// opcode: roriw ; op1:x10; dest:x11; op1val:0x9b8b356f8bd2; immval:0xf -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x9b8b356f8bd2, 0xf, x1, 1136, x3) - -inst_166: -// rs1_val == 0x0000545212CA3F71 and imm_val == 0x05 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x545212ca3f71; immval:0x5 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x545212ca3f71, 0x5, x1, 1144, x3) - -inst_167: -// rs1_val == 0x00003090D48DD9F4 and imm_val == 0x18 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x3090d48dd9f4; immval:0x18 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x3090d48dd9f4, 0x18, x1, 1152, x3) - -inst_168: -// rs1_val == 0x00001DD8027385CA and imm_val == 0x15 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x1dd8027385ca; immval:0x15 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x1dd8027385ca, 0x15, x1, 1160, x3) - -inst_169: -// rs1_val == 0x0000082236971E1C and imm_val == 0x10 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x82236971e1c; immval:0x10 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x82236971e1c, 0x10, x1, 1168, x3) - -inst_170: -// rs1_val == 0x000004440AC793F6 and imm_val == 0x09 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x4440ac793f6; immval:0x9 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x4440ac793f6, 0x9, x1, 1176, x3) - -inst_171: -// rs1_val == 0x000003D5FEEF16EA and imm_val == 0x06 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x3d5feef16ea; immval:0x6 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x3d5feef16ea, 0x6, x1, 1184, x3) - -inst_172: -// rs1_val == 0x0000010735F11AF3 and imm_val == 0x19 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x10735f11af3; immval:0x19 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x10735f11af3, 0x19, x1, 1192, x3) - -inst_173: -// rs1_val == 0x000000ACFF769E38 and imm_val == 0x01 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xacff769e38; immval:0x1 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xacff769e38, 0x1, x1, 1200, x3) - -inst_174: -// rs1_val == 0x000000764511488A and imm_val == 0x13 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x764511488a; immval:0x13 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x764511488a, 0x13, x1, 1208, x3) - -inst_175: -// rs1_val == 0x0000002DFD74106A and imm_val == 0x00 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x2dfd74106a; immval:0x0 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x2dfd74106a, 0x0, x1, 1216, x3) - -inst_176: -// rs1_val == 0x000000101DD377C0 and imm_val == 0x1A -// opcode: roriw ; op1:x10; dest:x11; op1val:0x101dd377c0; immval:0x1a -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x101dd377c0, 0x1a, x1, 1224, x3) - -inst_177: -// rs1_val == 0x0000000A6B52B08E and imm_val == 0x18 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xa6b52b08e; immval:0x18 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xa6b52b08e, 0x18, x1, 1232, x3) - -inst_178: -// rs1_val == 0x00000004FC3B66FB and imm_val == 0x08 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x4fc3b66fb; immval:0x8 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x4fc3b66fb, 0x8, x1, 1240, x3) - -inst_179: -// rs1_val == 0x00000002728A6FD0 and imm_val == 0x0C -// opcode: roriw ; op1:x10; dest:x11; op1val:0x2728a6fd0; immval:0xc -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x2728a6fd0, 0xc, x1, 1248, x3) - -inst_180: -// rs1_val == 0x00000001AE9CA08C and imm_val == 0x0C -// opcode: roriw ; op1:x10; dest:x11; op1val:0x1ae9ca08c; immval:0xc -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x1ae9ca08c, 0xc, x1, 1256, x3) - -inst_181: -// rs1_val == 0x00000000CC099A1F and imm_val == 0x0B -// opcode: roriw ; op1:x10; dest:x11; op1val:0xcc099a1f; immval:0xb -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xcc099a1f, 0xb, x1, 1264, x3) - -inst_182: -// rs1_val == 0x0000000042CDF2B0 and imm_val == 0x1D -// opcode: roriw ; op1:x10; dest:x11; op1val:0x42cdf2b0; immval:0x1d -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x42cdf2b0, 0x1d, x1, 1272, x3) - -inst_183: -// rs1_val == 0x000000002274EA19 and imm_val == 0x06 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x2274ea19; immval:0x6 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x2274ea19, 0x6, x1, 1280, x3) - -inst_184: -// rs1_val == 0x00000000120EF165 and imm_val == 0x07 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x120ef165; immval:0x7 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x120ef165, 0x7, x1, 1288, x3) - -inst_185: -// rs1_val == 0x000000000D2454F2 and imm_val == 0x1A -// opcode: roriw ; op1:x10; dest:x11; op1val:0xd2454f2; immval:0x1a -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xd2454f2, 0x1a, x1, 1296, x3) - -inst_186: -// rs1_val == 0x00000000063E9BEE and imm_val == 0x13 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x63e9bee; immval:0x13 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x63e9bee, 0x13, x1, 1304, x3) - -inst_187: -// rs1_val == 0x00000000034C7CD0 and imm_val == 0x11 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x34c7cd0; immval:0x11 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x34c7cd0, 0x11, x1, 1312, x3) - -inst_188: -// rs1_val == 0x0000000001777310 and imm_val == 0x0C -// opcode: roriw ; op1:x10; dest:x11; op1val:0x1777310; immval:0xc -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x1777310, 0xc, x1, 1320, x3) - -inst_189: -// rs1_val == 0x0000000000D11609 and imm_val == 0x19 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xd11609; immval:0x19 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xd11609, 0x19, x1, 1328, x3) - -inst_190: -// rs1_val == 0x0000000000680BE0 and imm_val == 0x03 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x680be0; immval:0x3 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x680be0, 0x3, x1, 1336, x3) - -inst_191: -// rs1_val == 0x0000000000294B16 and imm_val == 0x00 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x294b16; immval:0x0 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x294b16, 0x0, x1, 1344, x3) - -inst_192: -// rs1_val == 0x0000000000128D1B and imm_val == 0x01 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x128d1b; immval:0x1 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x128d1b, 0x1, x1, 1352, x3) - -inst_193: -// rs1_val == 0x0000000000089A12 and imm_val == 0x12 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x89a12; immval:0x12 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x89a12, 0x12, x1, 1360, x3) - -inst_194: -// rs1_val == 0x000000000005D25B and imm_val == 0x06 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x5d25b; immval:0x6 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x5d25b, 0x6, x1, 1368, x3) - -inst_195: -// rs1_val == 0x000000000003A2C3 and imm_val == 0x12 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x3a2c3; immval:0x12 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x3a2c3, 0x12, x1, 1376, x3) - -inst_196: -// rs1_val == 0x00000000000102FD and imm_val == 0x14 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x102fd; immval:0x14 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x102fd, 0x14, x1, 1384, x3) - -inst_197: -// rs1_val == 0x000000000000A6B3 and imm_val == 0x05 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xa6b3; immval:0x5 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xa6b3, 0x5, x1, 1392, x3) - -inst_198: -// rs1_val == 0x0000000000005238 and imm_val == 0x11 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x5238; immval:0x11 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x5238, 0x11, x1, 1400, x3) - -inst_199: -// rs1_val == 0x000000000000222A and imm_val == 0x05 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x222a; immval:0x5 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x222a, 0x5, x1, 1408, x3) - -inst_200: -// rs1_val == 0x0000000000001161 and imm_val == 0x12 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x1161; immval:0x12 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x1161, 0x12, x1, 1416, x3) - -inst_201: -// rs1_val == 0x0000000000000DB9 and imm_val == 0x0C -// opcode: roriw ; op1:x10; dest:x11; op1val:0xdb9; immval:0xc -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xdb9, 0xc, x1, 1424, x3) - -inst_202: -// rs1_val == 0x00000000000004C1 and imm_val == 0x14 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x4c1; immval:0x14 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x4c1, 0x14, x1, 1432, x3) - -inst_203: -// rs1_val == 0x0000000000000390 and imm_val == 0x01 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x390; immval:0x1 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x390, 0x1, x1, 1440, x3) - -inst_204: -// rs1_val == 0x00000000000001D4 and imm_val == 0x0C -// opcode: roriw ; op1:x10; dest:x11; op1val:0x1d4; immval:0xc -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x1d4, 0xc, x1, 1448, x3) - -inst_205: -// rs1_val == 0x00000000000000A7 and imm_val == 0x04 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xa7; immval:0x4 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xa7, 0x4, x1, 1456, x3) - -inst_206: -// rs1_val == 0x0000000000000079 and imm_val == 0x0A -// opcode: roriw ; op1:x10; dest:x11; op1val:0x79; immval:0xa -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x79, 0xa, x1, 1464, x3) - -inst_207: -// rs1_val == 0x000000000000002C and imm_val == 0x19 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x2c; immval:0x19 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x2c, 0x19, x1, 1472, x3) - -inst_208: -// rs1_val == 0x000000000000001D and imm_val == 0x02 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x1d; immval:0x2 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x1d, 0x2, x1, 1480, x3) - -inst_209: -// rs1_val == 0x000000000000000C and imm_val == 0x13 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xc; immval:0x13 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xc, 0x13, x1, 1488, x3) - -inst_210: -// rs1_val == 0x0000000000000006 and imm_val == 0x0B -// opcode: roriw ; op1:x10; dest:x11; op1val:0x6; immval:0xb -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x6, 0xb, x1, 1496, x3) - -inst_211: -// rs1_val == 0x0000000000000003 and imm_val == 0x1E -// opcode: roriw ; op1:x10; dest:x11; op1val:0x3; immval:0x1e -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x3, 0x1e, x1, 1504, x3) - -inst_212: -// rs1_val == 0x0000000000000001 and imm_val == 0x0C -// opcode: roriw ; op1:x10; dest:x11; op1val:0x1; immval:0xc -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x1, 0xc, x1, 1512, x3) - -inst_213: -// rs1_val == 0x0000000000000000 and imm_val == 0x1D -// opcode: roriw ; op1:x10; dest:x11; op1val:0x0; immval:0x1d -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x0, 0x1d, x1, 1520, x3) - -inst_214: -// imm_val == 0x06 and rs1_val == 0x976AD220146A3673 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x976ad220146a3673; immval:0x6 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x976ad220146a3673, 0x6, x1, 1528, x3) - -inst_215: -// imm_val == 0x14 and rs1_val == 0xFC6113A3312529DC -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfc6113a3312529dc; immval:0x14 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfc6113a3312529dc, 0x14, x1, 1536, x3) - -inst_216: -// imm_val == 0x1A and rs1_val == 0x242A809B7A3209FE -// opcode: roriw ; op1:x10; dest:x11; op1val:0x242a809b7a3209fe; immval:0x1a -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x242a809b7a3209fe, 0x1a, x1, 1544, x3) - -inst_217: -// imm_val == 0x1D and rs1_val == 0xE380A1764A104E66 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xe380a1764a104e66; immval:0x1d -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xe380a1764a104e66, 0x1d, x1, 1552, x3) - -inst_218: -// imm_val == 0x1E and rs1_val == 0xA0E0BD86D4551408 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xa0e0bd86d4551408; immval:0x1e -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xa0e0bd86d4551408, 0x1e, x1, 1560, x3) - -inst_219: -// imm_val == 0x1F and rs1_val == 0xAFE08A13086C1B78 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xafe08a13086c1b78; immval:0x1f -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xafe08a13086c1b78, 0x1f, x1, 1568, x3) - -inst_220: -// rs1_val == 0x3CC279B3BD59213F and imm_val == 0x14 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x3cc279b3bd59213f; immval:0x14 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x3cc279b3bd59213f, 0x14, x1, 1576, x3) - -inst_221: -// rs1_val == 0x941060376C5E1579 and imm_val == 0x09 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x941060376c5e1579; immval:0x9 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x941060376c5e1579, 0x9, x1, 1584, x3) - -inst_222: -// rs1_val == 0xC9EA3210E5DF7F0B and imm_val == 0x1D -// opcode: roriw ; op1:x10; dest:x11; op1val:0xc9ea3210e5df7f0b; immval:0x1d -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xc9ea3210e5df7f0b, 0x1d, x1, 1592, x3) - -inst_223: -// rs1_val == 0xE9E93D5257DDE0F0 and imm_val == 0x10 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xe9e93d5257dde0f0; immval:0x10 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xe9e93d5257dde0f0, 0x10, x1, 1600, x3) - -inst_224: -// rs1_val == 0xF048E341C64C47E8 and imm_val == 0x1C -// opcode: roriw ; op1:x10; dest:x11; op1val:0xf048e341c64c47e8; immval:0x1c -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xf048e341c64c47e8, 0x1c, x1, 1608, x3) - -inst_225: -// rs1_val == 0xF969730123A92480 and imm_val == 0x1B -// opcode: roriw ; op1:x10; dest:x11; op1val:0xf969730123a92480; immval:0x1b -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xf969730123a92480, 0x1b, x1, 1616, x3) - -inst_226: -// rs1_val == 0xFC20CE1CD55D1CE8 and imm_val == 0x1F -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfc20ce1cd55d1ce8; immval:0x1f -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfc20ce1cd55d1ce8, 0x1f, x1, 1624, x3) - -inst_227: -// rs1_val == 0xFED775C526EA42ED and imm_val == 0x1D -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfed775c526ea42ed; immval:0x1d -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfed775c526ea42ed, 0x1d, x1, 1632, x3) - -inst_228: -// rs1_val == 0xFF01DFA30CF25924 and imm_val == 0x05 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xff01dfa30cf25924; immval:0x5 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xff01dfa30cf25924, 0x5, x1, 1640, x3) - -inst_229: -// rs1_val == 0xFF912F0A7046AB61 and imm_val == 0x04 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xff912f0a7046ab61; immval:0x4 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xff912f0a7046ab61, 0x4, x1, 1648, x3) - -inst_230: -// rs1_val == 0xFFCA96C9D43DB43F and imm_val == 0x00 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffca96c9d43db43f; immval:0x0 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffca96c9d43db43f, 0x0, x1, 1656, x3) - -inst_231: -// rs1_val == 0xFFEB4B49194135A5 and imm_val == 0x1A -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffeb4b49194135a5; immval:0x1a -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffeb4b49194135a5, 0x1a, x1, 1664, x3) - -inst_232: -// rs1_val == 0xFFF1A2ADB3A63FA4 and imm_val == 0x1C -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfff1a2adb3a63fa4; immval:0x1c -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfff1a2adb3a63fa4, 0x1c, x1, 1672, x3) - -inst_233: -// rs1_val == 0xFFF8306E89E6156C and imm_val == 0x1F -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfff8306e89e6156c; immval:0x1f -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfff8306e89e6156c, 0x1f, x1, 1680, x3) - -inst_234: -// rs1_val == 0xFFFDA6CA22C13476 and imm_val == 0x16 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffda6ca22c13476; immval:0x16 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffda6ca22c13476, 0x16, x1, 1688, x3) - -inst_235: -// rs1_val == 0xFFFE03B4AC9E9033 and imm_val == 0x19 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffe03b4ac9e9033; immval:0x19 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffe03b4ac9e9033, 0x19, x1, 1696, x3) - -inst_236: -// rs1_val == 0xFFFF3AD31011EEB5 and imm_val == 0x1F -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffff3ad31011eeb5; immval:0x1f -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffff3ad31011eeb5, 0x1f, x1, 1704, x3) - -inst_237: -// rs1_val == 0xFFFF90F48525E8A9 and imm_val == 0x1F -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffff90f48525e8a9; immval:0x1f -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffff90f48525e8a9, 0x1f, x1, 1712, x3) - -inst_238: -// rs1_val == 0xFFFFD6E6BC7F85E3 and imm_val == 0x11 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffd6e6bc7f85e3; immval:0x11 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffd6e6bc7f85e3, 0x11, x1, 1720, x3) - -inst_239: -// rs1_val == 0xFFFFE7F6AC60E057 and imm_val == 0x0F -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffe7f6ac60e057; immval:0xf -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffe7f6ac60e057, 0xf, x1, 1728, x3) - -inst_240: -// rs1_val == 0xFFFFF12863FD8180 and imm_val == 0x1F -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffff12863fd8180; immval:0x1f -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffff12863fd8180, 0x1f, x1, 1736, x3) - -inst_241: -// rs1_val == 0xFFFFFB5472B3CB0C and imm_val == 0x0A -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffb5472b3cb0c; immval:0xa -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffb5472b3cb0c, 0xa, x1, 1744, x3) - -inst_242: -// rs1_val == 0xFFFFFD8D3E1C8522 and imm_val == 0x10 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffd8d3e1c8522; immval:0x10 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffd8d3e1c8522, 0x10, x1, 1752, x3) - -inst_243: -// rs1_val == 0xFFFFFE8F54E77CDC and imm_val == 0x14 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffe8f54e77cdc; immval:0x14 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffe8f54e77cdc, 0x14, x1, 1760, x3) - -inst_244: -// rs1_val == 0xFFFFFF048B7B5379 and imm_val == 0x02 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffff048b7b5379; immval:0x2 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffff048b7b5379, 0x2, x1, 1768, x3) - -inst_245: -// rs1_val == 0xFFFFFF856F4930C9 and imm_val == 0x00 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffff856f4930c9; immval:0x0 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffff856f4930c9, 0x0, x1, 1776, x3) - -inst_246: -// rs1_val == 0xFFFFFFDCC5D97467 and imm_val == 0x14 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffdcc5d97467; immval:0x14 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffdcc5d97467, 0x14, x1, 1784, x3) - -inst_247: -// rs1_val == 0xFFFFFFE5C70AFC93 and imm_val == 0x1E -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffe5c70afc93; immval:0x1e -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffe5c70afc93, 0x1e, x1, 1792, x3) - -inst_248: -// rs1_val == 0xFFFFFFF6A911655F and imm_val == 0x0B -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffff6a911655f; immval:0xb -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffff6a911655f, 0xb, x1, 1800, x3) - -inst_249: -// rs1_val == 0xFFFFFFF974AB0A39 and imm_val == 0x0A -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffff974ab0a39; immval:0xa -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffff974ab0a39, 0xa, x1, 1808, x3) - -inst_250: -// rs1_val == 0xFFFFFFFCDD68F202 and imm_val == 0x11 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffcdd68f202; immval:0x11 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffcdd68f202, 0x11, x1, 1816, x3) - -inst_251: -// rs1_val == 0xFFFFFFFE24BD4821 and imm_val == 0x0B -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffe24bd4821; immval:0xb -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffe24bd4821, 0xb, x1, 1824, x3) - -inst_252: -// rs1_val == 0xFFFFFFFF3ED7E667 and imm_val == 0x11 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffff3ed7e667; immval:0x11 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffff3ed7e667, 0x11, x1, 1832, x3) - -inst_253: -// rs1_val == 0xFFFFFFFFB871CFDF and imm_val == 0x1C -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffb871cfdf; immval:0x1c -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffb871cfdf, 0x1c, x1, 1840, x3) - -inst_254: -// rs1_val == 0xFFFFFFFFD29C11AE and imm_val == 0x1B -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffd29c11ae; immval:0x1b -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffd29c11ae, 0x1b, x1, 1848, x3) - -inst_255: -// rs1_val == 0xFFFFFFFFE109799A and imm_val == 0x0C -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffe109799a; immval:0xc -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffe109799a, 0xc, x1, 1856, x3) - -inst_256: -// rs1_val == 0xFFFFFFFFF4E80B13 and imm_val == 0x06 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffff4e80b13; immval:0x6 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffff4e80b13, 0x6, x1, 1864, x3) - -inst_257: -// rs1_val == 0xFFFFFFFFF9B7EA62 and imm_val == 0x09 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffff9b7ea62; immval:0x9 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffff9b7ea62, 0x9, x1, 1872, x3) - -inst_258: -// rs1_val == 0xFFFFFFFFFC51F638 and imm_val == 0x17 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffffc51f638; immval:0x17 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffffc51f638, 0x17, x1, 1880, x3) - -inst_259: -// rs1_val == 0xFFFFFFFFFE9263CF and imm_val == 0x05 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffffe9263cf; immval:0x5 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffffe9263cf, 0x5, x1, 1888, x3) - -inst_260: -// rs1_val == 0xFFFFFFFFFF216823 and imm_val == 0x04 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffff216823; immval:0x4 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffff216823, 0x4, x1, 1896, x3) - -inst_261: -// rs1_val == 0xFFFFFFFFFF861438 and imm_val == 0x02 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffff861438; immval:0x2 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffff861438, 0x2, x1, 1904, x3) - -inst_262: -// rs1_val == 0xFFFFFFFFFFD8C9D0 and imm_val == 0x1A -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffffd8c9d0; immval:0x1a -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffffd8c9d0, 0x1a, x1, 1912, x3) - -inst_263: -// rs1_val == 0xFFFFFFFFFFE4D576 and imm_val == 0x0A -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffffe4d576; immval:0xa -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffffe4d576, 0xa, x1, 1920, x3) - -inst_264: -// rs1_val == 0xFFFFFFFFFFF18C67 and imm_val == 0x14 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffffff18c67; immval:0x14 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffffff18c67, 0x14, x1, 1928, x3) - -inst_265: -// rs1_val == 0xFFFFFFFFFFFBB998 and imm_val == 0x04 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffffffbb998; immval:0x4 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffffffbb998, 0x4, x1, 1936, x3) - -inst_266: -// rs1_val == 0xFFFFFFFFFFFC2561 and imm_val == 0x1C -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffffffc2561; immval:0x1c -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffffffc2561, 0x1c, x1, 1944, x3) - -inst_267: -// rs1_val == 0xFFFFFFFFFFFEEAB5 and imm_val == 0x0F -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffffffeeab5; immval:0xf -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffffffeeab5, 0xf, x1, 1952, x3) - -inst_268: -// rs1_val == 0xFFFFFFFFFFFF0651 and imm_val == 0x13 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffffff0651; immval:0x13 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffffff0651, 0x13, x1, 1960, x3) - -inst_269: -// rs1_val == 0xFFFFFFFFFFFF90E4 and imm_val == 0x0C -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffffff90e4; immval:0xc -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffffff90e4, 0xc, x1, 1968, x3) - -inst_270: -// rs1_val == 0xFFFFFFFFFFFFCA23 and imm_val == 0x00 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffffffca23; immval:0x0 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffffffca23, 0x0, x1, 1976, x3) - -inst_271: -// rs1_val == 0xFFFFFFFFFFFFE2A0 and imm_val == 0x18 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffffffe2a0; immval:0x18 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffffffe2a0, 0x18, x1, 1984, x3) - -inst_272: -// rs1_val == 0xFFFFFFFFFFFFF2CC and imm_val == 0x0F -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffffffff2cc; immval:0xf -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffffffff2cc, 0xf, x1, 1992, x3) - -inst_273: -// rs1_val == 0xFFFFFFFFFFFFFAB4 and imm_val == 0x08 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffffffffab4; immval:0x8 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffffffffab4, 0x8, x1, 2000, x3) - -inst_274: -// rs1_val == 0xFFFFFFFFFFFFFCEE and imm_val == 0x13 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffffffffcee; immval:0x13 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffffffffcee, 0x13, x1, 2008, x3) - -inst_275: -// rs1_val == 0xFFFFFFFFFFFFFE04 and imm_val == 0x10 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffffffffe04; immval:0x10 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffffffffe04, 0x10, x1, 2016, x3) - -inst_276: -// rs1_val == 0xFFFFFFFFFFFFFF2A and imm_val == 0x18 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffffffff2a; immval:0x18 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffffffff2a, 0x18, x1, 2024, x3) - -inst_277: -// rs1_val == 0xFFFFFFFFFFFFFF9D and imm_val == 0x08 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffffffff9d; immval:0x8 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffffffff9d, 0x8, x1, 2032, x3) - -inst_278: -// rs1_val == 0xFFFFFFFFFFFFFFC7 and imm_val == 0x17 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffffffffc7; immval:0x17 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffffffffc7, 0x17, x1, 2040, x3) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_279: -// rs1_val == 0xFFFFFFFFFFFFFFE4 and imm_val == 0x0F -// opcode: roriw ; op1:x10; dest:x11; op1val:0xffffffffffffffe4; immval:0xf -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xffffffffffffffe4, 0xf, x1, 0, x3) - -inst_280: -// rs1_val == 0xFFFFFFFFFFFFFFF2 and imm_val == 0x1F -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffffffffff2; immval:0x1f -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffffffffff2, 0x1f, x1, 8, x3) - -inst_281: -// rs1_val == 0xFFFFFFFFFFFFFFF8 and imm_val == 0x0A -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffffffffff8; immval:0xa -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffffffffff8, 0xa, x1, 16, x3) - -inst_282: -// rs1_val == 0xFFFFFFFFFFFFFFFD and imm_val == 0x1F -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; immval:0x1f -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffffffffffd, 0x1f, x1, 24, x3) - -inst_283: -// rs1_val == 0xFFFFFFFFFFFFFFFE and imm_val == 0x00 -// opcode: roriw ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; immval:0x0 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0xfffffffffffffffe, 0x0, x1, 32, x3) - -inst_284: -// rs1_val == 0x1E20000000000000 and imm_val == 0x1E -// opcode: roriw ; op1:x10; dest:x11; op1val:0x1e20000000000000; immval:0x1e -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x1e20000000000000, 0x1e, x1, 40, x3) - -inst_285: -// rs1_val == 0x9568400000000000 and imm_val == 0x00 -// opcode: roriw ; op1:x10; dest:x11; op1val:0x9568400000000000; immval:0x0 -TEST_IMM_OP( roriw, x11, x10, 0x0000000000000000, 0x9568400000000000, 0x0, x1, 48, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x18_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x18_1: - .fill 23*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 7*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rorw-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rorw-01.S deleted file mode 100644 index 96c1d8dbe..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rorw-01.S +++ /dev/null @@ -1,2714 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the rorw instruction of the RISC-V extension for the rorw covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",rorw) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKs.*);def TEST_CASE_1=True;",rorw) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",rorw) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKb.*);def TEST_CASE_1=True;",rorw) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x31, rs2==x31, rd==x24, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: rorw ; op1:x31; op2:x31; dest:x24; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(rorw, x24, x31, x31, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x5, 0, x12) - -inst_1: -// rs1 == rs2 == rd, rs1==x27, rs2==x27, rd==x27, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: rorw ; op1:x27; op2:x27; dest:x27; op1val:0x5ba2c7dd5ae10604; op2val:0x5ba2c7dd5ae10604 -TEST_RR_OP(rorw, x27, x27, x27, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x5ba2c7dd5ae10604, x5, 8, x12) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x22, rd==x15, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: rorw ; op1:x10; op2:x22; dest:x15; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(rorw, x15, x10, x22, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x5, 16, x12) - -inst_3: -// rs1 == rd != rs2, rs1==x7, rs2==x28, rd==x7, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: rorw ; op1:x7; op2:x28; dest:x7; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(rorw, x7, x7, x28, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x5, 24, x12) - -inst_4: -// rs2 == rd != rs1, rs1==x30, rs2==x4, rd==x4, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: rorw ; op1:x30; op2:x4; dest:x4; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(rorw, x4, x30, x4, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x5, 32, x12) - -inst_5: -// rs1==x22, rs2==x21, rd==x29, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: rorw ; op1:x22; op2:x21; dest:x29; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(rorw, x29, x22, x21, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x5, 40, x12) - -inst_6: -// rs1==x8, rs2==x19, rd==x13, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: rorw ; op1:x8; op2:x19; dest:x13; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(rorw, x13, x8, x19, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x5, 48, x12) - -inst_7: -// rs1==x26, rs2==x0, rd==x28, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: rorw ; op1:x26; op2:x0; dest:x28; op1val:0x2405b307cec7313a; op2val:0x0 -TEST_RR_OP(rorw, x28, x26, x0, 0x0000000000000000, 0x2405b307cec7313a, 0x0, x5, 56, x12) - -inst_8: -// rs1==x21, rs2==x1, rd==x10, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: rorw ; op1:x21; op2:x1; dest:x10; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(rorw, x10, x21, x1, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x5, 64, x12) - -inst_9: -// rs1==x15, rs2==x10, rd==x26, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: rorw ; op1:x15; op2:x10; dest:x26; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(rorw, x26, x15, x10, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x5, 72, x12) - -inst_10: -// rs1==x9, rs2==x30, rd==x25, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: rorw ; op1:x9; op2:x30; dest:x25; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(rorw, x25, x9, x30, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x5, 80, x12) - -inst_11: -// rs1==x4, rs2==x11, rd==x2, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: rorw ; op1:x4; op2:x11; dest:x2; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(rorw, x2, x4, x11, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x5, 88, x12) - -inst_12: -// rs1==x3, rs2==x14, rd==x30, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: rorw ; op1:x3; op2:x14; dest:x30; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(rorw, x30, x3, x14, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x5, 96, x12) - -inst_13: -// rs1==x6, rs2==x13, rd==x18, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: rorw ; op1:x6; op2:x13; dest:x18; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(rorw, x18, x6, x13, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x5, 104, x12) - -inst_14: -// rs1==x19, rs2==x16, rd==x31, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: rorw ; op1:x19; op2:x16; dest:x31; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(rorw, x31, x19, x16, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x5, 112, x12) - -inst_15: -// rs1==x25, rs2==x7, rd==x17, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: rorw ; op1:x25; op2:x7; dest:x17; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(rorw, x17, x25, x7, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x5, 120, x12) - -inst_16: -// rs1==x14, rs2==x2, rd==x0, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: rorw ; op1:x14; op2:x2; dest:x0; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(rorw, x0, x14, x2, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x5, 128, x7) - -inst_17: -// rs1==x28, rs2==x8, rd==x21, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: rorw ; op1:x28; op2:x8; dest:x21; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(rorw, x21, x28, x8, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x5, 136, x7) - -inst_18: -// rs1==x24, rs2==x29, rd==x6, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: rorw ; op1:x24; op2:x29; dest:x6; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(rorw, x6, x24, x29, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x5, 144, x7) - -inst_19: -// rs1==x13, rs2==x15, rd==x16, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: rorw ; op1:x13; op2:x15; dest:x16; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(rorw, x16, x13, x15, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x5, 152, x7) - -inst_20: -// rs1==x20, rs2==x26, rd==x11, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: rorw ; op1:x20; op2:x26; dest:x11; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(rorw, x11, x20, x26, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x5, 160, x7) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_21: -// rs1==x23, rs2==x5, rd==x1, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: rorw ; op1:x23; op2:x5; dest:x1; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(rorw, x1, x23, x5, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x4, 0, x7) - -inst_22: -// rs1==x1, rs2==x6, rd==x22, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: rorw ; op1:x1; op2:x6; dest:x22; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(rorw, x22, x1, x6, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x4, 8, x7) - -inst_23: -// rs1==x2, rs2==x12, rd==x3, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: rorw ; op1:x2; op2:x12; dest:x3; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(rorw, x3, x2, x12, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x4, 16, x7) - -inst_24: -// rs1==x5, rs2==x9, rd==x12, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: rorw ; op1:x5; op2:x9; dest:x12; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(rorw, x12, x5, x9, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x4, 24, x7) - -inst_25: -// rs1==x12, rs2==x18, rd==x5, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: rorw ; op1:x12; op2:x18; dest:x5; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(rorw, x5, x12, x18, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x4, 32, x7) - -inst_26: -// rs1==x18, rs2==x23, rd==x19, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: rorw ; op1:x18; op2:x23; dest:x19; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(rorw, x19, x18, x23, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x4, 40, x7) - -inst_27: -// rs1==x0, rs2==x20, rd==x9, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: rorw ; op1:x0; op2:x20; dest:x9; op1val:0x0; op2val:0xfa56aa4000000000 -TEST_RR_OP(rorw, x9, x0, x20, 0x0000000000000000, 0x0, 0xfa56aa4000000000, x4, 48, x7) - -inst_28: -// rs1==x16, rs2==x17, rd==x8, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: rorw ; op1:x16; op2:x17; dest:x8; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(rorw, x8, x16, x17, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x4, 56, x7) - -inst_29: -// rs1==x11, rs2==x24, rd==x14, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: rorw ; op1:x11; op2:x24; dest:x14; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(rorw, x14, x11, x24, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x4, 64, x7) - -inst_30: -// rs1==x17, rs2==x25, rd==x23, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: rorw ; op1:x17; op2:x25; dest:x23; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(rorw, x23, x17, x25, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x4, 72, x7) - -inst_31: -// rs1==x29, rs2==x3, rd==x20, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: rorw ; op1:x29; op2:x3; dest:x20; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(rorw, x20, x29, x3, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x4, 80, x7) - -inst_32: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x4, 88, x7) - -inst_33: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x4, 96, x7) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x4, 104, x7) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x4, 112, x7) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x4, 120, x7) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x4, 128, x7) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x4, 136, x7) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x4, 144, x7) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x4, 152, x7) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x4, 160, x7) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x4, 168, x7) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x4, 176, x7) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x4, 184, x7) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x4, 192, x7) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x4, 200, x7) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x4, 208, x7) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x4, 216, x7) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x4, 224, x7) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x4, 232, x7) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x4, 240, x7) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x4, 248, x7) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x4, 256, x7) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x4, 264, x7) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x4, 272, x7) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x4, 280, x7) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x4, 288, x7) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x4, 296, x7) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x4, 304, x7) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x4, 312, x7) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x4, 320, x7) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x4, 328, x7) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x4, 336, x7) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x4, 344, x7) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x4, 352, x7) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x4, 360, x7) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x4, 368, x7) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x4, 376, x7) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x4, 384, x7) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x4, 392, x7) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x4, 400, x7) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x4, 408, x7) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x4, 416, x7) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x4, 424, x7) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x4, 432, x7) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x4, 440, x7) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x4, 448, x7) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x4, 456, x7) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x4, 464, x7) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x4, 472, x7) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x4, 480, x7) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x4, 488, x7) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x4, 496, x7) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x4, 504, x7) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x4, 512, x7) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x4, 520, x7) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x4, 528, x7) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x4, 536, x7) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x4, 544, x7) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x4, 552, x7) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x4, 560, x7) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x4, 568, x7) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x4, 576, x7) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x4, 584, x7) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x4, 592, x7) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x4, 600, x7) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x4, 608, x7) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x4, 616, x7) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x4, 624, x7) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x4, 632, x7) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x4, 640, x7) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x4, 648, x7) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x4, 656, x7) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x4, 664, x7) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x4, 672, x7) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x4, 680, x7) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x4, 688, x7) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x4, 696, x7) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x4, 704, x7) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x4, 712, x7) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x4, 720, x7) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x4, 728, x7) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x4, 736, x7) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x4, 744, x7) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x4, 752, x7) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x4, 760, x7) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x4, 768, x7) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x4, 776, x7) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x4, 784, x7) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x4, 792, x7) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x4, 800, x7) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x4, 808, x7) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x4, 816, x7) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x4, 824, x7) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x4, 832, x7) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x4, 840, x7) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x4, 848, x7) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x4, 856, x7) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x4, 864, x7) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x4, 872, x7) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x4, 880, x7) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x4, 888, x7) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x4, 896, x7) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x4, 904, x7) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x4, 912, x7) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x4, 920, x7) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x4, 928, x7) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x4, 936, x7) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x4, 944, x7) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x4, 952, x7) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x4, 960, x7) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x4, 968, x7) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x4, 976, x7) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x4, 984, x7) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x4, 992, x7) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x4, 1000, x7) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x4, 1008, x7) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x4, 1016, x7) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x4, 1024, x7) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x4, 1032, x7) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x4, 1040, x7) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x4, 1048, x7) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x4, 1056, x7) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x4, 1064, x7) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x4, 1072, x7) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x4, 1080, x7) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x4, 1088, x7) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x4, 1096, x7) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x4, 1104, x7) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x4, 1112, x7) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x4, 1120, x7) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x4, 1128, x7) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x4, 1136, x7) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x4, 1144, x7) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x4, 1152, x7) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x4, 1160, x7) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x4, 1168, x7) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x4, 1176, x7) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x4, 1184, x7) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x4, 1192, x7) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x4, 1200, x7) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x4, 1208, x7) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x4, 1216, x7) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x4, 1224, x7) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x4, 1232, x7) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x4, 1240, x7) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x4, 1248, x7) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x4, 1256, x7) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x4, 1264, x7) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x4, 1272, x7) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x4, 1280, x7) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x4, 1288, x7) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x4, 1296, x7) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x4, 1304, x7) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x4, 1312, x7) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x4, 1320, x7) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x4, 1328, x7) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x4, 1336, x7) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x4, 1344, x7) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x4, 1352, x7) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x4, 1360, x7) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x4, 1368, x7) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x4, 1376, x7) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x4, 1384, x7) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x4, 1392, x7) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x4, 1400, x7) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x4, 1408, x7) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x4, 1416, x7) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x4, 1424, x7) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x4, 1432, x7) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x4, 1440, x7) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x4, 1448, x7) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x4, 1456, x7) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x4, 1464, x7) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x4, 1472, x7) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x4, 1480, x7) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x4, 1488, x7) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x4, 1496, x7) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x4, 1504, x7) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x4, 1512, x7) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x4, 1520, x7) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x4, 1528, x7) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x4, 1536, x7) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x4, 1544, x7) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x4, 1552, x7) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x4, 1560, x7) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x4, 1568, x7) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x4, 1576, x7) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x4, 1584, x7) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x4, 1592, x7) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x4, 1600, x7) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x4, 1608, x7) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x4, 1616, x7) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x4, 1624, x7) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x4, 1632, x7) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x4, 1640, x7) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x4, 1648, x7) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x4, 1656, x7) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x4, 1664, x7) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x4, 1672, x7) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x4, 1680, x7) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x4, 1688, x7) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x4, 1696, x7) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x4, 1704, x7) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x4, 1712, x7) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x4, 1720, x7) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x4, 1728, x7) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x4, 1736, x7) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x4, 1744, x7) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x4, 1752, x7) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x4, 1760, x7) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x4, 1768, x7) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x4, 1776, x7) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x4, 1784, x7) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x4, 1792, x7) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x4, 1800, x7) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x4, 1808, x7) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x4, 1816, x7) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x4, 1824, x7) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x4, 1832, x7) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x4, 1840, x7) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x4, 1848, x7) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x4, 1856, x7) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x4, 1864, x7) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x4, 1872, x7) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x4, 1880, x7) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x4, 1888, x7) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x4, 1896, x7) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x4, 1904, x7) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x4, 1912, x7) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x4, 1920, x7) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x4, 1928, x7) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x4, 1936, x7) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x4, 1944, x7) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x4, 1952, x7) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x4, 1960, x7) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x4, 1968, x7) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x4, 1976, x7) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x4, 1984, x7) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x4, 1992, x7) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x4, 2000, x7) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x4, 2008, x7) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x4, 2016, x7) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x4, 2024, x7) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x4, 2032, x7) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x4, 2040, x7) -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x4, 0, x7) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x4, 8, x7) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x4, 16, x7) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x4, 24, x7) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x4, 32, x7) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x4, 40, x7) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x4, 48, x7) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x4, 56, x7) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x4, 64, x7) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x4, 72, x7) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x4, 80, x7) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x4, 88, x7) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x4, 96, x7) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x4, 104, x7) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x4, 112, x7) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x4, 120, x7) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x4, 128, x7) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x4, 136, x7) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x4, 144, x7) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x4, 152, x7) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x4, 160, x7) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x4, 168, x7) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x4, 176, x7) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x4, 184, x7) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x4, 192, x7) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x4, 200, x7) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x4, 208, x7) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x4, 216, x7) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x4, 224, x7) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x4, 232, x7) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x4, 240, x7) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x4, 248, x7) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x4, 256, x7) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x4, 264, x7) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x4, 272, x7) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x4, 280, x7) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x4, 288, x7) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x4, 296, x7) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x4, 304, x7) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x4, 312, x7) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x4, 320, x7) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x4, 328, x7) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x4, 336, x7) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x4, 344, x7) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x4, 352, x7) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x4, 360, x7) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x4, 368, x7) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x4, 376, x7) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x4, 384, x7) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x4, 392, x7) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x4, 400, x7) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x4, 408, x7) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x4, 416, x7) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x4, 424, x7) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x4, 432, x7) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x4, 440, x7) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x4, 448, x7) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x4, 456, x7) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x4, 464, x7) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x4, 472, x7) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x4, 480, x7) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x4, 488, x7) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x4, 496, x7) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x4, 504, x7) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x4, 512, x7) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x4, 520, x7) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x4, 528, x7) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x4, 536, x7) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x4, 544, x7) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x4, 552, x7) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x4, 560, x7) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x4, 568, x7) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x4, 576, x7) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x4, 584, x7) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x4, 592, x7) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x4, 600, x7) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x4, 608, x7) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x4, 616, x7) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x4, 624, x7) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x4, 632, x7) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x4, 640, x7) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x4, 648, x7) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x4, 656, x7) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x4, 664, x7) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x4, 672, x7) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x4, 680, x7) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x4, 688, x7) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x4, 696, x7) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x4, 704, x7) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x4, 712, x7) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x4, 720, x7) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x4, 728, x7) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x4, 736, x7) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x4, 744, x7) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x4, 752, x7) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x4, 760, x7) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x4, 768, x7) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x4, 776, x7) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x4, 784, x7) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x4, 792, x7) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x4, 800, x7) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x4, 808, x7) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x4, 816, x7) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x4, 824, x7) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x4, 832, x7) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x4, 840, x7) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x4, 848, x7) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x4, 856, x7) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x4, 864, x7) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x4, 872, x7) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x4, 880, x7) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x4, 888, x7) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x4, 896, x7) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x4, 904, x7) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x4, 912, x7) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x4, 920, x7) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x4, 928, x7) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x4, 936, x7) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x4, 944, x7) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x4, 952, x7) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x4, 960, x7) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x4, 968, x7) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x4, 976, x7) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x4, 984, x7) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x4, 992, x7) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x4, 1000, x7) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x4, 1008, x7) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x4, 1016, x7) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x4, 1024, x7) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x4, 1032, x7) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x4, 1040, x7) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x4, 1048, x7) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x4, 1056, x7) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x4, 1064, x7) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x4, 1072, x7) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x4, 1080, x7) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x4, 1088, x7) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x4, 1096, x7) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x4, 1104, x7) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x4, 1112, x7) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x4, 1120, x7) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x4, 1128, x7) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x4, 1136, x7) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x4, 1144, x7) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x4, 1152, x7) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x4, 1160, x7) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x4, 1168, x7) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x4, 1176, x7) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x4, 1184, x7) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x4, 1192, x7) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x4, 1200, x7) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x4, 1208, x7) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x4, 1216, x7) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x4, 1224, x7) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x4, 1232, x7) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x4, 1240, x7) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x4, 1248, x7) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x4, 1256, x7) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x4, 1264, x7) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x4, 1272, x7) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x4, 1280, x7) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x4, 1288, x7) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x4, 1296, x7) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x4, 1304, x7) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x4, 1312, x7) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x4, 1320, x7) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x4, 1328, x7) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x4, 1336, x7) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x4, 1344, x7) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x4, 1352, x7) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x4, 1360, x7) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x4, 1368, x7) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x4, 1376, x7) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x4, 1384, x7) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x4, 1392, x7) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x4, 1400, x7) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x4, 1408, x7) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x4, 1416, x7) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x4, 1424, x7) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x4, 1432, x7) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x4, 1440, x7) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x4, 1448, x7) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x4, 1456, x7) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x4, 1464, x7) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x4, 1472, x7) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x4, 1480, x7) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x4, 1488, x7) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x4, 1496, x7) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x4, 1504, x7) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x4, 1512, x7) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x4, 1520, x7) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x4, 1528, x7) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x4, 1536, x7) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x4, 1544, x7) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x4, 1552, x7) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x4, 1560, x7) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x4, 1568, x7) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x4, 1576, x7) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x4, 1584, x7) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x4, 1592, x7) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x4, 1600, x7) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x4, 1608, x7) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x4, 1616, x7) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x4, 1624, x7) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x4, 1632, x7) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x4, 1640, x7) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x4, 1648, x7) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x4, 1656, x7) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x4, 1664, x7) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x4, 1672, x7) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x4, 1680, x7) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x4, 1688, x7) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x4, 1696, x7) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x4, 1704, x7) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x4, 1712, x7) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x4, 1720, x7) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x4, 1728, x7) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x4, 1736, x7) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x4, 1744, x7) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x4, 1752, x7) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x4, 1760, x7) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x4, 1768, x7) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x4, 1776, x7) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x4, 1784, x7) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x4, 1792, x7) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x4, 1800, x7) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x4, 1808, x7) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x4, 1816, x7) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x4, 1824, x7) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x4, 1832, x7) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x4, 1840, x7) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x4, 1848, x7) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x4, 1856, x7) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x4, 1864, x7) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x4, 1872, x7) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x4, 1880, x7) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x4, 1888, x7) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x4, 1896, x7) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x4, 1904, x7) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x4, 1912, x7) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x4, 1920, x7) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x4, 1928, x7) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x4, 1936, x7) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x4, 1944, x7) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x4, 1952, x7) - -inst_522: -// rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x4, 1960, x7) - -inst_523: -// rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x4, 1968, x7) - -inst_524: -// rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: rorw ; op1:x10; op2:x11; dest:x12; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(rorw, x12, x10, x11, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x4, 1976, x7) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 21*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 248*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-01.S deleted file mode 100644 index 5951cc45a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-01.S +++ /dev/null @@ -1,1282 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sha256sig0 instruction of the RISC-V extension for the sha256sig0 covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",sha256sig0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",sha256sig0) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKnh.*);def TEST_CASE_1=True;",sha256sig0) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rs1 != rd, rs1==x4, rd==x16, rs1_val == 0x75a3adb3254a9493 -// opcode: sha256sig0 ; op1:x4; dest:x16; op1val:0x75a3adb3254a9493; -li x4, 0x75a3adb3254a9493 -sha256sig0 x16, x4 -sw x16, 0(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x16, 0x0000000000000000) - -inst_1: -// rs1 == rd, rs1==x28, rd==x28, rs1_val == 9223372036854775807, -// opcode: sha256sig0 ; op1:x28; dest:x28; op1val:0x7fffffffffffffff; -li x28, 0x7fffffffffffffff -sha256sig0 x28, x28 -sw x28, 8(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x28, 0x0000000000000000) - -inst_2: -// rs1==x31, rd==x25, rs1_val == 13835058055282163711, -// opcode: sha256sig0 ; op1:x31; dest:x25; op1val:0xbfffffffffffffff; -li x31, 0xbfffffffffffffff -sha256sig0 x25, x31 -sw x25, 16(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x25, 0x0000000000000000) - -inst_3: -// rs1==x14, rd==x21, rs1_val == 16140901064495857663, -// opcode: sha256sig0 ; op1:x14; dest:x21; op1val:0xdfffffffffffffff; -li x14, 0xdfffffffffffffff -sha256sig0 x21, x14 -sw x21, 24(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x21, 0x0000000000000000) - -inst_4: -// rs1==x21, rd==x27, rs1_val == 17293822569102704639, -// opcode: sha256sig0 ; op1:x21; dest:x27; op1val:0xefffffffffffffff; -li x21, 0xefffffffffffffff -sha256sig0 x27, x21 -sw x27, 32(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x27, 0x0000000000000000) - -inst_5: -// rs1==x16, rd==x23, rs1_val == 17870283321406128127, -// opcode: sha256sig0 ; op1:x16; dest:x23; op1val:0xf7ffffffffffffff; -li x16, 0xf7ffffffffffffff -sha256sig0 x23, x16 -sw x23, 40(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x23, 0x0000000000000000) - -inst_6: -// rs1==x5, rd==x17, rs1_val == 18158513697557839871, -// opcode: sha256sig0 ; op1:x5; dest:x17; op1val:0xfbffffffffffffff; -li x5, 0xfbffffffffffffff -sha256sig0 x17, x5 -sw x17, 48(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x17, 0x0000000000000000) - -inst_7: -// rs1==x18, rd==x12, rs1_val == 18302628885633695743, -// opcode: sha256sig0 ; op1:x18; dest:x12; op1val:0xfdffffffffffffff; -li x18, 0xfdffffffffffffff -sha256sig0 x12, x18 -sw x12, 56(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x12, 0x0000000000000000) - -inst_8: -// rs1==x12, rd==x9, rs1_val == 18374686479671623679, -// opcode: sha256sig0 ; op1:x12; dest:x9; op1val:0xfeffffffffffffff; -li x12, 0xfeffffffffffffff -sha256sig0 x9, x12 -sw x9, 64(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x9, 0x0000000000000000) - -inst_9: -// rs1==x2, rd==x1, rs1_val == 18410715276690587647, -// opcode: sha256sig0 ; op1:x2; dest:x1; op1val:0xff7fffffffffffff; -li x2, 0xff7fffffffffffff -sha256sig0 x1, x2 -sw x1, 72(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x1, 0x0000000000000000) - -inst_10: -// rs1==x10, rd==x0, rs1_val == 18428729675200069631, -// opcode: sha256sig0 ; op1:x10; dest:x0; op1val:0xffbfffffffffffff; -li x10, 0xffbfffffffffffff -sha256sig0 x0, x10 -sw x0, 80(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x0, 0x0000000000000000) - -inst_11: -// rs1==x9, rd==x31, rs1_val == 18437736874454810623, -// opcode: sha256sig0 ; op1:x9; dest:x31; op1val:0xffdfffffffffffff; -li x9, 0xffdfffffffffffff -sha256sig0 x31, x9 -sw x31, 88(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x31, 0x0000000000000000) - -inst_12: -// rs1==x13, rd==x11, rs1_val == 18442240474082181119, -// opcode: sha256sig0 ; op1:x13; dest:x11; op1val:0xffefffffffffffff; -li x13, 0xffefffffffffffff -sha256sig0 x11, x13 -sw x11, 96(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_13: -// rs1==x15, rd==x3, rs1_val == 18444492273895866367, -// opcode: sha256sig0 ; op1:x15; dest:x3; op1val:0xfff7ffffffffffff; -li x15, 0xfff7ffffffffffff -sha256sig0 x3, x15 -sw x3, 104(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x3, 0x0000000000000000) - -inst_14: -// rs1==x23, rd==x19, rs1_val == 18445618173802708991, -// opcode: sha256sig0 ; op1:x23; dest:x19; op1val:0xfffbffffffffffff; -li x23, 0xfffbffffffffffff -sha256sig0 x19, x23 -sw x19, 112(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x19, 0x0000000000000000) - -inst_15: -// rs1==x24, rd==x30, rs1_val == 18446181123756130303, -// opcode: sha256sig0 ; op1:x24; dest:x30; op1val:0xfffdffffffffffff; -li x24, 0xfffdffffffffffff -sha256sig0 x30, x24 -sw x30, 120(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x30, 0x0000000000000000) - -inst_16: -// rs1==x3, rd==x20, rs1_val == 18446462598732840959, -// opcode: sha256sig0 ; op1:x3; dest:x20; op1val:0xfffeffffffffffff; -li x3, 0xfffeffffffffffff -sha256sig0 x20, x3 -sw x20, 128(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x20, 0x0000000000000000) - -inst_17: -// rs1==x29, rd==x15, rs1_val == 18446603336221196287, -// opcode: sha256sig0 ; op1:x29; dest:x15; op1val:0xffff7fffffffffff; -li x29, 0xffff7fffffffffff -sha256sig0 x15, x29 -sw x15, 136(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x15, 0x0000000000000000) - -inst_18: -// rs1==x1, rd==x24, rs1_val == 18446673704965373951, -// opcode: sha256sig0 ; op1:x1; dest:x24; op1val:0xffffbfffffffffff; -li x1, 0xffffbfffffffffff -sha256sig0 x24, x1 -sw x24, 144(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x24, 0x0000000000000000) - -inst_19: -// rs1==x26, rd==x6, rs1_val == 18446708889337462783, -// opcode: sha256sig0 ; op1:x26; dest:x6; op1val:0xffffdfffffffffff; -li x26, 0xffffdfffffffffff -sha256sig0 x6, x26 -sw x6, 152(x7) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x6, 0x0000000000000000) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_20: -// rs1==x19, rd==x10, rs1_val == 18446726481523507199, -// opcode: sha256sig0 ; op1:x19; dest:x10; op1val:0xffffefffffffffff; -li x19, 0xffffefffffffffff -sha256sig0 x10, x19 -sw x10, 0(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x10, 0x0000000000000000) - -inst_21: -// rs1==x22, rd==x8, rs1_val == 18446735277616529407, -// opcode: sha256sig0 ; op1:x22; dest:x8; op1val:0xfffff7ffffffffff; -li x22, 0xfffff7ffffffffff -sha256sig0 x8, x22 -sw x8, 8(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x8, 0x0000000000000000) - -inst_22: -// rs1==x7, rd==x5, rs1_val == 18446739675663040511, -// opcode: sha256sig0 ; op1:x7; dest:x5; op1val:0xfffffbffffffffff; -li x7, 0xfffffbffffffffff -sha256sig0 x5, x7 -sw x5, 16(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x5, 0x0000000000000000) - -inst_23: -// rs1==x30, rd==x13, rs1_val == 18446741874686296063, -// opcode: sha256sig0 ; op1:x30; dest:x13; op1val:0xfffffdffffffffff; -li x30, 0xfffffdffffffffff -sha256sig0 x13, x30 -sw x13, 24(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x13, 0x0000000000000000) - -inst_24: -// rs1==x20, rd==x4, rs1_val == 18446742974197923839, -// opcode: sha256sig0 ; op1:x20; dest:x4; op1val:0xfffffeffffffffff; -li x20, 0xfffffeffffffffff -sha256sig0 x4, x20 -sw x4, 32(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x4, 0x0000000000000000) - -inst_25: -// rs1==x27, rd==x29, rs1_val == 18446743523953737727, -// opcode: sha256sig0 ; op1:x27; dest:x29; op1val:0xffffff7fffffffff; -li x27, 0xffffff7fffffffff -sha256sig0 x29, x27 -sw x29, 40(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x29, 0x0000000000000000) - -inst_26: -// rs1==x25, rd==x22, rs1_val == 18446743798831644671, -// opcode: sha256sig0 ; op1:x25; dest:x22; op1val:0xffffffbfffffffff; -li x25, 0xffffffbfffffffff -sha256sig0 x22, x25 -sw x22, 48(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x22, 0x0000000000000000) - -inst_27: -// rs1==x17, rd==x14, rs1_val == 18446743936270598143, -// opcode: sha256sig0 ; op1:x17; dest:x14; op1val:0xffffffdfffffffff; -li x17, 0xffffffdfffffffff -sha256sig0 x14, x17 -sw x14, 56(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x14, 0x0000000000000000) - -inst_28: -// rs1==x11, rd==x7, rs1_val == 18446744004990074879, -// opcode: sha256sig0 ; op1:x11; dest:x7; op1val:0xffffffefffffffff; -li x11, 0xffffffefffffffff -sha256sig0 x7, x11 -sw x7, 64(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x7, 0x0000000000000000) - -inst_29: -// rs1==x6, rd==x2, rs1_val == 18446744039349813247, -// opcode: sha256sig0 ; op1:x6; dest:x2; op1val:0xfffffff7ffffffff; -li x6, 0xfffffff7ffffffff -sha256sig0 x2, x6 -sw x2, 72(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x2, 0x0000000000000000) - -inst_30: -// rs1==x8, rd==x26, rs1_val == 18446744056529682431, -// opcode: sha256sig0 ; op1:x8; dest:x26; op1val:0xfffffffbffffffff; -li x8, 0xfffffffbffffffff -sha256sig0 x26, x8 -sw x26, 80(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x26, 0x0000000000000000) - -inst_31: -// rs1==x0, rd==x18, rs1_val == 18446744065119617023, -// opcode: sha256sig0 ; op1:x0; dest:x18; op1val:0x0; -li x0, 0x0 -sha256sig0 x18, x0 -sw x18, 88(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x18, 0x0000000000000000) - -inst_32: -// rs1_val == 18446744069414584319, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffeffffffff; -li x10, 0xfffffffeffffffff -sha256sig0 x11, x10 -sw x11, 96(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_33: -// rs1_val == 18446744071562067967, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffff7fffffff; -li x10, 0xffffffff7fffffff -sha256sig0 x11, x10 -sw x11, 104(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_34: -// rs1_val == 18446744072635809791, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffbfffffff; -li x10, 0xffffffffbfffffff -sha256sig0 x11, x10 -sw x11, 112(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_35: -// rs1_val == 18446744073172680703, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffdfffffff; -li x10, 0xffffffffdfffffff -sha256sig0 x11, x10 -sw x11, 120(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_36: -// rs1_val == 18446744073441116159, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffefffffff; -li x10, 0xffffffffefffffff -sha256sig0 x11, x10 -sw x11, 128(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_37: -// rs1_val == 18446744073575333887, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffff7ffffff; -li x10, 0xfffffffff7ffffff -sha256sig0 x11, x10 -sw x11, 136(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_38: -// rs1_val == 18446744073642442751, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffbffffff; -li x10, 0xfffffffffbffffff -sha256sig0 x11, x10 -sw x11, 144(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_39: -// rs1_val == 18446744073675997183, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffdffffff; -li x10, 0xfffffffffdffffff -sha256sig0 x11, x10 -sw x11, 152(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_40: -// rs1_val == 18446744073692774399, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffeffffff; -li x10, 0xfffffffffeffffff -sha256sig0 x11, x10 -sw x11, 160(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_41: -// rs1_val == 18446744073701163007, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffff7fffff; -li x10, 0xffffffffff7fffff -sha256sig0 x11, x10 -sw x11, 168(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_42: -// rs1_val == 18446744073705357311, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffffbfffff; -li x10, 0xffffffffffbfffff -sha256sig0 x11, x10 -sw x11, 176(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_43: -// rs1_val == 18446744073707454463, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffffdfffff; -li x10, 0xffffffffffdfffff -sha256sig0 x11, x10 -sw x11, 184(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_44: -// rs1_val == 18446744073708503039, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffffefffff; -li x10, 0xffffffffffefffff -sha256sig0 x11, x10 -sw x11, 192(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_45: -// rs1_val == 18446744073709027327, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffff7ffff; -li x10, 0xfffffffffff7ffff -sha256sig0 x11, x10 -sw x11, 200(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_46: -// rs1_val == 18446744073709289471, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffbffff; -li x10, 0xfffffffffffbffff -sha256sig0 x11, x10 -sw x11, 208(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_47: -// rs1_val == 18446744073709420543, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffdffff; -li x10, 0xfffffffffffdffff -sha256sig0 x11, x10 -sw x11, 216(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_48: -// rs1_val == 18446744073709486079, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffeffff; -li x10, 0xfffffffffffeffff -sha256sig0 x11, x10 -sw x11, 224(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_49: -// rs1_val == 18446744073709518847, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffffff7fff; -li x10, 0xffffffffffff7fff -sha256sig0 x11, x10 -sw x11, 232(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_50: -// rs1_val == 18446744073709535231, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffffffbfff; -li x10, 0xffffffffffffbfff -sha256sig0 x11, x10 -sw x11, 240(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_51: -// rs1_val == 18446744073709543423, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffffffdfff; -li x10, 0xffffffffffffdfff -sha256sig0 x11, x10 -sw x11, 248(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_52: -// rs1_val == 18446744073709547519, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffffffefff; -li x10, 0xffffffffffffefff -sha256sig0 x11, x10 -sw x11, 256(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_53: -// rs1_val == 18446744073709549567, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffff7ff; -li x10, 0xfffffffffffff7ff -sha256sig0 x11, x10 -sw x11, 264(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_54: -// rs1_val == 18446744073709550591, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffffbff; -li x10, 0xfffffffffffffbff -sha256sig0 x11, x10 -sw x11, 272(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_55: -// rs1_val == 18446744073709551103, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffffdff; -li x10, 0xfffffffffffffdff -sha256sig0 x11, x10 -sw x11, 280(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_56: -// rs1_val == 18446744073709551359, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffffeff; -li x10, 0xfffffffffffffeff -sha256sig0 x11, x10 -sw x11, 288(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_57: -// rs1_val == 18446744073709551487, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffffffff7f; -li x10, 0xffffffffffffff7f -sha256sig0 x11, x10 -sw x11, 296(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_58: -// rs1_val == 18446744073709551551, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffffffffbf; -li x10, 0xffffffffffffffbf -sha256sig0 x11, x10 -sw x11, 304(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_59: -// rs1_val == 18446744073709551583, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffffffffdf; -li x10, 0xffffffffffffffdf -sha256sig0 x11, x10 -sw x11, 312(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_60: -// rs1_val == 18446744073709551599, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffffffffffffffef; -li x10, 0xffffffffffffffef -sha256sig0 x11, x10 -sw x11, 320(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_61: -// rs1_val == 18446744073709551607, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffffff7; -li x10, 0xfffffffffffffff7 -sha256sig0 x11, x10 -sw x11, 328(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_62: -// rs1_val == 18446744073709551611, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffb; -li x10, 0xfffffffffffffffb -sha256sig0 x11, x10 -sw x11, 336(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_63: -// rs1_val == 18446744073709551613, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; -li x10, 0xfffffffffffffffd -sha256sig0 x11, x10 -sw x11, 344(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_64: -// rs1_val == 18446744073709551614, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; -li x10, 0xfffffffffffffffe -sha256sig0 x11, x10 -sw x11, 352(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_65: -// rs1_val == 9223372036854775808, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x8000000000000000; -li x10, 0x8000000000000000 -sha256sig0 x11, x10 -sw x11, 360(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_66: -// rs1_val == 4611686018427387904, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x4000000000000000; -li x10, 0x4000000000000000 -sha256sig0 x11, x10 -sw x11, 368(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_67: -// rs1_val == 2305843009213693952, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x2000000000000000; -li x10, 0x2000000000000000 -sha256sig0 x11, x10 -sw x11, 376(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_68: -// rs1_val == 1152921504606846976, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x1000000000000000; -li x10, 0x1000000000000000 -sha256sig0 x11, x10 -sw x11, 384(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_69: -// rs1_val == 576460752303423488, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x800000000000000; -li x10, 0x800000000000000 -sha256sig0 x11, x10 -sw x11, 392(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_70: -// rs1_val == 288230376151711744, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x400000000000000; -li x10, 0x400000000000000 -sha256sig0 x11, x10 -sw x11, 400(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_71: -// rs1_val == 144115188075855872, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x200000000000000; -li x10, 0x200000000000000 -sha256sig0 x11, x10 -sw x11, 408(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_72: -// rs1_val == 72057594037927936, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x100000000000000; -li x10, 0x100000000000000 -sha256sig0 x11, x10 -sw x11, 416(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_73: -// rs1_val == 36028797018963968, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x80000000000000; -li x10, 0x80000000000000 -sha256sig0 x11, x10 -sw x11, 424(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_74: -// rs1_val == 18014398509481984, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x40000000000000; -li x10, 0x40000000000000 -sha256sig0 x11, x10 -sw x11, 432(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_75: -// rs1_val == 9007199254740992, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x20000000000000; -li x10, 0x20000000000000 -sha256sig0 x11, x10 -sw x11, 440(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_76: -// rs1_val == 4503599627370496, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x10000000000000; -li x10, 0x10000000000000 -sha256sig0 x11, x10 -sw x11, 448(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_77: -// rs1_val == 2251799813685248, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x8000000000000; -li x10, 0x8000000000000 -sha256sig0 x11, x10 -sw x11, 456(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_78: -// rs1_val == 1125899906842624, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x4000000000000; -li x10, 0x4000000000000 -sha256sig0 x11, x10 -sw x11, 464(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_79: -// rs1_val == 562949953421312, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x2000000000000; -li x10, 0x2000000000000 -sha256sig0 x11, x10 -sw x11, 472(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_80: -// rs1_val == 281474976710656, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x1000000000000; -li x10, 0x1000000000000 -sha256sig0 x11, x10 -sw x11, 480(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_81: -// rs1_val == 140737488355328, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x800000000000; -li x10, 0x800000000000 -sha256sig0 x11, x10 -sw x11, 488(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_82: -// rs1_val == 70368744177664, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x400000000000; -li x10, 0x400000000000 -sha256sig0 x11, x10 -sw x11, 496(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_83: -// rs1_val == 35184372088832, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x200000000000; -li x10, 0x200000000000 -sha256sig0 x11, x10 -sw x11, 504(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_84: -// rs1_val == 17592186044416, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x100000000000; -li x10, 0x100000000000 -sha256sig0 x11, x10 -sw x11, 512(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_85: -// rs1_val == 1, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x1; -li x10, 0x1 -sha256sig0 x11, x10 -sw x11, 520(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_86: -// rs1_val == 0xb6f9706fb4f741aa -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xb6f9706fb4f741aa; -li x10, 0xb6f9706fb4f741aa -sha256sig0 x11, x10 -sw x11, 528(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_87: -// rs1_val == 0x40a5ff526f38a9c7 -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x40a5ff526f38a9c7; -li x10, 0x40a5ff526f38a9c7 -sha256sig0 x11, x10 -sw x11, 536(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_88: -// rs1_val == 0xd05668ae0fdb82bc -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xd05668ae0fdb82bc; -li x10, 0xd05668ae0fdb82bc -sha256sig0 x11, x10 -sw x11, 544(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_89: -// rs1_val == 0x9bedfe390d6ddd9d -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x9bedfe390d6ddd9d; -li x10, 0x9bedfe390d6ddd9d -sha256sig0 x11, x10 -sw x11, 552(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_90: -// rs1_val == 0xaa6bb2bde9ed477d -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xaa6bb2bde9ed477d; -li x10, 0xaa6bb2bde9ed477d -sha256sig0 x11, x10 -sw x11, 560(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_91: -// rs1_val == 0xd75739f82ac177c6 -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xd75739f82ac177c6; -li x10, 0xd75739f82ac177c6 -sha256sig0 x11, x10 -sw x11, 568(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_92: -// rs1_val == 0x299c3bcf90efb625 -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x299c3bcf90efb625; -li x10, 0x299c3bcf90efb625 -sha256sig0 x11, x10 -sw x11, 576(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_93: -// rs1_val == 0x9a4e9ef10171f4df -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x9a4e9ef10171f4df; -li x10, 0x9a4e9ef10171f4df -sha256sig0 x11, x10 -sw x11, 584(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_94: -// rs1_val == 0x1fc493caa371db42 -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x1fc493caa371db42; -li x10, 0x1fc493caa371db42 -sha256sig0 x11, x10 -sw x11, 592(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_95: -// rs1_val == 0xd169a3f8cad5e297 -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xd169a3f8cad5e297; -li x10, 0xd169a3f8cad5e297 -sha256sig0 x11, x10 -sw x11, 600(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_96: -// rs1_val == 0xf4c30307672f666d -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xf4c30307672f666d; -li x10, 0xf4c30307672f666d -sha256sig0 x11, x10 -sw x11, 608(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_97: -// rs1_val == 0xd5b9fe5cf69bdcf3 -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xd5b9fe5cf69bdcf3; -li x10, 0xd5b9fe5cf69bdcf3 -sha256sig0 x11, x10 -sw x11, 616(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_98: -// rs1_val == 0xa0569d765ebc64cb -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xa0569d765ebc64cb; -li x10, 0xa0569d765ebc64cb -sha256sig0 x11, x10 -sw x11, 624(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_99: -// rs1_val == 0xe4921bf73047c198 -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xe4921bf73047c198; -li x10, 0xe4921bf73047c198 -sha256sig0 x11, x10 -sw x11, 632(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_100: -// rs1_val == 0x2daf9ac7f5faf207 -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x2daf9ac7f5faf207; -li x10, 0x2daf9ac7f5faf207 -sha256sig0 x11, x10 -sw x11, 640(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_101: -// rs1_val == 0xfcc1b543c49cd65b -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfcc1b543c49cd65b; -li x10, 0xfcc1b543c49cd65b -sha256sig0 x11, x10 -sw x11, 648(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_102: -// rs1_val == 0x3459294ef273b44c -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x3459294ef273b44c; -li x10, 0x3459294ef273b44c -sha256sig0 x11, x10 -sw x11, 656(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_103: -// rs1_val == 0x436f40f274b8de87 -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x436f40f274b8de87; -li x10, 0x436f40f274b8de87 -sha256sig0 x11, x10 -sw x11, 664(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_104: -// rs1_val == 0xc5521660f3a3c571 -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xc5521660f3a3c571; -li x10, 0xc5521660f3a3c571 -sha256sig0 x11, x10 -sw x11, 672(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_105: -// rs1_val == 8796093022208, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x80000000000; -li x10, 0x80000000000 -sha256sig0 x11, x10 -sw x11, 680(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_106: -// rs1_val == 4398046511104, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x40000000000; -li x10, 0x40000000000 -sha256sig0 x11, x10 -sw x11, 688(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_107: -// rs1_val == 2199023255552, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x20000000000; -li x10, 0x20000000000 -sha256sig0 x11, x10 -sw x11, 696(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_108: -// rs1_val == 1099511627776, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x10000000000; -li x10, 0x10000000000 -sha256sig0 x11, x10 -sw x11, 704(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_109: -// rs1_val == 549755813888, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x8000000000; -li x10, 0x8000000000 -sha256sig0 x11, x10 -sw x11, 712(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_110: -// rs1_val == 274877906944, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x4000000000; -li x10, 0x4000000000 -sha256sig0 x11, x10 -sw x11, 720(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_111: -// rs1_val == 137438953472, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x2000000000; -li x10, 0x2000000000 -sha256sig0 x11, x10 -sw x11, 728(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_112: -// rs1_val == 68719476736, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x1000000000; -li x10, 0x1000000000 -sha256sig0 x11, x10 -sw x11, 736(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_113: -// rs1_val == 34359738368, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x800000000; -li x10, 0x800000000 -sha256sig0 x11, x10 -sw x11, 744(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_114: -// rs1_val == 17179869184, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x400000000; -li x10, 0x400000000 -sha256sig0 x11, x10 -sw x11, 752(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_115: -// rs1_val == 8589934592, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x200000000; -li x10, 0x200000000 -sha256sig0 x11, x10 -sw x11, 760(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_116: -// rs1_val == 4294967296, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x100000000; -li x10, 0x100000000 -sha256sig0 x11, x10 -sw x11, 768(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_117: -// rs1_val == 2147483648, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x80000000; -li x10, 0x80000000 -sha256sig0 x11, x10 -sw x11, 776(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_118: -// rs1_val == 1073741824, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x40000000; -li x10, 0x40000000 -sha256sig0 x11, x10 -sw x11, 784(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_119: -// rs1_val == 536870912, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x20000000; -li x10, 0x20000000 -sha256sig0 x11, x10 -sw x11, 792(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_120: -// rs1_val == 268435456, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x10000000; -li x10, 0x10000000 -sha256sig0 x11, x10 -sw x11, 800(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_121: -// rs1_val == 134217728, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x8000000; -li x10, 0x8000000 -sha256sig0 x11, x10 -sw x11, 808(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_122: -// rs1_val == 67108864, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x4000000; -li x10, 0x4000000 -sha256sig0 x11, x10 -sw x11, 816(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_123: -// rs1_val == 33554432, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x2000000; -li x10, 0x2000000 -sha256sig0 x11, x10 -sw x11, 824(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_124: -// rs1_val == 16777216, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x1000000; -li x10, 0x1000000 -sha256sig0 x11, x10 -sw x11, 832(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_125: -// rs1_val == 8388608, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x800000; -li x10, 0x800000 -sha256sig0 x11, x10 -sw x11, 840(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_126: -// rs1_val == 4194304, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x400000; -li x10, 0x400000 -sha256sig0 x11, x10 -sw x11, 848(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_127: -// rs1_val == 2097152, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x200000; -li x10, 0x200000 -sha256sig0 x11, x10 -sw x11, 856(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_128: -// rs1_val == 1048576, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x100000; -li x10, 0x100000 -sha256sig0 x11, x10 -sw x11, 864(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_129: -// rs1_val == 524288, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x80000; -li x10, 0x80000 -sha256sig0 x11, x10 -sw x11, 872(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_130: -// rs1_val == 262144, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x40000; -li x10, 0x40000 -sha256sig0 x11, x10 -sw x11, 880(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_131: -// rs1_val == 131072, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x20000; -li x10, 0x20000 -sha256sig0 x11, x10 -sw x11, 888(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_132: -// rs1_val == 65536, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x10000; -li x10, 0x10000 -sha256sig0 x11, x10 -sw x11, 896(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_133: -// rs1_val == 32768, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x8000; -li x10, 0x8000 -sha256sig0 x11, x10 -sw x11, 904(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_134: -// rs1_val == 16384, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x4000; -li x10, 0x4000 -sha256sig0 x11, x10 -sw x11, 912(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_135: -// rs1_val == 8192, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x2000; -li x10, 0x2000 -sha256sig0 x11, x10 -sw x11, 920(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_136: -// rs1_val == 4096, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x1000; -li x10, 0x1000 -sha256sig0 x11, x10 -sw x11, 928(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_137: -// rs1_val == 2048, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x800; -li x10, 0x800 -sha256sig0 x11, x10 -sw x11, 936(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_138: -// rs1_val == 1024, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x400; -li x10, 0x400 -sha256sig0 x11, x10 -sw x11, 944(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_139: -// rs1_val == 512, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x200; -li x10, 0x200 -sha256sig0 x11, x10 -sw x11, 952(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_140: -// rs1_val == 256, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x100; -li x10, 0x100 -sha256sig0 x11, x10 -sw x11, 960(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_141: -// rs1_val == 128, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x80; -li x10, 0x80 -sha256sig0 x11, x10 -sw x11, 968(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_142: -// rs1_val == 64, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x40; -li x10, 0x40 -sha256sig0 x11, x10 -sw x11, 976(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_143: -// rs1_val == 32, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x20; -li x10, 0x20 -sha256sig0 x11, x10 -sw x11, 984(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_144: -// rs1_val == 16, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x10; -li x10, 0x10 -sha256sig0 x11, x10 -sw x11, 992(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_145: -// rs1_val == 8, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x8; -li x10, 0x8 -sha256sig0 x11, x10 -sw x11, 1000(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_146: -// rs1_val == 4, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x4; -li x10, 0x4 -sha256sig0 x11, x10 -sw x11, 1008(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_147: -// rs1_val == 2, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0x2; -li x10, 0x2 -sha256sig0 x11, x10 -sw x11, 1016(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_148: -// rs1_val == 18428729675200069631, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xffbfffffffffffff; -li x10, 0xffbfffffffffffff -sha256sig0 x11, x10 -sw x11, 1024(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_149: -// rs1_val == 18446744065119617023, -// opcode: sha256sig0 ; op1:x10; dest:x11; op1val:0xfffffffdffffffff; -li x10, 0xfffffffdffffffff -sha256sig0 x11, x10 -sw x11, 1032(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 20*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 130*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp1.S deleted file mode 100644 index 48e3f05ba..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp1.S +++ /dev/null @@ -1,972 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha256sig0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha256sig0) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x3, x1, x2; -sha256sig0 x1, x3; -xor x4, x1, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x1,8); -RVTEST_SIGUPD(x31,x4,16); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -not x3, x2; -sha256sig0 x1, x3; -not x4, x1; -RVTEST_SIGUPD(x31,x3,24); -RVTEST_SIGUPD(x31,x1,32); -RVTEST_SIGUPD(x31,x4,40); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -add x3, x1, x2; -sha256sig0 x1, x3; -add x4, x1, x2; -RVTEST_SIGUPD(x31,x3,48); -RVTEST_SIGUPD(x31,x1,56); -RVTEST_SIGUPD(x31,x4,64); - -inst_1: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x4, x2, x3; -sha256sig0 x2, x4; -xor x5, x2, x3; -RVTEST_SIGUPD(x31,x4,72); -RVTEST_SIGUPD(x31,x2,80); -RVTEST_SIGUPD(x31,x5,88); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -not x4, x3; -sha256sig0 x2, x4; -not x5, x2; -RVTEST_SIGUPD(x31,x4,96); -RVTEST_SIGUPD(x31,x2,104); -RVTEST_SIGUPD(x31,x5,112); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -add x4, x2, x3; -sha256sig0 x2, x4; -add x5, x2, x3; -RVTEST_SIGUPD(x31,x4,120); -RVTEST_SIGUPD(x31,x2,128); -RVTEST_SIGUPD(x31,x5,136); - -inst_2: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x5, x3, x4; -sha256sig0 x3, x5; -xor x6, x3, x4; -RVTEST_SIGUPD(x31,x5,144); -RVTEST_SIGUPD(x31,x3,152); -RVTEST_SIGUPD(x31,x6,160); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -not x5, x4; -sha256sig0 x3, x5; -not x6, x3; -RVTEST_SIGUPD(x31,x5,168); -RVTEST_SIGUPD(x31,x3,176); -RVTEST_SIGUPD(x31,x6,184); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -add x5, x3, x4; -sha256sig0 x3, x5; -add x6, x3, x4; -RVTEST_SIGUPD(x31,x5,192); -RVTEST_SIGUPD(x31,x3,200); -RVTEST_SIGUPD(x31,x6,208); - -inst_3: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x6, x4, x5; -sha256sig0 x4, x6; -xor x7, x4, x5; -RVTEST_SIGUPD(x31,x6,216); -RVTEST_SIGUPD(x31,x4,224); -RVTEST_SIGUPD(x31,x7,232); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -not x6, x5; -sha256sig0 x4, x6; -not x7, x4; -RVTEST_SIGUPD(x31,x6,240); -RVTEST_SIGUPD(x31,x4,248); -RVTEST_SIGUPD(x31,x7,256); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -add x6, x4, x5; -sha256sig0 x4, x6; -add x7, x4, x5; -RVTEST_SIGUPD(x31,x6,264); -RVTEST_SIGUPD(x31,x4,272); -RVTEST_SIGUPD(x31,x7,280); - -inst_4: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x7, x5, x6; -sha256sig0 x5, x7; -xor x8, x5, x6; -RVTEST_SIGUPD(x31,x7,288); -RVTEST_SIGUPD(x31,x5,296); -RVTEST_SIGUPD(x31,x8,304); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -not x7, x6; -sha256sig0 x5, x7; -not x8, x5; -RVTEST_SIGUPD(x31,x7,312); -RVTEST_SIGUPD(x31,x5,320); -RVTEST_SIGUPD(x31,x8,328); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -add x7, x5, x6; -sha256sig0 x5, x7; -add x8, x5, x6; -RVTEST_SIGUPD(x31,x7,336); -RVTEST_SIGUPD(x31,x5,344); -RVTEST_SIGUPD(x31,x8,352); - -inst_5: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x8, x6, x7; -sha256sig0 x6, x8; -xor x9, x6, x7; -RVTEST_SIGUPD(x31,x8,360); -RVTEST_SIGUPD(x31,x6,368); -RVTEST_SIGUPD(x31,x9,376); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -not x8, x7; -sha256sig0 x6, x8; -not x9, x6; -RVTEST_SIGUPD(x31,x8,384); -RVTEST_SIGUPD(x31,x6,392); -RVTEST_SIGUPD(x31,x9,400); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -add x8, x6, x7; -sha256sig0 x6, x8; -add x9, x6, x7; -RVTEST_SIGUPD(x31,x8,408); -RVTEST_SIGUPD(x31,x6,416); -RVTEST_SIGUPD(x31,x9,424); - -inst_6: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x9, x7, x8; -sha256sig0 x7, x9; -xor x10, x7, x8; -RVTEST_SIGUPD(x31,x9,432); -RVTEST_SIGUPD(x31,x7,440); -RVTEST_SIGUPD(x31,x10,448); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -not x9, x8; -sha256sig0 x7, x9; -not x10, x7; -RVTEST_SIGUPD(x31,x9,456); -RVTEST_SIGUPD(x31,x7,464); -RVTEST_SIGUPD(x31,x10,472); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -add x9, x7, x8; -sha256sig0 x7, x9; -add x10, x7, x8; -RVTEST_SIGUPD(x31,x9,480); -RVTEST_SIGUPD(x31,x7,488); -RVTEST_SIGUPD(x31,x10,496); - -inst_7: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x10, x8, x9; -sha256sig0 x8, x10; -xor x11, x8, x9; -RVTEST_SIGUPD(x31,x10,504); -RVTEST_SIGUPD(x31,x8,512); -RVTEST_SIGUPD(x31,x11,520); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -not x10, x9; -sha256sig0 x8, x10; -not x11, x8; -RVTEST_SIGUPD(x31,x10,528); -RVTEST_SIGUPD(x31,x8,536); -RVTEST_SIGUPD(x31,x11,544); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -add x10, x8, x9; -sha256sig0 x8, x10; -add x11, x8, x9; -RVTEST_SIGUPD(x31,x10,552); -RVTEST_SIGUPD(x31,x8,560); -RVTEST_SIGUPD(x31,x11,568); - -inst_8: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x11, x9, x10; -sha256sig0 x9, x11; -xor x12, x9, x10; -RVTEST_SIGUPD(x31,x11,576); -RVTEST_SIGUPD(x31,x9,584); -RVTEST_SIGUPD(x31,x12,592); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -not x11, x10; -sha256sig0 x9, x11; -not x12, x9; -RVTEST_SIGUPD(x31,x11,600); -RVTEST_SIGUPD(x31,x9,608); -RVTEST_SIGUPD(x31,x12,616); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -add x11, x9, x10; -sha256sig0 x9, x11; -add x12, x9, x10; -RVTEST_SIGUPD(x31,x11,624); -RVTEST_SIGUPD(x31,x9,632); -RVTEST_SIGUPD(x31,x12,640); - -inst_9: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x12, x10, x11; -sha256sig0 x10, x12; -xor x13, x10, x11; -RVTEST_SIGUPD(x31,x12,648); -RVTEST_SIGUPD(x31,x10,656); -RVTEST_SIGUPD(x31,x13,664); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -not x12, x11; -sha256sig0 x10, x12; -not x13, x10; -RVTEST_SIGUPD(x31,x12,672); -RVTEST_SIGUPD(x31,x10,680); -RVTEST_SIGUPD(x31,x13,688); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -add x12, x10, x11; -sha256sig0 x10, x12; -add x13, x10, x11; -RVTEST_SIGUPD(x31,x12,696); -RVTEST_SIGUPD(x31,x10,704); -RVTEST_SIGUPD(x31,x13,712); - -inst_10: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x13, x11, x12; -sha256sig0 x11, x13; -xor x14, x11, x12; -RVTEST_SIGUPD(x31,x13,720); -RVTEST_SIGUPD(x31,x11,728); -RVTEST_SIGUPD(x31,x14,736); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -not x13, x12; -sha256sig0 x11, x13; -not x14, x11; -RVTEST_SIGUPD(x31,x13,744); -RVTEST_SIGUPD(x31,x11,752); -RVTEST_SIGUPD(x31,x14,760); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -add x13, x11, x12; -sha256sig0 x11, x13; -add x14, x11, x12; -RVTEST_SIGUPD(x31,x13,768); -RVTEST_SIGUPD(x31,x11,776); -RVTEST_SIGUPD(x31,x14,784); - -inst_11: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x14, x12, x13; -sha256sig0 x12, x14; -xor x15, x12, x13; -RVTEST_SIGUPD(x31,x14,792); -RVTEST_SIGUPD(x31,x12,800); -RVTEST_SIGUPD(x31,x15,808); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -not x14, x13; -sha256sig0 x12, x14; -not x15, x12; -RVTEST_SIGUPD(x31,x14,816); -RVTEST_SIGUPD(x31,x12,824); -RVTEST_SIGUPD(x31,x15,832); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -add x14, x12, x13; -sha256sig0 x12, x14; -add x15, x12, x13; -RVTEST_SIGUPD(x31,x14,840); -RVTEST_SIGUPD(x31,x12,848); -RVTEST_SIGUPD(x31,x15,856); - -inst_12: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x15, x13, x14; -sha256sig0 x13, x15; -xor x16, x13, x14; -RVTEST_SIGUPD(x31,x15,864); -RVTEST_SIGUPD(x31,x13,872); -RVTEST_SIGUPD(x31,x16,880); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -not x15, x14; -sha256sig0 x13, x15; -not x16, x13; -RVTEST_SIGUPD(x31,x15,888); -RVTEST_SIGUPD(x31,x13,896); -RVTEST_SIGUPD(x31,x16,904); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -add x15, x13, x14; -sha256sig0 x13, x15; -add x16, x13, x14; -RVTEST_SIGUPD(x31,x15,912); -RVTEST_SIGUPD(x31,x13,920); -RVTEST_SIGUPD(x31,x16,928); - -inst_13: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x16, x14, x15; -sha256sig0 x14, x16; -xor x17, x14, x15; -RVTEST_SIGUPD(x31,x16,936); -RVTEST_SIGUPD(x31,x14,944); -RVTEST_SIGUPD(x31,x17,952); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -not x16, x15; -sha256sig0 x14, x16; -not x17, x14; -RVTEST_SIGUPD(x31,x16,960); -RVTEST_SIGUPD(x31,x14,968); -RVTEST_SIGUPD(x31,x17,976); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -add x16, x14, x15; -sha256sig0 x14, x16; -add x17, x14, x15; -RVTEST_SIGUPD(x31,x16,984); -RVTEST_SIGUPD(x31,x14,992); -RVTEST_SIGUPD(x31,x17,1000); - -inst_14: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x17, x15, x16; -sha256sig0 x15, x17; -xor x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1008); -RVTEST_SIGUPD(x31,x15,1016); -RVTEST_SIGUPD(x31,x18,1024); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -not x17, x16; -sha256sig0 x15, x17; -not x18, x15; -RVTEST_SIGUPD(x31,x17,1032); -RVTEST_SIGUPD(x31,x15,1040); -RVTEST_SIGUPD(x31,x18,1048); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -add x17, x15, x16; -sha256sig0 x15, x17; -add x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1056); -RVTEST_SIGUPD(x31,x15,1064); -RVTEST_SIGUPD(x31,x18,1072); - -inst_15: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x18, x16, x17; -sha256sig0 x16, x18; -xor x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1080); -RVTEST_SIGUPD(x31,x16,1088); -RVTEST_SIGUPD(x31,x19,1096); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -not x18, x17; -sha256sig0 x16, x18; -not x19, x16; -RVTEST_SIGUPD(x31,x18,1104); -RVTEST_SIGUPD(x31,x16,1112); -RVTEST_SIGUPD(x31,x19,1120); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -add x18, x16, x17; -sha256sig0 x16, x18; -add x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1128); -RVTEST_SIGUPD(x31,x16,1136); -RVTEST_SIGUPD(x31,x19,1144); - -inst_16: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x19, x17, x18; -sha256sig0 x17, x19; -xor x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1152); -RVTEST_SIGUPD(x31,x17,1160); -RVTEST_SIGUPD(x31,x20,1168); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -not x19, x18; -sha256sig0 x17, x19; -not x20, x17; -RVTEST_SIGUPD(x31,x19,1176); -RVTEST_SIGUPD(x31,x17,1184); -RVTEST_SIGUPD(x31,x20,1192); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -add x19, x17, x18; -sha256sig0 x17, x19; -add x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1200); -RVTEST_SIGUPD(x31,x17,1208); -RVTEST_SIGUPD(x31,x20,1216); - -inst_17: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x20, x18, x19; -sha256sig0 x18, x20; -xor x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1224); -RVTEST_SIGUPD(x31,x18,1232); -RVTEST_SIGUPD(x31,x21,1240); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -not x20, x19; -sha256sig0 x18, x20; -not x21, x18; -RVTEST_SIGUPD(x31,x20,1248); -RVTEST_SIGUPD(x31,x18,1256); -RVTEST_SIGUPD(x31,x21,1264); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -add x20, x18, x19; -sha256sig0 x18, x20; -add x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1272); -RVTEST_SIGUPD(x31,x18,1280); -RVTEST_SIGUPD(x31,x21,1288); - -inst_18: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x21, x19, x20; -sha256sig0 x19, x21; -xor x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1296); -RVTEST_SIGUPD(x31,x19,1304); -RVTEST_SIGUPD(x31,x22,1312); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -not x21, x20; -sha256sig0 x19, x21; -not x22, x19; -RVTEST_SIGUPD(x31,x21,1320); -RVTEST_SIGUPD(x31,x19,1328); -RVTEST_SIGUPD(x31,x22,1336); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -add x21, x19, x20; -sha256sig0 x19, x21; -add x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1344); -RVTEST_SIGUPD(x31,x19,1352); -RVTEST_SIGUPD(x31,x22,1360); - -inst_19: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x22, x20, x21; -sha256sig0 x20, x22; -xor x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1368); -RVTEST_SIGUPD(x31,x20,1376); -RVTEST_SIGUPD(x31,x23,1384); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -not x22, x21; -sha256sig0 x20, x22; -not x23, x20; -RVTEST_SIGUPD(x31,x22,1392); -RVTEST_SIGUPD(x31,x20,1400); -RVTEST_SIGUPD(x31,x23,1408); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -add x22, x20, x21; -sha256sig0 x20, x22; -add x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1416); -RVTEST_SIGUPD(x31,x20,1424); -RVTEST_SIGUPD(x31,x23,1432); - -inst_20: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x23, x21, x22; -sha256sig0 x21, x23; -xor x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1440); -RVTEST_SIGUPD(x31,x21,1448); -RVTEST_SIGUPD(x31,x24,1456); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -not x23, x22; -sha256sig0 x21, x23; -not x24, x21; -RVTEST_SIGUPD(x31,x23,1464); -RVTEST_SIGUPD(x31,x21,1472); -RVTEST_SIGUPD(x31,x24,1480); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -add x23, x21, x22; -sha256sig0 x21, x23; -add x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1488); -RVTEST_SIGUPD(x31,x21,1496); -RVTEST_SIGUPD(x31,x24,1504); - -inst_21: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x24, x22, x23; -sha256sig0 x22, x24; -xor x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1512); -RVTEST_SIGUPD(x31,x22,1520); -RVTEST_SIGUPD(x31,x25,1528); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -not x24, x23; -sha256sig0 x22, x24; -not x25, x22; -RVTEST_SIGUPD(x31,x24,1536); -RVTEST_SIGUPD(x31,x22,1544); -RVTEST_SIGUPD(x31,x25,1552); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -add x24, x22, x23; -sha256sig0 x22, x24; -add x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1560); -RVTEST_SIGUPD(x31,x22,1568); -RVTEST_SIGUPD(x31,x25,1576); - -inst_22: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x25, x23, x24; -sha256sig0 x23, x25; -xor x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1584); -RVTEST_SIGUPD(x31,x23,1592); -RVTEST_SIGUPD(x31,x26,1600); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -not x25, x24; -sha256sig0 x23, x25; -not x26, x23; -RVTEST_SIGUPD(x31,x25,1608); -RVTEST_SIGUPD(x31,x23,1616); -RVTEST_SIGUPD(x31,x26,1624); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -add x25, x23, x24; -sha256sig0 x23, x25; -add x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1632); -RVTEST_SIGUPD(x31,x23,1640); -RVTEST_SIGUPD(x31,x26,1648); - -inst_23: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x26, x24, x25; -sha256sig0 x24, x26; -xor x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1656); -RVTEST_SIGUPD(x31,x24,1664); -RVTEST_SIGUPD(x31,x27,1672); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -not x26, x25; -sha256sig0 x24, x26; -not x27, x24; -RVTEST_SIGUPD(x31,x26,1680); -RVTEST_SIGUPD(x31,x24,1688); -RVTEST_SIGUPD(x31,x27,1696); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -add x26, x24, x25; -sha256sig0 x24, x26; -add x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1704); -RVTEST_SIGUPD(x31,x24,1712); -RVTEST_SIGUPD(x31,x27,1720); - -inst_24: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x27, x25, x26; -sha256sig0 x25, x27; -xor x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1728); -RVTEST_SIGUPD(x31,x25,1736); -RVTEST_SIGUPD(x31,x28,1744); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -not x27, x26; -sha256sig0 x25, x27; -not x28, x25; -RVTEST_SIGUPD(x31,x27,1752); -RVTEST_SIGUPD(x31,x25,1760); -RVTEST_SIGUPD(x31,x28,1768); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -add x27, x25, x26; -sha256sig0 x25, x27; -add x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1776); -RVTEST_SIGUPD(x31,x25,1784); -RVTEST_SIGUPD(x31,x28,1792); - -inst_25: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x28, x26, x27; -sha256sig0 x26, x28; -xor x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1800); -RVTEST_SIGUPD(x31,x26,1808); -RVTEST_SIGUPD(x31,x29,1816); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -not x28, x27; -sha256sig0 x26, x28; -not x29, x26; -RVTEST_SIGUPD(x31,x28,1824); -RVTEST_SIGUPD(x31,x26,1832); -RVTEST_SIGUPD(x31,x29,1840); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -add x28, x26, x27; -sha256sig0 x26, x28; -add x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1848); -RVTEST_SIGUPD(x31,x26,1856); -RVTEST_SIGUPD(x31,x29,1864); - -inst_26: -// Forwarded xor into sha256sig0 & the result back into xor -// opcode: sha256sig0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -xor x29, x27, x28; -sha256sig0 x27, x29; -xor x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1872); -RVTEST_SIGUPD(x31,x27,1880); -RVTEST_SIGUPD(x31,x30,1888); - -// Forwarded not into sha256sig0 & the result back into not -// opcode: sha256sig0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -not x29, x28; -sha256sig0 x27, x29; -not x30, x27; -RVTEST_SIGUPD(x31,x29,1896); -RVTEST_SIGUPD(x31,x27,1904); -RVTEST_SIGUPD(x31,x30,1912); - -// Forwarded add into sha256sig0 & the result back into add -// opcode: sha256sig0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -add x29, x27, x28; -sha256sig0 x27, x29; -add x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1920); -RVTEST_SIGUPD(x31,x27,1928); -RVTEST_SIGUPD(x31,x30,1936); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 243*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp2.S deleted file mode 100644 index cd1f76563..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp2.S +++ /dev/null @@ -1,307 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha256sig0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha256sig0) - -RVTEST_SIGBASE( x31,signature_x31_1) - -la x1, rvtest_data - -inst_0: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x2; dest1:x3; -LREG x2, 0(x1); -sha256sig0 x3, x2; -RVTEST_SIGUPD(x31,x2,0); -RVTEST_SIGUPD(x31,x3,8); - -inst_1: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x3; dest1:x4; -LREG x3, 8(x1); -sha256sig0 x4, x3; -RVTEST_SIGUPD(x31,x3,16); -RVTEST_SIGUPD(x31,x4,24); - -inst_2: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x4; dest1:x5; -LREG x4, 16(x1); -sha256sig0 x5, x4; -RVTEST_SIGUPD(x31,x4,32); -RVTEST_SIGUPD(x31,x5,40); - -inst_3: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x5; dest1:x6; -LREG x5, 24(x1); -sha256sig0 x6, x5; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); - -inst_4: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x6; dest1:x7; -LREG x6, 32(x1); -sha256sig0 x7, x6; -RVTEST_SIGUPD(x31,x6,64); -RVTEST_SIGUPD(x31,x7,72); - -inst_5: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x7; dest1:x8; -LREG x7, 40(x1); -sha256sig0 x8, x7; -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_6: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x8; dest1:x9; -LREG x8, 48(x1); -sha256sig0 x9, x8; -RVTEST_SIGUPD(x31,x8,96); -RVTEST_SIGUPD(x31,x9,104); - -inst_7: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x9; dest1:x10; -LREG x9, 56(x1); -sha256sig0 x10, x9; -RVTEST_SIGUPD(x31,x9,112); -RVTEST_SIGUPD(x31,x10,120); - -inst_8: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x10; dest1:x11; -LREG x10, 64(x1); -sha256sig0 x11, x10; -RVTEST_SIGUPD(x31,x10,128); -RVTEST_SIGUPD(x31,x11,136); - -inst_9: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x11; dest1:x12; -LREG x11, 72(x1); -sha256sig0 x12, x11; -RVTEST_SIGUPD(x31,x11,144); -RVTEST_SIGUPD(x31,x12,152); - -inst_10: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x12; dest1:x13; -LREG x12, 80(x1); -sha256sig0 x13, x12; -RVTEST_SIGUPD(x31,x12,160); -RVTEST_SIGUPD(x31,x13,168); - -inst_11: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x13; dest1:x14; -LREG x13, 88(x1); -sha256sig0 x14, x13; -RVTEST_SIGUPD(x31,x13,176); -RVTEST_SIGUPD(x31,x14,184); - -inst_12: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x14; dest1:x15; -LREG x14, 96(x1); -sha256sig0 x15, x14; -RVTEST_SIGUPD(x31,x14,192); -RVTEST_SIGUPD(x31,x15,200); - -inst_13: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x15; dest1:x16; -LREG x15, 104(x1); -sha256sig0 x16, x15; -RVTEST_SIGUPD(x31,x15,208); -RVTEST_SIGUPD(x31,x16,216); - -inst_14: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x16; dest1:x17; -LREG x16, 112(x1); -sha256sig0 x17, x16; -RVTEST_SIGUPD(x31,x16,224); -RVTEST_SIGUPD(x31,x17,232); - -inst_15: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x17; dest1:x18; -LREG x17, 120(x1); -sha256sig0 x18, x17; -RVTEST_SIGUPD(x31,x17,240); -RVTEST_SIGUPD(x31,x18,248); - -inst_16: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x18; dest1:x19; -LREG x18, 128(x1); -sha256sig0 x19, x18; -RVTEST_SIGUPD(x31,x18,256); -RVTEST_SIGUPD(x31,x19,264); - -inst_17: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x19; dest1:x20; -LREG x19, 136(x1); -sha256sig0 x20, x19; -RVTEST_SIGUPD(x31,x19,272); -RVTEST_SIGUPD(x31,x20,280); - -inst_18: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x20; dest1:x21; -LREG x20, 144(x1); -sha256sig0 x21, x20; -RVTEST_SIGUPD(x31,x20,288); -RVTEST_SIGUPD(x31,x21,296); - -inst_19: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x21; dest1:x22; -LREG x21, 152(x1); -sha256sig0 x22, x21; -RVTEST_SIGUPD(x31,x21,304); -RVTEST_SIGUPD(x31,x22,312); - -inst_20: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x22; dest1:x23; -LREG x22, 160(x1); -sha256sig0 x23, x22; -RVTEST_SIGUPD(x31,x22,320); -RVTEST_SIGUPD(x31,x23,328); - -inst_21: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x23; dest1:x24; -LREG x23, 168(x1); -sha256sig0 x24, x23; -RVTEST_SIGUPD(x31,x23,336); -RVTEST_SIGUPD(x31,x24,344); - -inst_22: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x24; dest1:x25; -LREG x24, 176(x1); -sha256sig0 x25, x24; -RVTEST_SIGUPD(x31,x24,352); -RVTEST_SIGUPD(x31,x25,360); - -inst_23: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x25; dest1:x26; -LREG x25, 184(x1); -sha256sig0 x26, x25; -RVTEST_SIGUPD(x31,x25,368); -RVTEST_SIGUPD(x31,x26,376); - -inst_24: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x26; dest1:x27; -LREG x26, 192(x1); -sha256sig0 x27, x26; -RVTEST_SIGUPD(x31,x26,384); -RVTEST_SIGUPD(x31,x27,392); - -inst_25: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x27; dest1:x28; -LREG x27, 200(x1); -sha256sig0 x28, x27; -RVTEST_SIGUPD(x31,x27,400); -RVTEST_SIGUPD(x31,x28,408); - -inst_26: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x28; dest1:x29; -LREG x28, 208(x1); -sha256sig0 x29, x28; -RVTEST_SIGUPD(x31,x28,416); -RVTEST_SIGUPD(x31,x29,424); - -inst_27: -// Checking load-to-use hazard! -// opcode: sha256sig0; op1:x29; dest1:x30; -LREG x29, 216(x1); -sha256sig0 x30, x29; -RVTEST_SIGUPD(x31,x29,432); -RVTEST_SIGUPD(x31,x30,440); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.dword 0x08577eb1924770d3 -.dword 0x93fdcab87b89296c -.dword 0xd2d6b8777dc59a3a -.dword 0xcf84b683a749f9c5 -.dword 0x854a965708ceac39 -.dword 0x137a977753e8eb43 -.dword 0x5c74e45eff1e5bef -.dword 0xdc3383836b9f15c4 -.dword 0x5ae6a2289a6ab329 -.dword 0x432779eeacca7f0d -.dword 0xaf949e5e2cb7362c -.dword 0x5cd2875ea96ec2b3 -.dword 0x9d02fc90708cc1b6 -.dword 0x953b00b00b54aa22 -.dword 0x224c06013c53d0e3 -.dword 0xe8dac663f0e58650 -.dword 0x3d7c95f9e5f0307e -.dword 0x8c8a18b2aaac3142 -.dword 0x785036de6f9fb997 -.dword 0x95a4d257a7298c66 -.dword 0x807da245d814d575 -.dword 0x3d06143769b1dcbf -.dword 0x7f21682208208d09 -.dword 0x14b91c79dae98554 -.dword 0xc5ec6148c6880007 -.dword 0x7213516d6a013380 -.dword 0x4652f62dae4839a1 -.dword 0x85986adb9e044706 -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 56*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-01.S deleted file mode 100644 index be943441c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-01.S +++ /dev/null @@ -1,1282 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sha256sig1 instruction of the RISC-V extension for the sha256sig1 covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",sha256sig1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",sha256sig1) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKnh.*);def TEST_CASE_1=True;",sha256sig1) - -RVTEST_SIGBASE( x13,signature_x13_1) - -inst_0: -// rs1 != rd, rs1==x5, rd==x29, rs1_val == 0x75a3adb3254a9493 -// opcode: sha256sig1 ; op1:x5; dest:x29; op1val:0x75a3adb3254a9493; -li x5, 0x75a3adb3254a9493 -sha256sig1 x29, x5 -sw x29, 0(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x29, 0x0000000000000000) - -inst_1: -// rs1 == rd, rs1==x26, rd==x26, rs1_val == 9223372036854775807, -// opcode: sha256sig1 ; op1:x26; dest:x26; op1val:0x7fffffffffffffff; -li x26, 0x7fffffffffffffff -sha256sig1 x26, x26 -sw x26, 8(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x26, 0x0000000000000000) - -inst_2: -// rs1==x1, rd==x18, rs1_val == 13835058055282163711, -// opcode: sha256sig1 ; op1:x1; dest:x18; op1val:0xbfffffffffffffff; -li x1, 0xbfffffffffffffff -sha256sig1 x18, x1 -sw x18, 16(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x18, 0x0000000000000000) - -inst_3: -// rs1==x24, rd==x10, rs1_val == 16140901064495857663, -// opcode: sha256sig1 ; op1:x24; dest:x10; op1val:0xdfffffffffffffff; -li x24, 0xdfffffffffffffff -sha256sig1 x10, x24 -sw x10, 24(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x10, 0x0000000000000000) - -inst_4: -// rs1==x6, rd==x14, rs1_val == 17293822569102704639, -// opcode: sha256sig1 ; op1:x6; dest:x14; op1val:0xefffffffffffffff; -li x6, 0xefffffffffffffff -sha256sig1 x14, x6 -sw x14, 32(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x14, 0x0000000000000000) - -inst_5: -// rs1==x20, rd==x8, rs1_val == 17870283321406128127, -// opcode: sha256sig1 ; op1:x20; dest:x8; op1val:0xf7ffffffffffffff; -li x20, 0xf7ffffffffffffff -sha256sig1 x8, x20 -sw x8, 40(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x8, 0x0000000000000000) - -inst_6: -// rs1==x7, rd==x11, rs1_val == 18158513697557839871, -// opcode: sha256sig1 ; op1:x7; dest:x11; op1val:0xfbffffffffffffff; -li x7, 0xfbffffffffffffff -sha256sig1 x11, x7 -sw x11, 48(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x11, 0x0000000000000000) - -inst_7: -// rs1==x9, rd==x1, rs1_val == 18302628885633695743, -// opcode: sha256sig1 ; op1:x9; dest:x1; op1val:0xfdffffffffffffff; -li x9, 0xfdffffffffffffff -sha256sig1 x1, x9 -sw x1, 56(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x1, 0x0000000000000000) - -inst_8: -// rs1==x29, rd==x24, rs1_val == 18374686479671623679, -// opcode: sha256sig1 ; op1:x29; dest:x24; op1val:0xfeffffffffffffff; -li x29, 0xfeffffffffffffff -sha256sig1 x24, x29 -sw x24, 64(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x24, 0x0000000000000000) - -inst_9: -// rs1==x28, rd==x23, rs1_val == 18410715276690587647, -// opcode: sha256sig1 ; op1:x28; dest:x23; op1val:0xff7fffffffffffff; -li x28, 0xff7fffffffffffff -sha256sig1 x23, x28 -sw x23, 72(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x23, 0x0000000000000000) - -inst_10: -// rs1==x30, rd==x25, rs1_val == 18428729675200069631, -// opcode: sha256sig1 ; op1:x30; dest:x25; op1val:0xffbfffffffffffff; -li x30, 0xffbfffffffffffff -sha256sig1 x25, x30 -sw x25, 80(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x25, 0x0000000000000000) - -inst_11: -// rs1==x11, rd==x30, rs1_val == 18437736874454810623, -// opcode: sha256sig1 ; op1:x11; dest:x30; op1val:0xffdfffffffffffff; -li x11, 0xffdfffffffffffff -sha256sig1 x30, x11 -sw x30, 88(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x30, 0x0000000000000000) - -inst_12: -// rs1==x2, rd==x19, rs1_val == 18442240474082181119, -// opcode: sha256sig1 ; op1:x2; dest:x19; op1val:0xffefffffffffffff; -li x2, 0xffefffffffffffff -sha256sig1 x19, x2 -sw x19, 96(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x19, 0x0000000000000000) - -inst_13: -// rs1==x21, rd==x20, rs1_val == 18444492273895866367, -// opcode: sha256sig1 ; op1:x21; dest:x20; op1val:0xfff7ffffffffffff; -li x21, 0xfff7ffffffffffff -sha256sig1 x20, x21 -sw x20, 104(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x20, 0x0000000000000000) - -inst_14: -// rs1==x12, rd==x16, rs1_val == 18445618173802708991, -// opcode: sha256sig1 ; op1:x12; dest:x16; op1val:0xfffbffffffffffff; -li x12, 0xfffbffffffffffff -sha256sig1 x16, x12 -sw x16, 112(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x16, 0x0000000000000000) - -inst_15: -// rs1==x8, rd==x4, rs1_val == 18446181123756130303, -// opcode: sha256sig1 ; op1:x8; dest:x4; op1val:0xfffdffffffffffff; -li x8, 0xfffdffffffffffff -sha256sig1 x4, x8 -sw x4, 120(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x4, 0x0000000000000000) - -inst_16: -// rs1==x0, rd==x7, rs1_val == 18446462598732840959, -// opcode: sha256sig1 ; op1:x0; dest:x7; op1val:0x0; -li x0, 0x0 -sha256sig1 x7, x0 -sw x7, 128(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x7, 0x0000000000000000) - -inst_17: -// rs1==x18, rd==x3, rs1_val == 18446603336221196287, -// opcode: sha256sig1 ; op1:x18; dest:x3; op1val:0xffff7fffffffffff; -li x18, 0xffff7fffffffffff -sha256sig1 x3, x18 -sw x3, 136(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x3, 0x0000000000000000) - -inst_18: -// rs1==x4, rd==x28, rs1_val == 18446673704965373951, -// opcode: sha256sig1 ; op1:x4; dest:x28; op1val:0xffffbfffffffffff; -li x4, 0xffffbfffffffffff -sha256sig1 x28, x4 -sw x28, 144(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x28, 0x0000000000000000) - -inst_19: -// rs1==x15, rd==x31, rs1_val == 18446708889337462783, -// opcode: sha256sig1 ; op1:x15; dest:x31; op1val:0xffffdfffffffffff; -li x15, 0xffffdfffffffffff -sha256sig1 x31, x15 -sw x31, 152(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x17, x31, 0x0000000000000000) - -inst_20: -// rs1==x16, rd==x22, rs1_val == 18446726481523507199, -// opcode: sha256sig1 ; op1:x16; dest:x22; op1val:0xffffefffffffffff; -li x16, 0xffffefffffffffff -sha256sig1 x22, x16 -sw x22, 160(x13) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x22, 0x0000000000000000) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_21: -// rs1==x27, rd==x6, rs1_val == 18446735277616529407, -// opcode: sha256sig1 ; op1:x27; dest:x6; op1val:0xfffff7ffffffffff; -li x27, 0xfffff7ffffffffff -sha256sig1 x6, x27 -sw x6, 0(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x6, 0x0000000000000000) - -inst_22: -// rs1==x14, rd==x2, rs1_val == 18446739675663040511, -// opcode: sha256sig1 ; op1:x14; dest:x2; op1val:0xfffffbffffffffff; -li x14, 0xfffffbffffffffff -sha256sig1 x2, x14 -sw x2, 8(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x2, 0x0000000000000000) - -inst_23: -// rs1==x3, rd==x13, rs1_val == 18446741874686296063, -// opcode: sha256sig1 ; op1:x3; dest:x13; op1val:0xfffffdffffffffff; -li x3, 0xfffffdffffffffff -sha256sig1 x13, x3 -sw x13, 16(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x13, 0x0000000000000000) - -inst_24: -// rs1==x13, rd==x17, rs1_val == 18446742974197923839, -// opcode: sha256sig1 ; op1:x13; dest:x17; op1val:0xfffffeffffffffff; -li x13, 0xfffffeffffffffff -sha256sig1 x17, x13 -sw x17, 24(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x17, 0x0000000000000000) - -inst_25: -// rs1==x19, rd==x15, rs1_val == 18446743523953737727, -// opcode: sha256sig1 ; op1:x19; dest:x15; op1val:0xffffff7fffffffff; -li x19, 0xffffff7fffffffff -sha256sig1 x15, x19 -sw x15, 32(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x15, 0x0000000000000000) - -inst_26: -// rs1==x23, rd==x9, rs1_val == 18446743798831644671, -// opcode: sha256sig1 ; op1:x23; dest:x9; op1val:0xffffffbfffffffff; -li x23, 0xffffffbfffffffff -sha256sig1 x9, x23 -sw x9, 40(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x9, 0x0000000000000000) - -inst_27: -// rs1==x10, rd==x27, rs1_val == 18446743936270598143, -// opcode: sha256sig1 ; op1:x10; dest:x27; op1val:0xffffffdfffffffff; -li x10, 0xffffffdfffffffff -sha256sig1 x27, x10 -sw x27, 48(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x27, 0x0000000000000000) - -inst_28: -// rs1==x31, rd==x5, rs1_val == 18446744004990074879, -// opcode: sha256sig1 ; op1:x31; dest:x5; op1val:0xffffffefffffffff; -li x31, 0xffffffefffffffff -sha256sig1 x5, x31 -sw x5, 56(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x5, 0x0000000000000000) - -inst_29: -// rs1==x25, rd==x21, rs1_val == 18446744039349813247, -// opcode: sha256sig1 ; op1:x25; dest:x21; op1val:0xfffffff7ffffffff; -li x25, 0xfffffff7ffffffff -sha256sig1 x21, x25 -sw x21, 64(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x21, 0x0000000000000000) - -inst_30: -// rs1==x22, rd==x0, rs1_val == 18446744056529682431, -// opcode: sha256sig1 ; op1:x22; dest:x0; op1val:0xfffffffbffffffff; -li x22, 0xfffffffbffffffff -sha256sig1 x0, x22 -sw x0, 72(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x0, 0x0000000000000000) - -inst_31: -// rs1==x17, rd==x12, rs1_val == 18446744065119617023, -// opcode: sha256sig1 ; op1:x17; dest:x12; op1val:0xfffffffdffffffff; -li x17, 0xfffffffdffffffff -sha256sig1 x12, x17 -sw x12, 80(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x12, 0x0000000000000000) - -inst_32: -// rs1_val == 18446744069414584319, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffeffffffff; -li x10, 0xfffffffeffffffff -sha256sig1 x11, x10 -sw x11, 88(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_33: -// rs1_val == 18446744071562067967, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffff7fffffff; -li x10, 0xffffffff7fffffff -sha256sig1 x11, x10 -sw x11, 96(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_34: -// rs1_val == 18446744072635809791, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffbfffffff; -li x10, 0xffffffffbfffffff -sha256sig1 x11, x10 -sw x11, 104(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_35: -// rs1_val == 18446744073172680703, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffdfffffff; -li x10, 0xffffffffdfffffff -sha256sig1 x11, x10 -sw x11, 112(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_36: -// rs1_val == 18446744073441116159, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffefffffff; -li x10, 0xffffffffefffffff -sha256sig1 x11, x10 -sw x11, 120(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_37: -// rs1_val == 18446744073575333887, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffff7ffffff; -li x10, 0xfffffffff7ffffff -sha256sig1 x11, x10 -sw x11, 128(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_38: -// rs1_val == 18446744073642442751, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffbffffff; -li x10, 0xfffffffffbffffff -sha256sig1 x11, x10 -sw x11, 136(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_39: -// rs1_val == 18446744073675997183, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffdffffff; -li x10, 0xfffffffffdffffff -sha256sig1 x11, x10 -sw x11, 144(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_40: -// rs1_val == 18446744073692774399, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffeffffff; -li x10, 0xfffffffffeffffff -sha256sig1 x11, x10 -sw x11, 152(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_41: -// rs1_val == 18446744073701163007, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffff7fffff; -li x10, 0xffffffffff7fffff -sha256sig1 x11, x10 -sw x11, 160(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_42: -// rs1_val == 18446744073705357311, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffffbfffff; -li x10, 0xffffffffffbfffff -sha256sig1 x11, x10 -sw x11, 168(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_43: -// rs1_val == 18446744073707454463, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffffdfffff; -li x10, 0xffffffffffdfffff -sha256sig1 x11, x10 -sw x11, 176(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_44: -// rs1_val == 18446744073708503039, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffffefffff; -li x10, 0xffffffffffefffff -sha256sig1 x11, x10 -sw x11, 184(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_45: -// rs1_val == 18446744073709027327, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffff7ffff; -li x10, 0xfffffffffff7ffff -sha256sig1 x11, x10 -sw x11, 192(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_46: -// rs1_val == 18446744073709289471, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffbffff; -li x10, 0xfffffffffffbffff -sha256sig1 x11, x10 -sw x11, 200(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_47: -// rs1_val == 18446744073709420543, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffdffff; -li x10, 0xfffffffffffdffff -sha256sig1 x11, x10 -sw x11, 208(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_48: -// rs1_val == 18446744073709486079, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffeffff; -li x10, 0xfffffffffffeffff -sha256sig1 x11, x10 -sw x11, 216(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_49: -// rs1_val == 18446744073709518847, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffffff7fff; -li x10, 0xffffffffffff7fff -sha256sig1 x11, x10 -sw x11, 224(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_50: -// rs1_val == 18446744073709535231, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffffffbfff; -li x10, 0xffffffffffffbfff -sha256sig1 x11, x10 -sw x11, 232(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_51: -// rs1_val == 18446744073709543423, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffffffdfff; -li x10, 0xffffffffffffdfff -sha256sig1 x11, x10 -sw x11, 240(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_52: -// rs1_val == 18446744073709547519, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffffffefff; -li x10, 0xffffffffffffefff -sha256sig1 x11, x10 -sw x11, 248(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_53: -// rs1_val == 18446744073709549567, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffff7ff; -li x10, 0xfffffffffffff7ff -sha256sig1 x11, x10 -sw x11, 256(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_54: -// rs1_val == 18446744073709550591, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffffbff; -li x10, 0xfffffffffffffbff -sha256sig1 x11, x10 -sw x11, 264(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_55: -// rs1_val == 18446744073709551103, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffffdff; -li x10, 0xfffffffffffffdff -sha256sig1 x11, x10 -sw x11, 272(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_56: -// rs1_val == 18446744073709551359, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffffeff; -li x10, 0xfffffffffffffeff -sha256sig1 x11, x10 -sw x11, 280(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_57: -// rs1_val == 18446744073709551487, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffffffff7f; -li x10, 0xffffffffffffff7f -sha256sig1 x11, x10 -sw x11, 288(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_58: -// rs1_val == 18446744073709551551, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffffffffbf; -li x10, 0xffffffffffffffbf -sha256sig1 x11, x10 -sw x11, 296(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_59: -// rs1_val == 18446744073709551583, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffffffffdf; -li x10, 0xffffffffffffffdf -sha256sig1 x11, x10 -sw x11, 304(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_60: -// rs1_val == 18446744073709551599, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xffffffffffffffef; -li x10, 0xffffffffffffffef -sha256sig1 x11, x10 -sw x11, 312(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_61: -// rs1_val == 18446744073709551607, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffffff7; -li x10, 0xfffffffffffffff7 -sha256sig1 x11, x10 -sw x11, 320(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_62: -// rs1_val == 18446744073709551611, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffb; -li x10, 0xfffffffffffffffb -sha256sig1 x11, x10 -sw x11, 328(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_63: -// rs1_val == 18446744073709551613, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; -li x10, 0xfffffffffffffffd -sha256sig1 x11, x10 -sw x11, 336(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_64: -// rs1_val == 18446744073709551614, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; -li x10, 0xfffffffffffffffe -sha256sig1 x11, x10 -sw x11, 344(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_65: -// rs1_val == 9223372036854775808, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x8000000000000000; -li x10, 0x8000000000000000 -sha256sig1 x11, x10 -sw x11, 352(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_66: -// rs1_val == 4611686018427387904, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x4000000000000000; -li x10, 0x4000000000000000 -sha256sig1 x11, x10 -sw x11, 360(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_67: -// rs1_val == 2305843009213693952, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x2000000000000000; -li x10, 0x2000000000000000 -sha256sig1 x11, x10 -sw x11, 368(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_68: -// rs1_val == 1152921504606846976, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x1000000000000000; -li x10, 0x1000000000000000 -sha256sig1 x11, x10 -sw x11, 376(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_69: -// rs1_val == 576460752303423488, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x800000000000000; -li x10, 0x800000000000000 -sha256sig1 x11, x10 -sw x11, 384(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_70: -// rs1_val == 288230376151711744, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x400000000000000; -li x10, 0x400000000000000 -sha256sig1 x11, x10 -sw x11, 392(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_71: -// rs1_val == 144115188075855872, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x200000000000000; -li x10, 0x200000000000000 -sha256sig1 x11, x10 -sw x11, 400(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_72: -// rs1_val == 72057594037927936, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x100000000000000; -li x10, 0x100000000000000 -sha256sig1 x11, x10 -sw x11, 408(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_73: -// rs1_val == 36028797018963968, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x80000000000000; -li x10, 0x80000000000000 -sha256sig1 x11, x10 -sw x11, 416(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_74: -// rs1_val == 18014398509481984, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x40000000000000; -li x10, 0x40000000000000 -sha256sig1 x11, x10 -sw x11, 424(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_75: -// rs1_val == 9007199254740992, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x20000000000000; -li x10, 0x20000000000000 -sha256sig1 x11, x10 -sw x11, 432(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_76: -// rs1_val == 4503599627370496, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x10000000000000; -li x10, 0x10000000000000 -sha256sig1 x11, x10 -sw x11, 440(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_77: -// rs1_val == 2251799813685248, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x8000000000000; -li x10, 0x8000000000000 -sha256sig1 x11, x10 -sw x11, 448(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_78: -// rs1_val == 1125899906842624, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x4000000000000; -li x10, 0x4000000000000 -sha256sig1 x11, x10 -sw x11, 456(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_79: -// rs1_val == 562949953421312, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x2000000000000; -li x10, 0x2000000000000 -sha256sig1 x11, x10 -sw x11, 464(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_80: -// rs1_val == 281474976710656, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x1000000000000; -li x10, 0x1000000000000 -sha256sig1 x11, x10 -sw x11, 472(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_81: -// rs1_val == 140737488355328, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x800000000000; -li x10, 0x800000000000 -sha256sig1 x11, x10 -sw x11, 480(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_82: -// rs1_val == 70368744177664, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x400000000000; -li x10, 0x400000000000 -sha256sig1 x11, x10 -sw x11, 488(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_83: -// rs1_val == 35184372088832, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x200000000000; -li x10, 0x200000000000 -sha256sig1 x11, x10 -sw x11, 496(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_84: -// rs1_val == 17592186044416, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x100000000000; -li x10, 0x100000000000 -sha256sig1 x11, x10 -sw x11, 504(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_85: -// rs1_val == 1, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x1; -li x10, 0x1 -sha256sig1 x11, x10 -sw x11, 512(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_86: -// rs1_val == 0xb6f9706fb4f741aa -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xb6f9706fb4f741aa; -li x10, 0xb6f9706fb4f741aa -sha256sig1 x11, x10 -sw x11, 520(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_87: -// rs1_val == 0x40a5ff526f38a9c7 -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x40a5ff526f38a9c7; -li x10, 0x40a5ff526f38a9c7 -sha256sig1 x11, x10 -sw x11, 528(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_88: -// rs1_val == 0xd05668ae0fdb82bc -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xd05668ae0fdb82bc; -li x10, 0xd05668ae0fdb82bc -sha256sig1 x11, x10 -sw x11, 536(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_89: -// rs1_val == 0x9bedfe390d6ddd9d -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x9bedfe390d6ddd9d; -li x10, 0x9bedfe390d6ddd9d -sha256sig1 x11, x10 -sw x11, 544(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_90: -// rs1_val == 0xaa6bb2bde9ed477d -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xaa6bb2bde9ed477d; -li x10, 0xaa6bb2bde9ed477d -sha256sig1 x11, x10 -sw x11, 552(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_91: -// rs1_val == 0xd75739f82ac177c6 -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xd75739f82ac177c6; -li x10, 0xd75739f82ac177c6 -sha256sig1 x11, x10 -sw x11, 560(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_92: -// rs1_val == 0x299c3bcf90efb625 -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x299c3bcf90efb625; -li x10, 0x299c3bcf90efb625 -sha256sig1 x11, x10 -sw x11, 568(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_93: -// rs1_val == 0x9a4e9ef10171f4df -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x9a4e9ef10171f4df; -li x10, 0x9a4e9ef10171f4df -sha256sig1 x11, x10 -sw x11, 576(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_94: -// rs1_val == 0x1fc493caa371db42 -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x1fc493caa371db42; -li x10, 0x1fc493caa371db42 -sha256sig1 x11, x10 -sw x11, 584(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_95: -// rs1_val == 0xd169a3f8cad5e297 -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xd169a3f8cad5e297; -li x10, 0xd169a3f8cad5e297 -sha256sig1 x11, x10 -sw x11, 592(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_96: -// rs1_val == 0xf4c30307672f666d -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xf4c30307672f666d; -li x10, 0xf4c30307672f666d -sha256sig1 x11, x10 -sw x11, 600(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_97: -// rs1_val == 0xd5b9fe5cf69bdcf3 -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xd5b9fe5cf69bdcf3; -li x10, 0xd5b9fe5cf69bdcf3 -sha256sig1 x11, x10 -sw x11, 608(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_98: -// rs1_val == 0xa0569d765ebc64cb -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xa0569d765ebc64cb; -li x10, 0xa0569d765ebc64cb -sha256sig1 x11, x10 -sw x11, 616(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_99: -// rs1_val == 0xe4921bf73047c198 -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xe4921bf73047c198; -li x10, 0xe4921bf73047c198 -sha256sig1 x11, x10 -sw x11, 624(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_100: -// rs1_val == 0x2daf9ac7f5faf207 -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x2daf9ac7f5faf207; -li x10, 0x2daf9ac7f5faf207 -sha256sig1 x11, x10 -sw x11, 632(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_101: -// rs1_val == 0xfcc1b543c49cd65b -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfcc1b543c49cd65b; -li x10, 0xfcc1b543c49cd65b -sha256sig1 x11, x10 -sw x11, 640(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_102: -// rs1_val == 0x3459294ef273b44c -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x3459294ef273b44c; -li x10, 0x3459294ef273b44c -sha256sig1 x11, x10 -sw x11, 648(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_103: -// rs1_val == 0x436f40f274b8de87 -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x436f40f274b8de87; -li x10, 0x436f40f274b8de87 -sha256sig1 x11, x10 -sw x11, 656(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_104: -// rs1_val == 0xc5521660f3a3c571 -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xc5521660f3a3c571; -li x10, 0xc5521660f3a3c571 -sha256sig1 x11, x10 -sw x11, 664(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_105: -// rs1_val == 8796093022208, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x80000000000; -li x10, 0x80000000000 -sha256sig1 x11, x10 -sw x11, 672(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_106: -// rs1_val == 4398046511104, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x40000000000; -li x10, 0x40000000000 -sha256sig1 x11, x10 -sw x11, 680(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_107: -// rs1_val == 2199023255552, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x20000000000; -li x10, 0x20000000000 -sha256sig1 x11, x10 -sw x11, 688(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_108: -// rs1_val == 1099511627776, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x10000000000; -li x10, 0x10000000000 -sha256sig1 x11, x10 -sw x11, 696(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_109: -// rs1_val == 549755813888, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x8000000000; -li x10, 0x8000000000 -sha256sig1 x11, x10 -sw x11, 704(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_110: -// rs1_val == 274877906944, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x4000000000; -li x10, 0x4000000000 -sha256sig1 x11, x10 -sw x11, 712(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_111: -// rs1_val == 137438953472, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x2000000000; -li x10, 0x2000000000 -sha256sig1 x11, x10 -sw x11, 720(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_112: -// rs1_val == 68719476736, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x1000000000; -li x10, 0x1000000000 -sha256sig1 x11, x10 -sw x11, 728(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_113: -// rs1_val == 34359738368, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x800000000; -li x10, 0x800000000 -sha256sig1 x11, x10 -sw x11, 736(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_114: -// rs1_val == 17179869184, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x400000000; -li x10, 0x400000000 -sha256sig1 x11, x10 -sw x11, 744(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_115: -// rs1_val == 8589934592, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x200000000; -li x10, 0x200000000 -sha256sig1 x11, x10 -sw x11, 752(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_116: -// rs1_val == 4294967296, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x100000000; -li x10, 0x100000000 -sha256sig1 x11, x10 -sw x11, 760(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_117: -// rs1_val == 2147483648, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x80000000; -li x10, 0x80000000 -sha256sig1 x11, x10 -sw x11, 768(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_118: -// rs1_val == 1073741824, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x40000000; -li x10, 0x40000000 -sha256sig1 x11, x10 -sw x11, 776(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_119: -// rs1_val == 536870912, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x20000000; -li x10, 0x20000000 -sha256sig1 x11, x10 -sw x11, 784(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_120: -// rs1_val == 268435456, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x10000000; -li x10, 0x10000000 -sha256sig1 x11, x10 -sw x11, 792(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_121: -// rs1_val == 134217728, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x8000000; -li x10, 0x8000000 -sha256sig1 x11, x10 -sw x11, 800(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_122: -// rs1_val == 67108864, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x4000000; -li x10, 0x4000000 -sha256sig1 x11, x10 -sw x11, 808(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_123: -// rs1_val == 33554432, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x2000000; -li x10, 0x2000000 -sha256sig1 x11, x10 -sw x11, 816(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_124: -// rs1_val == 16777216, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x1000000; -li x10, 0x1000000 -sha256sig1 x11, x10 -sw x11, 824(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_125: -// rs1_val == 8388608, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x800000; -li x10, 0x800000 -sha256sig1 x11, x10 -sw x11, 832(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_126: -// rs1_val == 4194304, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x400000; -li x10, 0x400000 -sha256sig1 x11, x10 -sw x11, 840(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_127: -// rs1_val == 2097152, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x200000; -li x10, 0x200000 -sha256sig1 x11, x10 -sw x11, 848(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_128: -// rs1_val == 1048576, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x100000; -li x10, 0x100000 -sha256sig1 x11, x10 -sw x11, 856(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_129: -// rs1_val == 524288, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x80000; -li x10, 0x80000 -sha256sig1 x11, x10 -sw x11, 864(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_130: -// rs1_val == 262144, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x40000; -li x10, 0x40000 -sha256sig1 x11, x10 -sw x11, 872(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_131: -// rs1_val == 131072, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x20000; -li x10, 0x20000 -sha256sig1 x11, x10 -sw x11, 880(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_132: -// rs1_val == 65536, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x10000; -li x10, 0x10000 -sha256sig1 x11, x10 -sw x11, 888(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_133: -// rs1_val == 32768, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x8000; -li x10, 0x8000 -sha256sig1 x11, x10 -sw x11, 896(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_134: -// rs1_val == 16384, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x4000; -li x10, 0x4000 -sha256sig1 x11, x10 -sw x11, 904(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_135: -// rs1_val == 8192, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x2000; -li x10, 0x2000 -sha256sig1 x11, x10 -sw x11, 912(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_136: -// rs1_val == 4096, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x1000; -li x10, 0x1000 -sha256sig1 x11, x10 -sw x11, 920(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_137: -// rs1_val == 2048, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x800; -li x10, 0x800 -sha256sig1 x11, x10 -sw x11, 928(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_138: -// rs1_val == 1024, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x400; -li x10, 0x400 -sha256sig1 x11, x10 -sw x11, 936(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_139: -// rs1_val == 512, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x200; -li x10, 0x200 -sha256sig1 x11, x10 -sw x11, 944(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_140: -// rs1_val == 256, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x100; -li x10, 0x100 -sha256sig1 x11, x10 -sw x11, 952(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_141: -// rs1_val == 128, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x80; -li x10, 0x80 -sha256sig1 x11, x10 -sw x11, 960(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_142: -// rs1_val == 64, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x40; -li x10, 0x40 -sha256sig1 x11, x10 -sw x11, 968(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_143: -// rs1_val == 32, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x20; -li x10, 0x20 -sha256sig1 x11, x10 -sw x11, 976(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_144: -// rs1_val == 16, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x10; -li x10, 0x10 -sha256sig1 x11, x10 -sw x11, 984(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_145: -// rs1_val == 8, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x8; -li x10, 0x8 -sha256sig1 x11, x10 -sw x11, 992(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_146: -// rs1_val == 4, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x4; -li x10, 0x4 -sha256sig1 x11, x10 -sw x11, 1000(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_147: -// rs1_val == 2, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0x2; -li x10, 0x2 -sha256sig1 x11, x10 -sw x11, 1008(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_148: -// rs1_val == 18446462598732840959, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffeffffffffffff; -li x10, 0xfffeffffffffffff -sha256sig1 x11, x10 -sw x11, 1016(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) - -inst_149: -// rs1_val == 18446744056529682431, -// opcode: sha256sig1 ; op1:x10; dest:x11; op1val:0xfffffffbffffffff; -li x10, 0xfffffffbffffffff -sha256sig1 x11, x10 -sw x11, 1024(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x4, x11, 0x0000000000000000) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x13_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x13_1: - .fill 21*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 129*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp1.S deleted file mode 100644 index 7d147a4bb..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp1.S +++ /dev/null @@ -1,972 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha256sig1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha256sig1) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x3, x1, x2; -sha256sig1 x1, x3; -xor x4, x1, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x1,8); -RVTEST_SIGUPD(x31,x4,16); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -not x3, x2; -sha256sig1 x1, x3; -not x4, x1; -RVTEST_SIGUPD(x31,x3,24); -RVTEST_SIGUPD(x31,x1,32); -RVTEST_SIGUPD(x31,x4,40); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -add x3, x1, x2; -sha256sig1 x1, x3; -add x4, x1, x2; -RVTEST_SIGUPD(x31,x3,48); -RVTEST_SIGUPD(x31,x1,56); -RVTEST_SIGUPD(x31,x4,64); - -inst_1: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x4, x2, x3; -sha256sig1 x2, x4; -xor x5, x2, x3; -RVTEST_SIGUPD(x31,x4,72); -RVTEST_SIGUPD(x31,x2,80); -RVTEST_SIGUPD(x31,x5,88); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -not x4, x3; -sha256sig1 x2, x4; -not x5, x2; -RVTEST_SIGUPD(x31,x4,96); -RVTEST_SIGUPD(x31,x2,104); -RVTEST_SIGUPD(x31,x5,112); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -add x4, x2, x3; -sha256sig1 x2, x4; -add x5, x2, x3; -RVTEST_SIGUPD(x31,x4,120); -RVTEST_SIGUPD(x31,x2,128); -RVTEST_SIGUPD(x31,x5,136); - -inst_2: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x5, x3, x4; -sha256sig1 x3, x5; -xor x6, x3, x4; -RVTEST_SIGUPD(x31,x5,144); -RVTEST_SIGUPD(x31,x3,152); -RVTEST_SIGUPD(x31,x6,160); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -not x5, x4; -sha256sig1 x3, x5; -not x6, x3; -RVTEST_SIGUPD(x31,x5,168); -RVTEST_SIGUPD(x31,x3,176); -RVTEST_SIGUPD(x31,x6,184); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -add x5, x3, x4; -sha256sig1 x3, x5; -add x6, x3, x4; -RVTEST_SIGUPD(x31,x5,192); -RVTEST_SIGUPD(x31,x3,200); -RVTEST_SIGUPD(x31,x6,208); - -inst_3: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x6, x4, x5; -sha256sig1 x4, x6; -xor x7, x4, x5; -RVTEST_SIGUPD(x31,x6,216); -RVTEST_SIGUPD(x31,x4,224); -RVTEST_SIGUPD(x31,x7,232); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -not x6, x5; -sha256sig1 x4, x6; -not x7, x4; -RVTEST_SIGUPD(x31,x6,240); -RVTEST_SIGUPD(x31,x4,248); -RVTEST_SIGUPD(x31,x7,256); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -add x6, x4, x5; -sha256sig1 x4, x6; -add x7, x4, x5; -RVTEST_SIGUPD(x31,x6,264); -RVTEST_SIGUPD(x31,x4,272); -RVTEST_SIGUPD(x31,x7,280); - -inst_4: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x7, x5, x6; -sha256sig1 x5, x7; -xor x8, x5, x6; -RVTEST_SIGUPD(x31,x7,288); -RVTEST_SIGUPD(x31,x5,296); -RVTEST_SIGUPD(x31,x8,304); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -not x7, x6; -sha256sig1 x5, x7; -not x8, x5; -RVTEST_SIGUPD(x31,x7,312); -RVTEST_SIGUPD(x31,x5,320); -RVTEST_SIGUPD(x31,x8,328); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -add x7, x5, x6; -sha256sig1 x5, x7; -add x8, x5, x6; -RVTEST_SIGUPD(x31,x7,336); -RVTEST_SIGUPD(x31,x5,344); -RVTEST_SIGUPD(x31,x8,352); - -inst_5: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x8, x6, x7; -sha256sig1 x6, x8; -xor x9, x6, x7; -RVTEST_SIGUPD(x31,x8,360); -RVTEST_SIGUPD(x31,x6,368); -RVTEST_SIGUPD(x31,x9,376); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -not x8, x7; -sha256sig1 x6, x8; -not x9, x6; -RVTEST_SIGUPD(x31,x8,384); -RVTEST_SIGUPD(x31,x6,392); -RVTEST_SIGUPD(x31,x9,400); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -add x8, x6, x7; -sha256sig1 x6, x8; -add x9, x6, x7; -RVTEST_SIGUPD(x31,x8,408); -RVTEST_SIGUPD(x31,x6,416); -RVTEST_SIGUPD(x31,x9,424); - -inst_6: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x9, x7, x8; -sha256sig1 x7, x9; -xor x10, x7, x8; -RVTEST_SIGUPD(x31,x9,432); -RVTEST_SIGUPD(x31,x7,440); -RVTEST_SIGUPD(x31,x10,448); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -not x9, x8; -sha256sig1 x7, x9; -not x10, x7; -RVTEST_SIGUPD(x31,x9,456); -RVTEST_SIGUPD(x31,x7,464); -RVTEST_SIGUPD(x31,x10,472); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -add x9, x7, x8; -sha256sig1 x7, x9; -add x10, x7, x8; -RVTEST_SIGUPD(x31,x9,480); -RVTEST_SIGUPD(x31,x7,488); -RVTEST_SIGUPD(x31,x10,496); - -inst_7: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x10, x8, x9; -sha256sig1 x8, x10; -xor x11, x8, x9; -RVTEST_SIGUPD(x31,x10,504); -RVTEST_SIGUPD(x31,x8,512); -RVTEST_SIGUPD(x31,x11,520); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -not x10, x9; -sha256sig1 x8, x10; -not x11, x8; -RVTEST_SIGUPD(x31,x10,528); -RVTEST_SIGUPD(x31,x8,536); -RVTEST_SIGUPD(x31,x11,544); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -add x10, x8, x9; -sha256sig1 x8, x10; -add x11, x8, x9; -RVTEST_SIGUPD(x31,x10,552); -RVTEST_SIGUPD(x31,x8,560); -RVTEST_SIGUPD(x31,x11,568); - -inst_8: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x11, x9, x10; -sha256sig1 x9, x11; -xor x12, x9, x10; -RVTEST_SIGUPD(x31,x11,576); -RVTEST_SIGUPD(x31,x9,584); -RVTEST_SIGUPD(x31,x12,592); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -not x11, x10; -sha256sig1 x9, x11; -not x12, x9; -RVTEST_SIGUPD(x31,x11,600); -RVTEST_SIGUPD(x31,x9,608); -RVTEST_SIGUPD(x31,x12,616); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -add x11, x9, x10; -sha256sig1 x9, x11; -add x12, x9, x10; -RVTEST_SIGUPD(x31,x11,624); -RVTEST_SIGUPD(x31,x9,632); -RVTEST_SIGUPD(x31,x12,640); - -inst_9: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x12, x10, x11; -sha256sig1 x10, x12; -xor x13, x10, x11; -RVTEST_SIGUPD(x31,x12,648); -RVTEST_SIGUPD(x31,x10,656); -RVTEST_SIGUPD(x31,x13,664); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -not x12, x11; -sha256sig1 x10, x12; -not x13, x10; -RVTEST_SIGUPD(x31,x12,672); -RVTEST_SIGUPD(x31,x10,680); -RVTEST_SIGUPD(x31,x13,688); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -add x12, x10, x11; -sha256sig1 x10, x12; -add x13, x10, x11; -RVTEST_SIGUPD(x31,x12,696); -RVTEST_SIGUPD(x31,x10,704); -RVTEST_SIGUPD(x31,x13,712); - -inst_10: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x13, x11, x12; -sha256sig1 x11, x13; -xor x14, x11, x12; -RVTEST_SIGUPD(x31,x13,720); -RVTEST_SIGUPD(x31,x11,728); -RVTEST_SIGUPD(x31,x14,736); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -not x13, x12; -sha256sig1 x11, x13; -not x14, x11; -RVTEST_SIGUPD(x31,x13,744); -RVTEST_SIGUPD(x31,x11,752); -RVTEST_SIGUPD(x31,x14,760); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -add x13, x11, x12; -sha256sig1 x11, x13; -add x14, x11, x12; -RVTEST_SIGUPD(x31,x13,768); -RVTEST_SIGUPD(x31,x11,776); -RVTEST_SIGUPD(x31,x14,784); - -inst_11: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x14, x12, x13; -sha256sig1 x12, x14; -xor x15, x12, x13; -RVTEST_SIGUPD(x31,x14,792); -RVTEST_SIGUPD(x31,x12,800); -RVTEST_SIGUPD(x31,x15,808); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -not x14, x13; -sha256sig1 x12, x14; -not x15, x12; -RVTEST_SIGUPD(x31,x14,816); -RVTEST_SIGUPD(x31,x12,824); -RVTEST_SIGUPD(x31,x15,832); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -add x14, x12, x13; -sha256sig1 x12, x14; -add x15, x12, x13; -RVTEST_SIGUPD(x31,x14,840); -RVTEST_SIGUPD(x31,x12,848); -RVTEST_SIGUPD(x31,x15,856); - -inst_12: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x15, x13, x14; -sha256sig1 x13, x15; -xor x16, x13, x14; -RVTEST_SIGUPD(x31,x15,864); -RVTEST_SIGUPD(x31,x13,872); -RVTEST_SIGUPD(x31,x16,880); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -not x15, x14; -sha256sig1 x13, x15; -not x16, x13; -RVTEST_SIGUPD(x31,x15,888); -RVTEST_SIGUPD(x31,x13,896); -RVTEST_SIGUPD(x31,x16,904); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -add x15, x13, x14; -sha256sig1 x13, x15; -add x16, x13, x14; -RVTEST_SIGUPD(x31,x15,912); -RVTEST_SIGUPD(x31,x13,920); -RVTEST_SIGUPD(x31,x16,928); - -inst_13: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x16, x14, x15; -sha256sig1 x14, x16; -xor x17, x14, x15; -RVTEST_SIGUPD(x31,x16,936); -RVTEST_SIGUPD(x31,x14,944); -RVTEST_SIGUPD(x31,x17,952); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -not x16, x15; -sha256sig1 x14, x16; -not x17, x14; -RVTEST_SIGUPD(x31,x16,960); -RVTEST_SIGUPD(x31,x14,968); -RVTEST_SIGUPD(x31,x17,976); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -add x16, x14, x15; -sha256sig1 x14, x16; -add x17, x14, x15; -RVTEST_SIGUPD(x31,x16,984); -RVTEST_SIGUPD(x31,x14,992); -RVTEST_SIGUPD(x31,x17,1000); - -inst_14: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x17, x15, x16; -sha256sig1 x15, x17; -xor x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1008); -RVTEST_SIGUPD(x31,x15,1016); -RVTEST_SIGUPD(x31,x18,1024); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -not x17, x16; -sha256sig1 x15, x17; -not x18, x15; -RVTEST_SIGUPD(x31,x17,1032); -RVTEST_SIGUPD(x31,x15,1040); -RVTEST_SIGUPD(x31,x18,1048); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -add x17, x15, x16; -sha256sig1 x15, x17; -add x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1056); -RVTEST_SIGUPD(x31,x15,1064); -RVTEST_SIGUPD(x31,x18,1072); - -inst_15: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x18, x16, x17; -sha256sig1 x16, x18; -xor x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1080); -RVTEST_SIGUPD(x31,x16,1088); -RVTEST_SIGUPD(x31,x19,1096); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -not x18, x17; -sha256sig1 x16, x18; -not x19, x16; -RVTEST_SIGUPD(x31,x18,1104); -RVTEST_SIGUPD(x31,x16,1112); -RVTEST_SIGUPD(x31,x19,1120); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -add x18, x16, x17; -sha256sig1 x16, x18; -add x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1128); -RVTEST_SIGUPD(x31,x16,1136); -RVTEST_SIGUPD(x31,x19,1144); - -inst_16: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x19, x17, x18; -sha256sig1 x17, x19; -xor x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1152); -RVTEST_SIGUPD(x31,x17,1160); -RVTEST_SIGUPD(x31,x20,1168); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -not x19, x18; -sha256sig1 x17, x19; -not x20, x17; -RVTEST_SIGUPD(x31,x19,1176); -RVTEST_SIGUPD(x31,x17,1184); -RVTEST_SIGUPD(x31,x20,1192); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -add x19, x17, x18; -sha256sig1 x17, x19; -add x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1200); -RVTEST_SIGUPD(x31,x17,1208); -RVTEST_SIGUPD(x31,x20,1216); - -inst_17: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x20, x18, x19; -sha256sig1 x18, x20; -xor x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1224); -RVTEST_SIGUPD(x31,x18,1232); -RVTEST_SIGUPD(x31,x21,1240); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -not x20, x19; -sha256sig1 x18, x20; -not x21, x18; -RVTEST_SIGUPD(x31,x20,1248); -RVTEST_SIGUPD(x31,x18,1256); -RVTEST_SIGUPD(x31,x21,1264); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -add x20, x18, x19; -sha256sig1 x18, x20; -add x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1272); -RVTEST_SIGUPD(x31,x18,1280); -RVTEST_SIGUPD(x31,x21,1288); - -inst_18: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x21, x19, x20; -sha256sig1 x19, x21; -xor x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1296); -RVTEST_SIGUPD(x31,x19,1304); -RVTEST_SIGUPD(x31,x22,1312); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -not x21, x20; -sha256sig1 x19, x21; -not x22, x19; -RVTEST_SIGUPD(x31,x21,1320); -RVTEST_SIGUPD(x31,x19,1328); -RVTEST_SIGUPD(x31,x22,1336); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -add x21, x19, x20; -sha256sig1 x19, x21; -add x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1344); -RVTEST_SIGUPD(x31,x19,1352); -RVTEST_SIGUPD(x31,x22,1360); - -inst_19: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x22, x20, x21; -sha256sig1 x20, x22; -xor x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1368); -RVTEST_SIGUPD(x31,x20,1376); -RVTEST_SIGUPD(x31,x23,1384); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -not x22, x21; -sha256sig1 x20, x22; -not x23, x20; -RVTEST_SIGUPD(x31,x22,1392); -RVTEST_SIGUPD(x31,x20,1400); -RVTEST_SIGUPD(x31,x23,1408); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -add x22, x20, x21; -sha256sig1 x20, x22; -add x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1416); -RVTEST_SIGUPD(x31,x20,1424); -RVTEST_SIGUPD(x31,x23,1432); - -inst_20: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x23, x21, x22; -sha256sig1 x21, x23; -xor x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1440); -RVTEST_SIGUPD(x31,x21,1448); -RVTEST_SIGUPD(x31,x24,1456); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -not x23, x22; -sha256sig1 x21, x23; -not x24, x21; -RVTEST_SIGUPD(x31,x23,1464); -RVTEST_SIGUPD(x31,x21,1472); -RVTEST_SIGUPD(x31,x24,1480); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -add x23, x21, x22; -sha256sig1 x21, x23; -add x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1488); -RVTEST_SIGUPD(x31,x21,1496); -RVTEST_SIGUPD(x31,x24,1504); - -inst_21: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x24, x22, x23; -sha256sig1 x22, x24; -xor x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1512); -RVTEST_SIGUPD(x31,x22,1520); -RVTEST_SIGUPD(x31,x25,1528); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -not x24, x23; -sha256sig1 x22, x24; -not x25, x22; -RVTEST_SIGUPD(x31,x24,1536); -RVTEST_SIGUPD(x31,x22,1544); -RVTEST_SIGUPD(x31,x25,1552); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -add x24, x22, x23; -sha256sig1 x22, x24; -add x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1560); -RVTEST_SIGUPD(x31,x22,1568); -RVTEST_SIGUPD(x31,x25,1576); - -inst_22: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x25, x23, x24; -sha256sig1 x23, x25; -xor x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1584); -RVTEST_SIGUPD(x31,x23,1592); -RVTEST_SIGUPD(x31,x26,1600); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -not x25, x24; -sha256sig1 x23, x25; -not x26, x23; -RVTEST_SIGUPD(x31,x25,1608); -RVTEST_SIGUPD(x31,x23,1616); -RVTEST_SIGUPD(x31,x26,1624); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -add x25, x23, x24; -sha256sig1 x23, x25; -add x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1632); -RVTEST_SIGUPD(x31,x23,1640); -RVTEST_SIGUPD(x31,x26,1648); - -inst_23: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x26, x24, x25; -sha256sig1 x24, x26; -xor x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1656); -RVTEST_SIGUPD(x31,x24,1664); -RVTEST_SIGUPD(x31,x27,1672); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -not x26, x25; -sha256sig1 x24, x26; -not x27, x24; -RVTEST_SIGUPD(x31,x26,1680); -RVTEST_SIGUPD(x31,x24,1688); -RVTEST_SIGUPD(x31,x27,1696); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -add x26, x24, x25; -sha256sig1 x24, x26; -add x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1704); -RVTEST_SIGUPD(x31,x24,1712); -RVTEST_SIGUPD(x31,x27,1720); - -inst_24: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x27, x25, x26; -sha256sig1 x25, x27; -xor x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1728); -RVTEST_SIGUPD(x31,x25,1736); -RVTEST_SIGUPD(x31,x28,1744); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -not x27, x26; -sha256sig1 x25, x27; -not x28, x25; -RVTEST_SIGUPD(x31,x27,1752); -RVTEST_SIGUPD(x31,x25,1760); -RVTEST_SIGUPD(x31,x28,1768); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -add x27, x25, x26; -sha256sig1 x25, x27; -add x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1776); -RVTEST_SIGUPD(x31,x25,1784); -RVTEST_SIGUPD(x31,x28,1792); - -inst_25: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x28, x26, x27; -sha256sig1 x26, x28; -xor x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1800); -RVTEST_SIGUPD(x31,x26,1808); -RVTEST_SIGUPD(x31,x29,1816); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -not x28, x27; -sha256sig1 x26, x28; -not x29, x26; -RVTEST_SIGUPD(x31,x28,1824); -RVTEST_SIGUPD(x31,x26,1832); -RVTEST_SIGUPD(x31,x29,1840); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -add x28, x26, x27; -sha256sig1 x26, x28; -add x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1848); -RVTEST_SIGUPD(x31,x26,1856); -RVTEST_SIGUPD(x31,x29,1864); - -inst_26: -// Forwarded xor into sha256sig1 & the result back into xor -// opcode: sha256sig1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -xor x29, x27, x28; -sha256sig1 x27, x29; -xor x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1872); -RVTEST_SIGUPD(x31,x27,1880); -RVTEST_SIGUPD(x31,x30,1888); - -// Forwarded not into sha256sig1 & the result back into not -// opcode: sha256sig1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -not x29, x28; -sha256sig1 x27, x29; -not x30, x27; -RVTEST_SIGUPD(x31,x29,1896); -RVTEST_SIGUPD(x31,x27,1904); -RVTEST_SIGUPD(x31,x30,1912); - -// Forwarded add into sha256sig1 & the result back into add -// opcode: sha256sig1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -add x29, x27, x28; -sha256sig1 x27, x29; -add x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1920); -RVTEST_SIGUPD(x31,x27,1928); -RVTEST_SIGUPD(x31,x30,1936); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 243*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp2.S deleted file mode 100644 index c7a7474fa..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp2.S +++ /dev/null @@ -1,307 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha256sig1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha256sig1) - -RVTEST_SIGBASE( x31,signature_x31_1) - -la x1, rvtest_data - -inst_0: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x2; dest1:x3; -LREG x2, 0(x1); -sha256sig1 x3, x2; -RVTEST_SIGUPD(x31,x2,0); -RVTEST_SIGUPD(x31,x3,8); - -inst_1: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x3; dest1:x4; -LREG x3, 8(x1); -sha256sig1 x4, x3; -RVTEST_SIGUPD(x31,x3,16); -RVTEST_SIGUPD(x31,x4,24); - -inst_2: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x4; dest1:x5; -LREG x4, 16(x1); -sha256sig1 x5, x4; -RVTEST_SIGUPD(x31,x4,32); -RVTEST_SIGUPD(x31,x5,40); - -inst_3: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x5; dest1:x6; -LREG x5, 24(x1); -sha256sig1 x6, x5; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); - -inst_4: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x6; dest1:x7; -LREG x6, 32(x1); -sha256sig1 x7, x6; -RVTEST_SIGUPD(x31,x6,64); -RVTEST_SIGUPD(x31,x7,72); - -inst_5: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x7; dest1:x8; -LREG x7, 40(x1); -sha256sig1 x8, x7; -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_6: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x8; dest1:x9; -LREG x8, 48(x1); -sha256sig1 x9, x8; -RVTEST_SIGUPD(x31,x8,96); -RVTEST_SIGUPD(x31,x9,104); - -inst_7: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x9; dest1:x10; -LREG x9, 56(x1); -sha256sig1 x10, x9; -RVTEST_SIGUPD(x31,x9,112); -RVTEST_SIGUPD(x31,x10,120); - -inst_8: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x10; dest1:x11; -LREG x10, 64(x1); -sha256sig1 x11, x10; -RVTEST_SIGUPD(x31,x10,128); -RVTEST_SIGUPD(x31,x11,136); - -inst_9: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x11; dest1:x12; -LREG x11, 72(x1); -sha256sig1 x12, x11; -RVTEST_SIGUPD(x31,x11,144); -RVTEST_SIGUPD(x31,x12,152); - -inst_10: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x12; dest1:x13; -LREG x12, 80(x1); -sha256sig1 x13, x12; -RVTEST_SIGUPD(x31,x12,160); -RVTEST_SIGUPD(x31,x13,168); - -inst_11: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x13; dest1:x14; -LREG x13, 88(x1); -sha256sig1 x14, x13; -RVTEST_SIGUPD(x31,x13,176); -RVTEST_SIGUPD(x31,x14,184); - -inst_12: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x14; dest1:x15; -LREG x14, 96(x1); -sha256sig1 x15, x14; -RVTEST_SIGUPD(x31,x14,192); -RVTEST_SIGUPD(x31,x15,200); - -inst_13: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x15; dest1:x16; -LREG x15, 104(x1); -sha256sig1 x16, x15; -RVTEST_SIGUPD(x31,x15,208); -RVTEST_SIGUPD(x31,x16,216); - -inst_14: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x16; dest1:x17; -LREG x16, 112(x1); -sha256sig1 x17, x16; -RVTEST_SIGUPD(x31,x16,224); -RVTEST_SIGUPD(x31,x17,232); - -inst_15: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x17; dest1:x18; -LREG x17, 120(x1); -sha256sig1 x18, x17; -RVTEST_SIGUPD(x31,x17,240); -RVTEST_SIGUPD(x31,x18,248); - -inst_16: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x18; dest1:x19; -LREG x18, 128(x1); -sha256sig1 x19, x18; -RVTEST_SIGUPD(x31,x18,256); -RVTEST_SIGUPD(x31,x19,264); - -inst_17: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x19; dest1:x20; -LREG x19, 136(x1); -sha256sig1 x20, x19; -RVTEST_SIGUPD(x31,x19,272); -RVTEST_SIGUPD(x31,x20,280); - -inst_18: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x20; dest1:x21; -LREG x20, 144(x1); -sha256sig1 x21, x20; -RVTEST_SIGUPD(x31,x20,288); -RVTEST_SIGUPD(x31,x21,296); - -inst_19: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x21; dest1:x22; -LREG x21, 152(x1); -sha256sig1 x22, x21; -RVTEST_SIGUPD(x31,x21,304); -RVTEST_SIGUPD(x31,x22,312); - -inst_20: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x22; dest1:x23; -LREG x22, 160(x1); -sha256sig1 x23, x22; -RVTEST_SIGUPD(x31,x22,320); -RVTEST_SIGUPD(x31,x23,328); - -inst_21: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x23; dest1:x24; -LREG x23, 168(x1); -sha256sig1 x24, x23; -RVTEST_SIGUPD(x31,x23,336); -RVTEST_SIGUPD(x31,x24,344); - -inst_22: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x24; dest1:x25; -LREG x24, 176(x1); -sha256sig1 x25, x24; -RVTEST_SIGUPD(x31,x24,352); -RVTEST_SIGUPD(x31,x25,360); - -inst_23: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x25; dest1:x26; -LREG x25, 184(x1); -sha256sig1 x26, x25; -RVTEST_SIGUPD(x31,x25,368); -RVTEST_SIGUPD(x31,x26,376); - -inst_24: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x26; dest1:x27; -LREG x26, 192(x1); -sha256sig1 x27, x26; -RVTEST_SIGUPD(x31,x26,384); -RVTEST_SIGUPD(x31,x27,392); - -inst_25: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x27; dest1:x28; -LREG x27, 200(x1); -sha256sig1 x28, x27; -RVTEST_SIGUPD(x31,x27,400); -RVTEST_SIGUPD(x31,x28,408); - -inst_26: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x28; dest1:x29; -LREG x28, 208(x1); -sha256sig1 x29, x28; -RVTEST_SIGUPD(x31,x28,416); -RVTEST_SIGUPD(x31,x29,424); - -inst_27: -// Checking load-to-use hazard! -// opcode: sha256sig1; op1:x29; dest1:x30; -LREG x29, 216(x1); -sha256sig1 x30, x29; -RVTEST_SIGUPD(x31,x29,432); -RVTEST_SIGUPD(x31,x30,440); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.dword 0x08577eb1924770d3 -.dword 0x93fdcab87b89296c -.dword 0xd2d6b8777dc59a3a -.dword 0xcf84b683a749f9c5 -.dword 0x854a965708ceac39 -.dword 0x137a977753e8eb43 -.dword 0x5c74e45eff1e5bef -.dword 0xdc3383836b9f15c4 -.dword 0x5ae6a2289a6ab329 -.dword 0x432779eeacca7f0d -.dword 0xaf949e5e2cb7362c -.dword 0x5cd2875ea96ec2b3 -.dword 0x9d02fc90708cc1b6 -.dword 0x953b00b00b54aa22 -.dword 0x224c06013c53d0e3 -.dword 0xe8dac663f0e58650 -.dword 0x3d7c95f9e5f0307e -.dword 0x8c8a18b2aaac3142 -.dword 0x785036de6f9fb997 -.dword 0x95a4d257a7298c66 -.dword 0x807da245d814d575 -.dword 0x3d06143769b1dcbf -.dword 0x7f21682208208d09 -.dword 0x14b91c79dae98554 -.dword 0xc5ec6148c6880007 -.dword 0x7213516d6a013380 -.dword 0x4652f62dae4839a1 -.dword 0x85986adb9e044706 -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 56*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-01.S deleted file mode 100644 index 65f752670..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-01.S +++ /dev/null @@ -1,1282 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sha256sum0 instruction of the RISC-V extension for the sha256sum0 covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",sha256sum0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",sha256sum0) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKnh.*);def TEST_CASE_1=True;",sha256sum0) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x26, rd==x20, rs1_val == 0x75a3adb3254a9493 -// opcode: sha256sum0 ; op1:x26; dest:x20; op1val:0x75a3adb3254a9493; -li x26, 0x75a3adb3254a9493 -sha256sum0 x20, x26 -sw x20, 0(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x20, 0x0000000000000000) - -inst_1: -// rs1 == rd, rs1==x8, rd==x8, rs1_val == 9223372036854775807, -// opcode: sha256sum0 ; op1:x8; dest:x8; op1val:0x7fffffffffffffff; -li x8, 0x7fffffffffffffff -sha256sum0 x8, x8 -sw x8, 8(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x8, 0x0000000000000000) - -inst_2: -// rs1==x5, rd==x15, rs1_val == 13835058055282163711, -// opcode: sha256sum0 ; op1:x5; dest:x15; op1val:0xbfffffffffffffff; -li x5, 0xbfffffffffffffff -sha256sum0 x15, x5 -sw x15, 16(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x15, 0x0000000000000000) - -inst_3: -// rs1==x15, rd==x25, rs1_val == 16140901064495857663, -// opcode: sha256sum0 ; op1:x15; dest:x25; op1val:0xdfffffffffffffff; -li x15, 0xdfffffffffffffff -sha256sum0 x25, x15 -sw x25, 24(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x25, 0x0000000000000000) - -inst_4: -// rs1==x27, rd==x10, rs1_val == 17293822569102704639, -// opcode: sha256sum0 ; op1:x27; dest:x10; op1val:0xefffffffffffffff; -li x27, 0xefffffffffffffff -sha256sum0 x10, x27 -sw x10, 32(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x10, 0x0000000000000000) - -inst_5: -// rs1==x10, rd==x27, rs1_val == 17870283321406128127, -// opcode: sha256sum0 ; op1:x10; dest:x27; op1val:0xf7ffffffffffffff; -li x10, 0xf7ffffffffffffff -sha256sum0 x27, x10 -sw x27, 40(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x27, 0x0000000000000000) - -inst_6: -// rs1==x30, rd==x2, rs1_val == 18158513697557839871, -// opcode: sha256sum0 ; op1:x30; dest:x2; op1val:0xfbffffffffffffff; -li x30, 0xfbffffffffffffff -sha256sum0 x2, x30 -sw x2, 48(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x2, 0x0000000000000000) - -inst_7: -// rs1==x7, rd==x9, rs1_val == 18302628885633695743, -// opcode: sha256sum0 ; op1:x7; dest:x9; op1val:0xfdffffffffffffff; -li x7, 0xfdffffffffffffff -sha256sum0 x9, x7 -sw x9, 56(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x9, 0x0000000000000000) - -inst_8: -// rs1==x21, rd==x24, rs1_val == 18374686479671623679, -// opcode: sha256sum0 ; op1:x21; dest:x24; op1val:0xfeffffffffffffff; -li x21, 0xfeffffffffffffff -sha256sum0 x24, x21 -sw x24, 64(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x24, 0x0000000000000000) - -inst_9: -// rs1==x3, rd==x16, rs1_val == 18410715276690587647, -// opcode: sha256sum0 ; op1:x3; dest:x16; op1val:0xff7fffffffffffff; -li x3, 0xff7fffffffffffff -sha256sum0 x16, x3 -sw x16, 72(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x16, 0x0000000000000000) - -inst_10: -// rs1==x31, rd==x12, rs1_val == 18428729675200069631, -// opcode: sha256sum0 ; op1:x31; dest:x12; op1val:0xffbfffffffffffff; -li x31, 0xffbfffffffffffff -sha256sum0 x12, x31 -sw x12, 80(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x12, 0x0000000000000000) - -inst_11: -// rs1==x6, rd==x17, rs1_val == 18437736874454810623, -// opcode: sha256sum0 ; op1:x6; dest:x17; op1val:0xffdfffffffffffff; -li x6, 0xffdfffffffffffff -sha256sum0 x17, x6 -sw x17, 88(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x17, 0x0000000000000000) - -inst_12: -// rs1==x1, rd==x22, rs1_val == 18442240474082181119, -// opcode: sha256sum0 ; op1:x1; dest:x22; op1val:0xffefffffffffffff; -li x1, 0xffefffffffffffff -sha256sum0 x22, x1 -sw x22, 96(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x22, 0x0000000000000000) - -inst_13: -// rs1==x29, rd==x1, rs1_val == 18444492273895866367, -// opcode: sha256sum0 ; op1:x29; dest:x1; op1val:0xfff7ffffffffffff; -li x29, 0xfff7ffffffffffff -sha256sum0 x1, x29 -sw x1, 104(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x1, 0x0000000000000000) - -inst_14: -// rs1==x2, rd==x19, rs1_val == 18445618173802708991, -// opcode: sha256sum0 ; op1:x2; dest:x19; op1val:0xfffbffffffffffff; -li x2, 0xfffbffffffffffff -sha256sum0 x19, x2 -sw x19, 112(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x19, 0x0000000000000000) - -inst_15: -// rs1==x11, rd==x7, rs1_val == 18446181123756130303, -// opcode: sha256sum0 ; op1:x11; dest:x7; op1val:0xfffdffffffffffff; -li x11, 0xfffdffffffffffff -sha256sum0 x7, x11 -sw x7, 120(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x7, 0x0000000000000000) - -inst_16: -// rs1==x25, rd==x23, rs1_val == 18446462598732840959, -// opcode: sha256sum0 ; op1:x25; dest:x23; op1val:0xfffeffffffffffff; -li x25, 0xfffeffffffffffff -sha256sum0 x23, x25 -sw x23, 128(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x23, 0x0000000000000000) - -inst_17: -// rs1==x24, rd==x11, rs1_val == 18446603336221196287, -// opcode: sha256sum0 ; op1:x24; dest:x11; op1val:0xffff7fffffffffff; -li x24, 0xffff7fffffffffff -sha256sum0 x11, x24 -sw x11, 136(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x11, 0x0000000000000000) - -inst_18: -// rs1==x20, rd==x3, rs1_val == 18446673704965373951, -// opcode: sha256sum0 ; op1:x20; dest:x3; op1val:0xffffbfffffffffff; -li x20, 0xffffbfffffffffff -sha256sum0 x3, x20 -sw x3, 144(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x3, 0x0000000000000000) - -inst_19: -// rs1==x12, rd==x5, rs1_val == 18446708889337462783, -// opcode: sha256sum0 ; op1:x12; dest:x5; op1val:0xffffdfffffffffff; -li x12, 0xffffdfffffffffff -sha256sum0 x5, x12 -sw x5, 152(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x5, 0x0000000000000000) - -inst_20: -// rs1==x14, rd==x0, rs1_val == 18446726481523507199, -// opcode: sha256sum0 ; op1:x14; dest:x0; op1val:0xffffefffffffffff; -li x14, 0xffffefffffffffff -sha256sum0 x0, x14 -sw x0, 160(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x0, 0x0000000000000000) - -inst_21: -// rs1==x18, rd==x13, rs1_val == 18446735277616529407, -// opcode: sha256sum0 ; op1:x18; dest:x13; op1val:0xfffff7ffffffffff; -li x18, 0xfffff7ffffffffff -sha256sum0 x13, x18 -sw x13, 168(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x13, 0x0000000000000000) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_22: -// rs1==x28, rd==x21, rs1_val == 18446739675663040511, -// opcode: sha256sum0 ; op1:x28; dest:x21; op1val:0xfffffbffffffffff; -li x28, 0xfffffbffffffffff -sha256sum0 x21, x28 -sw x21, 0(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x21, 0x0000000000000000) - -inst_23: -// rs1==x17, rd==x26, rs1_val == 18446741874686296063, -// opcode: sha256sum0 ; op1:x17; dest:x26; op1val:0xfffffdffffffffff; -li x17, 0xfffffdffffffffff -sha256sum0 x26, x17 -sw x26, 8(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x26, 0x0000000000000000) - -inst_24: -// rs1==x16, rd==x30, rs1_val == 18446742974197923839, -// opcode: sha256sum0 ; op1:x16; dest:x30; op1val:0xfffffeffffffffff; -li x16, 0xfffffeffffffffff -sha256sum0 x30, x16 -sw x30, 16(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x30, 0x0000000000000000) - -inst_25: -// rs1==x19, rd==x31, rs1_val == 18446743523953737727, -// opcode: sha256sum0 ; op1:x19; dest:x31; op1val:0xffffff7fffffffff; -li x19, 0xffffff7fffffffff -sha256sum0 x31, x19 -sw x31, 24(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x31, 0x0000000000000000) - -inst_26: -// rs1==x4, rd==x14, rs1_val == 18446743798831644671, -// opcode: sha256sum0 ; op1:x4; dest:x14; op1val:0xffffffbfffffffff; -li x4, 0xffffffbfffffffff -sha256sum0 x14, x4 -sw x14, 32(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x14, 0x0000000000000000) - -inst_27: -// rs1==x0, rd==x28, rs1_val == 18446743936270598143, -// opcode: sha256sum0 ; op1:x0; dest:x28; op1val:0x0; -li x0, 0x0 -sha256sum0 x28, x0 -sw x28, 40(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x28, 0x0000000000000000) - -inst_28: -// rs1==x13, rd==x6, rs1_val == 18446744004990074879, -// opcode: sha256sum0 ; op1:x13; dest:x6; op1val:0xffffffefffffffff; -li x13, 0xffffffefffffffff -sha256sum0 x6, x13 -sw x6, 48(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x6, 0x0000000000000000) - -inst_29: -// rs1==x23, rd==x29, rs1_val == 18446744039349813247, -// opcode: sha256sum0 ; op1:x23; dest:x29; op1val:0xfffffff7ffffffff; -li x23, 0xfffffff7ffffffff -sha256sum0 x29, x23 -sw x29, 56(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x29, 0x0000000000000000) - -inst_30: -// rs1==x9, rd==x4, rs1_val == 18446744056529682431, -// opcode: sha256sum0 ; op1:x9; dest:x4; op1val:0xfffffffbffffffff; -li x9, 0xfffffffbffffffff -sha256sum0 x4, x9 -sw x4, 64(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x4, 0x0000000000000000) - -inst_31: -// rs1==x22, rd==x18, rs1_val == 18446744065119617023, -// opcode: sha256sum0 ; op1:x22; dest:x18; op1val:0xfffffffdffffffff; -li x22, 0xfffffffdffffffff -sha256sum0 x18, x22 -sw x18, 72(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x18, 0x0000000000000000) - -inst_32: -// rs1_val == 18446744069414584319, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffeffffffff; -li x10, 0xfffffffeffffffff -sha256sum0 x11, x10 -sw x11, 80(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_33: -// rs1_val == 18446744071562067967, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffff7fffffff; -li x10, 0xffffffff7fffffff -sha256sum0 x11, x10 -sw x11, 88(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_34: -// rs1_val == 18446744072635809791, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffbfffffff; -li x10, 0xffffffffbfffffff -sha256sum0 x11, x10 -sw x11, 96(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_35: -// rs1_val == 18446744073172680703, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffdfffffff; -li x10, 0xffffffffdfffffff -sha256sum0 x11, x10 -sw x11, 104(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_36: -// rs1_val == 18446744073441116159, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffefffffff; -li x10, 0xffffffffefffffff -sha256sum0 x11, x10 -sw x11, 112(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_37: -// rs1_val == 18446744073575333887, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffff7ffffff; -li x10, 0xfffffffff7ffffff -sha256sum0 x11, x10 -sw x11, 120(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_38: -// rs1_val == 18446744073642442751, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffbffffff; -li x10, 0xfffffffffbffffff -sha256sum0 x11, x10 -sw x11, 128(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_39: -// rs1_val == 18446744073675997183, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffdffffff; -li x10, 0xfffffffffdffffff -sha256sum0 x11, x10 -sw x11, 136(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_40: -// rs1_val == 18446744073692774399, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffeffffff; -li x10, 0xfffffffffeffffff -sha256sum0 x11, x10 -sw x11, 144(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_41: -// rs1_val == 18446744073701163007, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffff7fffff; -li x10, 0xffffffffff7fffff -sha256sum0 x11, x10 -sw x11, 152(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_42: -// rs1_val == 18446744073705357311, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffffbfffff; -li x10, 0xffffffffffbfffff -sha256sum0 x11, x10 -sw x11, 160(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_43: -// rs1_val == 18446744073707454463, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffffdfffff; -li x10, 0xffffffffffdfffff -sha256sum0 x11, x10 -sw x11, 168(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_44: -// rs1_val == 18446744073708503039, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffffefffff; -li x10, 0xffffffffffefffff -sha256sum0 x11, x10 -sw x11, 176(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_45: -// rs1_val == 18446744073709027327, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffff7ffff; -li x10, 0xfffffffffff7ffff -sha256sum0 x11, x10 -sw x11, 184(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_46: -// rs1_val == 18446744073709289471, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffbffff; -li x10, 0xfffffffffffbffff -sha256sum0 x11, x10 -sw x11, 192(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_47: -// rs1_val == 18446744073709420543, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffdffff; -li x10, 0xfffffffffffdffff -sha256sum0 x11, x10 -sw x11, 200(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_48: -// rs1_val == 18446744073709486079, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffeffff; -li x10, 0xfffffffffffeffff -sha256sum0 x11, x10 -sw x11, 208(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_49: -// rs1_val == 18446744073709518847, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffffff7fff; -li x10, 0xffffffffffff7fff -sha256sum0 x11, x10 -sw x11, 216(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_50: -// rs1_val == 18446744073709535231, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffffffbfff; -li x10, 0xffffffffffffbfff -sha256sum0 x11, x10 -sw x11, 224(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_51: -// rs1_val == 18446744073709543423, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffffffdfff; -li x10, 0xffffffffffffdfff -sha256sum0 x11, x10 -sw x11, 232(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_52: -// rs1_val == 18446744073709547519, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffffffefff; -li x10, 0xffffffffffffefff -sha256sum0 x11, x10 -sw x11, 240(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_53: -// rs1_val == 18446744073709549567, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffff7ff; -li x10, 0xfffffffffffff7ff -sha256sum0 x11, x10 -sw x11, 248(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_54: -// rs1_val == 18446744073709550591, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffffbff; -li x10, 0xfffffffffffffbff -sha256sum0 x11, x10 -sw x11, 256(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_55: -// rs1_val == 18446744073709551103, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffffdff; -li x10, 0xfffffffffffffdff -sha256sum0 x11, x10 -sw x11, 264(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_56: -// rs1_val == 18446744073709551359, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffffeff; -li x10, 0xfffffffffffffeff -sha256sum0 x11, x10 -sw x11, 272(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_57: -// rs1_val == 18446744073709551487, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffffffff7f; -li x10, 0xffffffffffffff7f -sha256sum0 x11, x10 -sw x11, 280(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_58: -// rs1_val == 18446744073709551551, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffffffffbf; -li x10, 0xffffffffffffffbf -sha256sum0 x11, x10 -sw x11, 288(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_59: -// rs1_val == 18446744073709551583, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffffffffdf; -li x10, 0xffffffffffffffdf -sha256sum0 x11, x10 -sw x11, 296(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_60: -// rs1_val == 18446744073709551599, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffffffffffef; -li x10, 0xffffffffffffffef -sha256sum0 x11, x10 -sw x11, 304(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_61: -// rs1_val == 18446744073709551607, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffffff7; -li x10, 0xfffffffffffffff7 -sha256sum0 x11, x10 -sw x11, 312(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_62: -// rs1_val == 18446744073709551611, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffb; -li x10, 0xfffffffffffffffb -sha256sum0 x11, x10 -sw x11, 320(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_63: -// rs1_val == 18446744073709551613, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; -li x10, 0xfffffffffffffffd -sha256sum0 x11, x10 -sw x11, 328(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_64: -// rs1_val == 18446744073709551614, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; -li x10, 0xfffffffffffffffe -sha256sum0 x11, x10 -sw x11, 336(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_65: -// rs1_val == 9223372036854775808, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x8000000000000000; -li x10, 0x8000000000000000 -sha256sum0 x11, x10 -sw x11, 344(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_66: -// rs1_val == 4611686018427387904, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x4000000000000000; -li x10, 0x4000000000000000 -sha256sum0 x11, x10 -sw x11, 352(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_67: -// rs1_val == 2305843009213693952, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x2000000000000000; -li x10, 0x2000000000000000 -sha256sum0 x11, x10 -sw x11, 360(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_68: -// rs1_val == 1152921504606846976, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x1000000000000000; -li x10, 0x1000000000000000 -sha256sum0 x11, x10 -sw x11, 368(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_69: -// rs1_val == 576460752303423488, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x800000000000000; -li x10, 0x800000000000000 -sha256sum0 x11, x10 -sw x11, 376(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_70: -// rs1_val == 288230376151711744, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x400000000000000; -li x10, 0x400000000000000 -sha256sum0 x11, x10 -sw x11, 384(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_71: -// rs1_val == 144115188075855872, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x200000000000000; -li x10, 0x200000000000000 -sha256sum0 x11, x10 -sw x11, 392(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_72: -// rs1_val == 72057594037927936, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x100000000000000; -li x10, 0x100000000000000 -sha256sum0 x11, x10 -sw x11, 400(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_73: -// rs1_val == 36028797018963968, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x80000000000000; -li x10, 0x80000000000000 -sha256sum0 x11, x10 -sw x11, 408(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_74: -// rs1_val == 18014398509481984, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x40000000000000; -li x10, 0x40000000000000 -sha256sum0 x11, x10 -sw x11, 416(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_75: -// rs1_val == 9007199254740992, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x20000000000000; -li x10, 0x20000000000000 -sha256sum0 x11, x10 -sw x11, 424(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_76: -// rs1_val == 4503599627370496, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x10000000000000; -li x10, 0x10000000000000 -sha256sum0 x11, x10 -sw x11, 432(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_77: -// rs1_val == 2251799813685248, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x8000000000000; -li x10, 0x8000000000000 -sha256sum0 x11, x10 -sw x11, 440(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_78: -// rs1_val == 1125899906842624, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x4000000000000; -li x10, 0x4000000000000 -sha256sum0 x11, x10 -sw x11, 448(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_79: -// rs1_val == 562949953421312, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x2000000000000; -li x10, 0x2000000000000 -sha256sum0 x11, x10 -sw x11, 456(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_80: -// rs1_val == 281474976710656, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x1000000000000; -li x10, 0x1000000000000 -sha256sum0 x11, x10 -sw x11, 464(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_81: -// rs1_val == 140737488355328, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x800000000000; -li x10, 0x800000000000 -sha256sum0 x11, x10 -sw x11, 472(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_82: -// rs1_val == 70368744177664, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x400000000000; -li x10, 0x400000000000 -sha256sum0 x11, x10 -sw x11, 480(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_83: -// rs1_val == 35184372088832, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x200000000000; -li x10, 0x200000000000 -sha256sum0 x11, x10 -sw x11, 488(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_84: -// rs1_val == 17592186044416, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x100000000000; -li x10, 0x100000000000 -sha256sum0 x11, x10 -sw x11, 496(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_85: -// rs1_val == 1, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x1; -li x10, 0x1 -sha256sum0 x11, x10 -sw x11, 504(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_86: -// rs1_val == 0xb6f9706fb4f741aa -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xb6f9706fb4f741aa; -li x10, 0xb6f9706fb4f741aa -sha256sum0 x11, x10 -sw x11, 512(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_87: -// rs1_val == 0x40a5ff526f38a9c7 -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x40a5ff526f38a9c7; -li x10, 0x40a5ff526f38a9c7 -sha256sum0 x11, x10 -sw x11, 520(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_88: -// rs1_val == 0xd05668ae0fdb82bc -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xd05668ae0fdb82bc; -li x10, 0xd05668ae0fdb82bc -sha256sum0 x11, x10 -sw x11, 528(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_89: -// rs1_val == 0x9bedfe390d6ddd9d -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x9bedfe390d6ddd9d; -li x10, 0x9bedfe390d6ddd9d -sha256sum0 x11, x10 -sw x11, 536(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_90: -// rs1_val == 0xaa6bb2bde9ed477d -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xaa6bb2bde9ed477d; -li x10, 0xaa6bb2bde9ed477d -sha256sum0 x11, x10 -sw x11, 544(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_91: -// rs1_val == 0xd75739f82ac177c6 -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xd75739f82ac177c6; -li x10, 0xd75739f82ac177c6 -sha256sum0 x11, x10 -sw x11, 552(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_92: -// rs1_val == 0x299c3bcf90efb625 -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x299c3bcf90efb625; -li x10, 0x299c3bcf90efb625 -sha256sum0 x11, x10 -sw x11, 560(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_93: -// rs1_val == 0x9a4e9ef10171f4df -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x9a4e9ef10171f4df; -li x10, 0x9a4e9ef10171f4df -sha256sum0 x11, x10 -sw x11, 568(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_94: -// rs1_val == 0x1fc493caa371db42 -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x1fc493caa371db42; -li x10, 0x1fc493caa371db42 -sha256sum0 x11, x10 -sw x11, 576(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_95: -// rs1_val == 0xd169a3f8cad5e297 -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xd169a3f8cad5e297; -li x10, 0xd169a3f8cad5e297 -sha256sum0 x11, x10 -sw x11, 584(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_96: -// rs1_val == 0xf4c30307672f666d -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xf4c30307672f666d; -li x10, 0xf4c30307672f666d -sha256sum0 x11, x10 -sw x11, 592(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_97: -// rs1_val == 0xd5b9fe5cf69bdcf3 -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xd5b9fe5cf69bdcf3; -li x10, 0xd5b9fe5cf69bdcf3 -sha256sum0 x11, x10 -sw x11, 600(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_98: -// rs1_val == 0xa0569d765ebc64cb -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xa0569d765ebc64cb; -li x10, 0xa0569d765ebc64cb -sha256sum0 x11, x10 -sw x11, 608(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_99: -// rs1_val == 0xe4921bf73047c198 -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xe4921bf73047c198; -li x10, 0xe4921bf73047c198 -sha256sum0 x11, x10 -sw x11, 616(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_100: -// rs1_val == 0x2daf9ac7f5faf207 -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x2daf9ac7f5faf207; -li x10, 0x2daf9ac7f5faf207 -sha256sum0 x11, x10 -sw x11, 624(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_101: -// rs1_val == 0xfcc1b543c49cd65b -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xfcc1b543c49cd65b; -li x10, 0xfcc1b543c49cd65b -sha256sum0 x11, x10 -sw x11, 632(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_102: -// rs1_val == 0x3459294ef273b44c -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x3459294ef273b44c; -li x10, 0x3459294ef273b44c -sha256sum0 x11, x10 -sw x11, 640(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_103: -// rs1_val == 0x436f40f274b8de87 -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x436f40f274b8de87; -li x10, 0x436f40f274b8de87 -sha256sum0 x11, x10 -sw x11, 648(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_104: -// rs1_val == 0xc5521660f3a3c571 -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xc5521660f3a3c571; -li x10, 0xc5521660f3a3c571 -sha256sum0 x11, x10 -sw x11, 656(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_105: -// rs1_val == 8796093022208, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x80000000000; -li x10, 0x80000000000 -sha256sum0 x11, x10 -sw x11, 664(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_106: -// rs1_val == 4398046511104, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x40000000000; -li x10, 0x40000000000 -sha256sum0 x11, x10 -sw x11, 672(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_107: -// rs1_val == 2199023255552, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x20000000000; -li x10, 0x20000000000 -sha256sum0 x11, x10 -sw x11, 680(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_108: -// rs1_val == 1099511627776, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x10000000000; -li x10, 0x10000000000 -sha256sum0 x11, x10 -sw x11, 688(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_109: -// rs1_val == 549755813888, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x8000000000; -li x10, 0x8000000000 -sha256sum0 x11, x10 -sw x11, 696(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_110: -// rs1_val == 274877906944, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x4000000000; -li x10, 0x4000000000 -sha256sum0 x11, x10 -sw x11, 704(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_111: -// rs1_val == 137438953472, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x2000000000; -li x10, 0x2000000000 -sha256sum0 x11, x10 -sw x11, 712(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_112: -// rs1_val == 68719476736, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x1000000000; -li x10, 0x1000000000 -sha256sum0 x11, x10 -sw x11, 720(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_113: -// rs1_val == 34359738368, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x800000000; -li x10, 0x800000000 -sha256sum0 x11, x10 -sw x11, 728(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_114: -// rs1_val == 17179869184, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x400000000; -li x10, 0x400000000 -sha256sum0 x11, x10 -sw x11, 736(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_115: -// rs1_val == 8589934592, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x200000000; -li x10, 0x200000000 -sha256sum0 x11, x10 -sw x11, 744(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_116: -// rs1_val == 4294967296, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x100000000; -li x10, 0x100000000 -sha256sum0 x11, x10 -sw x11, 752(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_117: -// rs1_val == 2147483648, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x80000000; -li x10, 0x80000000 -sha256sum0 x11, x10 -sw x11, 760(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_118: -// rs1_val == 1073741824, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x40000000; -li x10, 0x40000000 -sha256sum0 x11, x10 -sw x11, 768(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_119: -// rs1_val == 536870912, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x20000000; -li x10, 0x20000000 -sha256sum0 x11, x10 -sw x11, 776(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_120: -// rs1_val == 268435456, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x10000000; -li x10, 0x10000000 -sha256sum0 x11, x10 -sw x11, 784(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_121: -// rs1_val == 134217728, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x8000000; -li x10, 0x8000000 -sha256sum0 x11, x10 -sw x11, 792(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_122: -// rs1_val == 67108864, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x4000000; -li x10, 0x4000000 -sha256sum0 x11, x10 -sw x11, 800(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_123: -// rs1_val == 33554432, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x2000000; -li x10, 0x2000000 -sha256sum0 x11, x10 -sw x11, 808(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_124: -// rs1_val == 16777216, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x1000000; -li x10, 0x1000000 -sha256sum0 x11, x10 -sw x11, 816(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_125: -// rs1_val == 8388608, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x800000; -li x10, 0x800000 -sha256sum0 x11, x10 -sw x11, 824(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_126: -// rs1_val == 4194304, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x400000; -li x10, 0x400000 -sha256sum0 x11, x10 -sw x11, 832(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_127: -// rs1_val == 2097152, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x200000; -li x10, 0x200000 -sha256sum0 x11, x10 -sw x11, 840(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_128: -// rs1_val == 1048576, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x100000; -li x10, 0x100000 -sha256sum0 x11, x10 -sw x11, 848(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_129: -// rs1_val == 524288, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x80000; -li x10, 0x80000 -sha256sum0 x11, x10 -sw x11, 856(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_130: -// rs1_val == 262144, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x40000; -li x10, 0x40000 -sha256sum0 x11, x10 -sw x11, 864(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_131: -// rs1_val == 131072, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x20000; -li x10, 0x20000 -sha256sum0 x11, x10 -sw x11, 872(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_132: -// rs1_val == 65536, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x10000; -li x10, 0x10000 -sha256sum0 x11, x10 -sw x11, 880(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_133: -// rs1_val == 32768, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x8000; -li x10, 0x8000 -sha256sum0 x11, x10 -sw x11, 888(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_134: -// rs1_val == 16384, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x4000; -li x10, 0x4000 -sha256sum0 x11, x10 -sw x11, 896(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_135: -// rs1_val == 8192, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x2000; -li x10, 0x2000 -sha256sum0 x11, x10 -sw x11, 904(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_136: -// rs1_val == 4096, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x1000; -li x10, 0x1000 -sha256sum0 x11, x10 -sw x11, 912(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_137: -// rs1_val == 2048, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x800; -li x10, 0x800 -sha256sum0 x11, x10 -sw x11, 920(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_138: -// rs1_val == 1024, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x400; -li x10, 0x400 -sha256sum0 x11, x10 -sw x11, 928(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_139: -// rs1_val == 512, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x200; -li x10, 0x200 -sha256sum0 x11, x10 -sw x11, 936(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_140: -// rs1_val == 256, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x100; -li x10, 0x100 -sha256sum0 x11, x10 -sw x11, 944(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_141: -// rs1_val == 128, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x80; -li x10, 0x80 -sha256sum0 x11, x10 -sw x11, 952(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_142: -// rs1_val == 64, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x40; -li x10, 0x40 -sha256sum0 x11, x10 -sw x11, 960(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_143: -// rs1_val == 32, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x20; -li x10, 0x20 -sha256sum0 x11, x10 -sw x11, 968(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_144: -// rs1_val == 16, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x10; -li x10, 0x10 -sha256sum0 x11, x10 -sw x11, 976(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_145: -// rs1_val == 8, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x8; -li x10, 0x8 -sha256sum0 x11, x10 -sw x11, 984(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_146: -// rs1_val == 4, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x4; -li x10, 0x4 -sha256sum0 x11, x10 -sw x11, 992(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_147: -// rs1_val == 2, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0x2; -li x10, 0x2 -sha256sum0 x11, x10 -sw x11, 1000(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_148: -// rs1_val == 18446726481523507199, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffefffffffffff; -li x10, 0xffffefffffffffff -sha256sum0 x11, x10 -sw x11, 1008(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) - -inst_149: -// rs1_val == 18446743936270598143, -// opcode: sha256sum0 ; op1:x10; dest:x11; op1val:0xffffffdfffffffff; -li x10, 0xffffffdfffffffff -sha256sum0 x11, x10 -sw x11, 1016(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x11, 0x0000000000000000) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 22*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 128*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp1.S deleted file mode 100644 index 373c688b0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp1.S +++ /dev/null @@ -1,972 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha256sum0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha256sum0) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x3, x1, x2; -sha256sum0 x1, x3; -xor x4, x1, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x1,8); -RVTEST_SIGUPD(x31,x4,16); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -not x3, x2; -sha256sum0 x1, x3; -not x4, x1; -RVTEST_SIGUPD(x31,x3,24); -RVTEST_SIGUPD(x31,x1,32); -RVTEST_SIGUPD(x31,x4,40); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -add x3, x1, x2; -sha256sum0 x1, x3; -add x4, x1, x2; -RVTEST_SIGUPD(x31,x3,48); -RVTEST_SIGUPD(x31,x1,56); -RVTEST_SIGUPD(x31,x4,64); - -inst_1: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x4, x2, x3; -sha256sum0 x2, x4; -xor x5, x2, x3; -RVTEST_SIGUPD(x31,x4,72); -RVTEST_SIGUPD(x31,x2,80); -RVTEST_SIGUPD(x31,x5,88); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -not x4, x3; -sha256sum0 x2, x4; -not x5, x2; -RVTEST_SIGUPD(x31,x4,96); -RVTEST_SIGUPD(x31,x2,104); -RVTEST_SIGUPD(x31,x5,112); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -add x4, x2, x3; -sha256sum0 x2, x4; -add x5, x2, x3; -RVTEST_SIGUPD(x31,x4,120); -RVTEST_SIGUPD(x31,x2,128); -RVTEST_SIGUPD(x31,x5,136); - -inst_2: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x5, x3, x4; -sha256sum0 x3, x5; -xor x6, x3, x4; -RVTEST_SIGUPD(x31,x5,144); -RVTEST_SIGUPD(x31,x3,152); -RVTEST_SIGUPD(x31,x6,160); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -not x5, x4; -sha256sum0 x3, x5; -not x6, x3; -RVTEST_SIGUPD(x31,x5,168); -RVTEST_SIGUPD(x31,x3,176); -RVTEST_SIGUPD(x31,x6,184); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -add x5, x3, x4; -sha256sum0 x3, x5; -add x6, x3, x4; -RVTEST_SIGUPD(x31,x5,192); -RVTEST_SIGUPD(x31,x3,200); -RVTEST_SIGUPD(x31,x6,208); - -inst_3: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x6, x4, x5; -sha256sum0 x4, x6; -xor x7, x4, x5; -RVTEST_SIGUPD(x31,x6,216); -RVTEST_SIGUPD(x31,x4,224); -RVTEST_SIGUPD(x31,x7,232); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -not x6, x5; -sha256sum0 x4, x6; -not x7, x4; -RVTEST_SIGUPD(x31,x6,240); -RVTEST_SIGUPD(x31,x4,248); -RVTEST_SIGUPD(x31,x7,256); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -add x6, x4, x5; -sha256sum0 x4, x6; -add x7, x4, x5; -RVTEST_SIGUPD(x31,x6,264); -RVTEST_SIGUPD(x31,x4,272); -RVTEST_SIGUPD(x31,x7,280); - -inst_4: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x7, x5, x6; -sha256sum0 x5, x7; -xor x8, x5, x6; -RVTEST_SIGUPD(x31,x7,288); -RVTEST_SIGUPD(x31,x5,296); -RVTEST_SIGUPD(x31,x8,304); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -not x7, x6; -sha256sum0 x5, x7; -not x8, x5; -RVTEST_SIGUPD(x31,x7,312); -RVTEST_SIGUPD(x31,x5,320); -RVTEST_SIGUPD(x31,x8,328); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -add x7, x5, x6; -sha256sum0 x5, x7; -add x8, x5, x6; -RVTEST_SIGUPD(x31,x7,336); -RVTEST_SIGUPD(x31,x5,344); -RVTEST_SIGUPD(x31,x8,352); - -inst_5: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x8, x6, x7; -sha256sum0 x6, x8; -xor x9, x6, x7; -RVTEST_SIGUPD(x31,x8,360); -RVTEST_SIGUPD(x31,x6,368); -RVTEST_SIGUPD(x31,x9,376); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -not x8, x7; -sha256sum0 x6, x8; -not x9, x6; -RVTEST_SIGUPD(x31,x8,384); -RVTEST_SIGUPD(x31,x6,392); -RVTEST_SIGUPD(x31,x9,400); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -add x8, x6, x7; -sha256sum0 x6, x8; -add x9, x6, x7; -RVTEST_SIGUPD(x31,x8,408); -RVTEST_SIGUPD(x31,x6,416); -RVTEST_SIGUPD(x31,x9,424); - -inst_6: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x9, x7, x8; -sha256sum0 x7, x9; -xor x10, x7, x8; -RVTEST_SIGUPD(x31,x9,432); -RVTEST_SIGUPD(x31,x7,440); -RVTEST_SIGUPD(x31,x10,448); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -not x9, x8; -sha256sum0 x7, x9; -not x10, x7; -RVTEST_SIGUPD(x31,x9,456); -RVTEST_SIGUPD(x31,x7,464); -RVTEST_SIGUPD(x31,x10,472); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -add x9, x7, x8; -sha256sum0 x7, x9; -add x10, x7, x8; -RVTEST_SIGUPD(x31,x9,480); -RVTEST_SIGUPD(x31,x7,488); -RVTEST_SIGUPD(x31,x10,496); - -inst_7: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x10, x8, x9; -sha256sum0 x8, x10; -xor x11, x8, x9; -RVTEST_SIGUPD(x31,x10,504); -RVTEST_SIGUPD(x31,x8,512); -RVTEST_SIGUPD(x31,x11,520); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -not x10, x9; -sha256sum0 x8, x10; -not x11, x8; -RVTEST_SIGUPD(x31,x10,528); -RVTEST_SIGUPD(x31,x8,536); -RVTEST_SIGUPD(x31,x11,544); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -add x10, x8, x9; -sha256sum0 x8, x10; -add x11, x8, x9; -RVTEST_SIGUPD(x31,x10,552); -RVTEST_SIGUPD(x31,x8,560); -RVTEST_SIGUPD(x31,x11,568); - -inst_8: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x11, x9, x10; -sha256sum0 x9, x11; -xor x12, x9, x10; -RVTEST_SIGUPD(x31,x11,576); -RVTEST_SIGUPD(x31,x9,584); -RVTEST_SIGUPD(x31,x12,592); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -not x11, x10; -sha256sum0 x9, x11; -not x12, x9; -RVTEST_SIGUPD(x31,x11,600); -RVTEST_SIGUPD(x31,x9,608); -RVTEST_SIGUPD(x31,x12,616); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -add x11, x9, x10; -sha256sum0 x9, x11; -add x12, x9, x10; -RVTEST_SIGUPD(x31,x11,624); -RVTEST_SIGUPD(x31,x9,632); -RVTEST_SIGUPD(x31,x12,640); - -inst_9: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x12, x10, x11; -sha256sum0 x10, x12; -xor x13, x10, x11; -RVTEST_SIGUPD(x31,x12,648); -RVTEST_SIGUPD(x31,x10,656); -RVTEST_SIGUPD(x31,x13,664); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -not x12, x11; -sha256sum0 x10, x12; -not x13, x10; -RVTEST_SIGUPD(x31,x12,672); -RVTEST_SIGUPD(x31,x10,680); -RVTEST_SIGUPD(x31,x13,688); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -add x12, x10, x11; -sha256sum0 x10, x12; -add x13, x10, x11; -RVTEST_SIGUPD(x31,x12,696); -RVTEST_SIGUPD(x31,x10,704); -RVTEST_SIGUPD(x31,x13,712); - -inst_10: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x13, x11, x12; -sha256sum0 x11, x13; -xor x14, x11, x12; -RVTEST_SIGUPD(x31,x13,720); -RVTEST_SIGUPD(x31,x11,728); -RVTEST_SIGUPD(x31,x14,736); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -not x13, x12; -sha256sum0 x11, x13; -not x14, x11; -RVTEST_SIGUPD(x31,x13,744); -RVTEST_SIGUPD(x31,x11,752); -RVTEST_SIGUPD(x31,x14,760); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -add x13, x11, x12; -sha256sum0 x11, x13; -add x14, x11, x12; -RVTEST_SIGUPD(x31,x13,768); -RVTEST_SIGUPD(x31,x11,776); -RVTEST_SIGUPD(x31,x14,784); - -inst_11: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x14, x12, x13; -sha256sum0 x12, x14; -xor x15, x12, x13; -RVTEST_SIGUPD(x31,x14,792); -RVTEST_SIGUPD(x31,x12,800); -RVTEST_SIGUPD(x31,x15,808); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -not x14, x13; -sha256sum0 x12, x14; -not x15, x12; -RVTEST_SIGUPD(x31,x14,816); -RVTEST_SIGUPD(x31,x12,824); -RVTEST_SIGUPD(x31,x15,832); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -add x14, x12, x13; -sha256sum0 x12, x14; -add x15, x12, x13; -RVTEST_SIGUPD(x31,x14,840); -RVTEST_SIGUPD(x31,x12,848); -RVTEST_SIGUPD(x31,x15,856); - -inst_12: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x15, x13, x14; -sha256sum0 x13, x15; -xor x16, x13, x14; -RVTEST_SIGUPD(x31,x15,864); -RVTEST_SIGUPD(x31,x13,872); -RVTEST_SIGUPD(x31,x16,880); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -not x15, x14; -sha256sum0 x13, x15; -not x16, x13; -RVTEST_SIGUPD(x31,x15,888); -RVTEST_SIGUPD(x31,x13,896); -RVTEST_SIGUPD(x31,x16,904); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -add x15, x13, x14; -sha256sum0 x13, x15; -add x16, x13, x14; -RVTEST_SIGUPD(x31,x15,912); -RVTEST_SIGUPD(x31,x13,920); -RVTEST_SIGUPD(x31,x16,928); - -inst_13: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x16, x14, x15; -sha256sum0 x14, x16; -xor x17, x14, x15; -RVTEST_SIGUPD(x31,x16,936); -RVTEST_SIGUPD(x31,x14,944); -RVTEST_SIGUPD(x31,x17,952); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -not x16, x15; -sha256sum0 x14, x16; -not x17, x14; -RVTEST_SIGUPD(x31,x16,960); -RVTEST_SIGUPD(x31,x14,968); -RVTEST_SIGUPD(x31,x17,976); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -add x16, x14, x15; -sha256sum0 x14, x16; -add x17, x14, x15; -RVTEST_SIGUPD(x31,x16,984); -RVTEST_SIGUPD(x31,x14,992); -RVTEST_SIGUPD(x31,x17,1000); - -inst_14: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x17, x15, x16; -sha256sum0 x15, x17; -xor x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1008); -RVTEST_SIGUPD(x31,x15,1016); -RVTEST_SIGUPD(x31,x18,1024); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -not x17, x16; -sha256sum0 x15, x17; -not x18, x15; -RVTEST_SIGUPD(x31,x17,1032); -RVTEST_SIGUPD(x31,x15,1040); -RVTEST_SIGUPD(x31,x18,1048); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -add x17, x15, x16; -sha256sum0 x15, x17; -add x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1056); -RVTEST_SIGUPD(x31,x15,1064); -RVTEST_SIGUPD(x31,x18,1072); - -inst_15: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x18, x16, x17; -sha256sum0 x16, x18; -xor x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1080); -RVTEST_SIGUPD(x31,x16,1088); -RVTEST_SIGUPD(x31,x19,1096); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -not x18, x17; -sha256sum0 x16, x18; -not x19, x16; -RVTEST_SIGUPD(x31,x18,1104); -RVTEST_SIGUPD(x31,x16,1112); -RVTEST_SIGUPD(x31,x19,1120); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -add x18, x16, x17; -sha256sum0 x16, x18; -add x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1128); -RVTEST_SIGUPD(x31,x16,1136); -RVTEST_SIGUPD(x31,x19,1144); - -inst_16: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x19, x17, x18; -sha256sum0 x17, x19; -xor x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1152); -RVTEST_SIGUPD(x31,x17,1160); -RVTEST_SIGUPD(x31,x20,1168); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -not x19, x18; -sha256sum0 x17, x19; -not x20, x17; -RVTEST_SIGUPD(x31,x19,1176); -RVTEST_SIGUPD(x31,x17,1184); -RVTEST_SIGUPD(x31,x20,1192); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -add x19, x17, x18; -sha256sum0 x17, x19; -add x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1200); -RVTEST_SIGUPD(x31,x17,1208); -RVTEST_SIGUPD(x31,x20,1216); - -inst_17: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x20, x18, x19; -sha256sum0 x18, x20; -xor x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1224); -RVTEST_SIGUPD(x31,x18,1232); -RVTEST_SIGUPD(x31,x21,1240); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -not x20, x19; -sha256sum0 x18, x20; -not x21, x18; -RVTEST_SIGUPD(x31,x20,1248); -RVTEST_SIGUPD(x31,x18,1256); -RVTEST_SIGUPD(x31,x21,1264); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -add x20, x18, x19; -sha256sum0 x18, x20; -add x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1272); -RVTEST_SIGUPD(x31,x18,1280); -RVTEST_SIGUPD(x31,x21,1288); - -inst_18: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x21, x19, x20; -sha256sum0 x19, x21; -xor x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1296); -RVTEST_SIGUPD(x31,x19,1304); -RVTEST_SIGUPD(x31,x22,1312); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -not x21, x20; -sha256sum0 x19, x21; -not x22, x19; -RVTEST_SIGUPD(x31,x21,1320); -RVTEST_SIGUPD(x31,x19,1328); -RVTEST_SIGUPD(x31,x22,1336); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -add x21, x19, x20; -sha256sum0 x19, x21; -add x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1344); -RVTEST_SIGUPD(x31,x19,1352); -RVTEST_SIGUPD(x31,x22,1360); - -inst_19: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x22, x20, x21; -sha256sum0 x20, x22; -xor x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1368); -RVTEST_SIGUPD(x31,x20,1376); -RVTEST_SIGUPD(x31,x23,1384); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -not x22, x21; -sha256sum0 x20, x22; -not x23, x20; -RVTEST_SIGUPD(x31,x22,1392); -RVTEST_SIGUPD(x31,x20,1400); -RVTEST_SIGUPD(x31,x23,1408); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -add x22, x20, x21; -sha256sum0 x20, x22; -add x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1416); -RVTEST_SIGUPD(x31,x20,1424); -RVTEST_SIGUPD(x31,x23,1432); - -inst_20: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x23, x21, x22; -sha256sum0 x21, x23; -xor x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1440); -RVTEST_SIGUPD(x31,x21,1448); -RVTEST_SIGUPD(x31,x24,1456); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -not x23, x22; -sha256sum0 x21, x23; -not x24, x21; -RVTEST_SIGUPD(x31,x23,1464); -RVTEST_SIGUPD(x31,x21,1472); -RVTEST_SIGUPD(x31,x24,1480); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -add x23, x21, x22; -sha256sum0 x21, x23; -add x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1488); -RVTEST_SIGUPD(x31,x21,1496); -RVTEST_SIGUPD(x31,x24,1504); - -inst_21: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x24, x22, x23; -sha256sum0 x22, x24; -xor x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1512); -RVTEST_SIGUPD(x31,x22,1520); -RVTEST_SIGUPD(x31,x25,1528); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -not x24, x23; -sha256sum0 x22, x24; -not x25, x22; -RVTEST_SIGUPD(x31,x24,1536); -RVTEST_SIGUPD(x31,x22,1544); -RVTEST_SIGUPD(x31,x25,1552); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -add x24, x22, x23; -sha256sum0 x22, x24; -add x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1560); -RVTEST_SIGUPD(x31,x22,1568); -RVTEST_SIGUPD(x31,x25,1576); - -inst_22: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x25, x23, x24; -sha256sum0 x23, x25; -xor x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1584); -RVTEST_SIGUPD(x31,x23,1592); -RVTEST_SIGUPD(x31,x26,1600); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -not x25, x24; -sha256sum0 x23, x25; -not x26, x23; -RVTEST_SIGUPD(x31,x25,1608); -RVTEST_SIGUPD(x31,x23,1616); -RVTEST_SIGUPD(x31,x26,1624); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -add x25, x23, x24; -sha256sum0 x23, x25; -add x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1632); -RVTEST_SIGUPD(x31,x23,1640); -RVTEST_SIGUPD(x31,x26,1648); - -inst_23: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x26, x24, x25; -sha256sum0 x24, x26; -xor x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1656); -RVTEST_SIGUPD(x31,x24,1664); -RVTEST_SIGUPD(x31,x27,1672); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -not x26, x25; -sha256sum0 x24, x26; -not x27, x24; -RVTEST_SIGUPD(x31,x26,1680); -RVTEST_SIGUPD(x31,x24,1688); -RVTEST_SIGUPD(x31,x27,1696); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -add x26, x24, x25; -sha256sum0 x24, x26; -add x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1704); -RVTEST_SIGUPD(x31,x24,1712); -RVTEST_SIGUPD(x31,x27,1720); - -inst_24: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x27, x25, x26; -sha256sum0 x25, x27; -xor x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1728); -RVTEST_SIGUPD(x31,x25,1736); -RVTEST_SIGUPD(x31,x28,1744); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -not x27, x26; -sha256sum0 x25, x27; -not x28, x25; -RVTEST_SIGUPD(x31,x27,1752); -RVTEST_SIGUPD(x31,x25,1760); -RVTEST_SIGUPD(x31,x28,1768); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -add x27, x25, x26; -sha256sum0 x25, x27; -add x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1776); -RVTEST_SIGUPD(x31,x25,1784); -RVTEST_SIGUPD(x31,x28,1792); - -inst_25: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x28, x26, x27; -sha256sum0 x26, x28; -xor x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1800); -RVTEST_SIGUPD(x31,x26,1808); -RVTEST_SIGUPD(x31,x29,1816); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -not x28, x27; -sha256sum0 x26, x28; -not x29, x26; -RVTEST_SIGUPD(x31,x28,1824); -RVTEST_SIGUPD(x31,x26,1832); -RVTEST_SIGUPD(x31,x29,1840); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -add x28, x26, x27; -sha256sum0 x26, x28; -add x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1848); -RVTEST_SIGUPD(x31,x26,1856); -RVTEST_SIGUPD(x31,x29,1864); - -inst_26: -// Forwarded xor into sha256sum0 & the result back into xor -// opcode: sha256sum0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -xor x29, x27, x28; -sha256sum0 x27, x29; -xor x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1872); -RVTEST_SIGUPD(x31,x27,1880); -RVTEST_SIGUPD(x31,x30,1888); - -// Forwarded not into sha256sum0 & the result back into not -// opcode: sha256sum0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -not x29, x28; -sha256sum0 x27, x29; -not x30, x27; -RVTEST_SIGUPD(x31,x29,1896); -RVTEST_SIGUPD(x31,x27,1904); -RVTEST_SIGUPD(x31,x30,1912); - -// Forwarded add into sha256sum0 & the result back into add -// opcode: sha256sum0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -add x29, x27, x28; -sha256sum0 x27, x29; -add x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1920); -RVTEST_SIGUPD(x31,x27,1928); -RVTEST_SIGUPD(x31,x30,1936); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 243*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp2.S deleted file mode 100644 index 511778482..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp2.S +++ /dev/null @@ -1,307 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha256sum0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha256sum0) - -RVTEST_SIGBASE( x31,signature_x31_1) - -la x1, rvtest_data - -inst_0: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x2; dest1:x3; -LREG x2, 0(x1); -sha256sum0 x3, x2; -RVTEST_SIGUPD(x31,x2,0); -RVTEST_SIGUPD(x31,x3,8); - -inst_1: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x3; dest1:x4; -LREG x3, 8(x1); -sha256sum0 x4, x3; -RVTEST_SIGUPD(x31,x3,16); -RVTEST_SIGUPD(x31,x4,24); - -inst_2: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x4; dest1:x5; -LREG x4, 16(x1); -sha256sum0 x5, x4; -RVTEST_SIGUPD(x31,x4,32); -RVTEST_SIGUPD(x31,x5,40); - -inst_3: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x5; dest1:x6; -LREG x5, 24(x1); -sha256sum0 x6, x5; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); - -inst_4: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x6; dest1:x7; -LREG x6, 32(x1); -sha256sum0 x7, x6; -RVTEST_SIGUPD(x31,x6,64); -RVTEST_SIGUPD(x31,x7,72); - -inst_5: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x7; dest1:x8; -LREG x7, 40(x1); -sha256sum0 x8, x7; -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_6: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x8; dest1:x9; -LREG x8, 48(x1); -sha256sum0 x9, x8; -RVTEST_SIGUPD(x31,x8,96); -RVTEST_SIGUPD(x31,x9,104); - -inst_7: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x9; dest1:x10; -LREG x9, 56(x1); -sha256sum0 x10, x9; -RVTEST_SIGUPD(x31,x9,112); -RVTEST_SIGUPD(x31,x10,120); - -inst_8: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x10; dest1:x11; -LREG x10, 64(x1); -sha256sum0 x11, x10; -RVTEST_SIGUPD(x31,x10,128); -RVTEST_SIGUPD(x31,x11,136); - -inst_9: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x11; dest1:x12; -LREG x11, 72(x1); -sha256sum0 x12, x11; -RVTEST_SIGUPD(x31,x11,144); -RVTEST_SIGUPD(x31,x12,152); - -inst_10: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x12; dest1:x13; -LREG x12, 80(x1); -sha256sum0 x13, x12; -RVTEST_SIGUPD(x31,x12,160); -RVTEST_SIGUPD(x31,x13,168); - -inst_11: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x13; dest1:x14; -LREG x13, 88(x1); -sha256sum0 x14, x13; -RVTEST_SIGUPD(x31,x13,176); -RVTEST_SIGUPD(x31,x14,184); - -inst_12: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x14; dest1:x15; -LREG x14, 96(x1); -sha256sum0 x15, x14; -RVTEST_SIGUPD(x31,x14,192); -RVTEST_SIGUPD(x31,x15,200); - -inst_13: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x15; dest1:x16; -LREG x15, 104(x1); -sha256sum0 x16, x15; -RVTEST_SIGUPD(x31,x15,208); -RVTEST_SIGUPD(x31,x16,216); - -inst_14: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x16; dest1:x17; -LREG x16, 112(x1); -sha256sum0 x17, x16; -RVTEST_SIGUPD(x31,x16,224); -RVTEST_SIGUPD(x31,x17,232); - -inst_15: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x17; dest1:x18; -LREG x17, 120(x1); -sha256sum0 x18, x17; -RVTEST_SIGUPD(x31,x17,240); -RVTEST_SIGUPD(x31,x18,248); - -inst_16: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x18; dest1:x19; -LREG x18, 128(x1); -sha256sum0 x19, x18; -RVTEST_SIGUPD(x31,x18,256); -RVTEST_SIGUPD(x31,x19,264); - -inst_17: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x19; dest1:x20; -LREG x19, 136(x1); -sha256sum0 x20, x19; -RVTEST_SIGUPD(x31,x19,272); -RVTEST_SIGUPD(x31,x20,280); - -inst_18: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x20; dest1:x21; -LREG x20, 144(x1); -sha256sum0 x21, x20; -RVTEST_SIGUPD(x31,x20,288); -RVTEST_SIGUPD(x31,x21,296); - -inst_19: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x21; dest1:x22; -LREG x21, 152(x1); -sha256sum0 x22, x21; -RVTEST_SIGUPD(x31,x21,304); -RVTEST_SIGUPD(x31,x22,312); - -inst_20: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x22; dest1:x23; -LREG x22, 160(x1); -sha256sum0 x23, x22; -RVTEST_SIGUPD(x31,x22,320); -RVTEST_SIGUPD(x31,x23,328); - -inst_21: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x23; dest1:x24; -LREG x23, 168(x1); -sha256sum0 x24, x23; -RVTEST_SIGUPD(x31,x23,336); -RVTEST_SIGUPD(x31,x24,344); - -inst_22: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x24; dest1:x25; -LREG x24, 176(x1); -sha256sum0 x25, x24; -RVTEST_SIGUPD(x31,x24,352); -RVTEST_SIGUPD(x31,x25,360); - -inst_23: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x25; dest1:x26; -LREG x25, 184(x1); -sha256sum0 x26, x25; -RVTEST_SIGUPD(x31,x25,368); -RVTEST_SIGUPD(x31,x26,376); - -inst_24: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x26; dest1:x27; -LREG x26, 192(x1); -sha256sum0 x27, x26; -RVTEST_SIGUPD(x31,x26,384); -RVTEST_SIGUPD(x31,x27,392); - -inst_25: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x27; dest1:x28; -LREG x27, 200(x1); -sha256sum0 x28, x27; -RVTEST_SIGUPD(x31,x27,400); -RVTEST_SIGUPD(x31,x28,408); - -inst_26: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x28; dest1:x29; -LREG x28, 208(x1); -sha256sum0 x29, x28; -RVTEST_SIGUPD(x31,x28,416); -RVTEST_SIGUPD(x31,x29,424); - -inst_27: -// Checking load-to-use hazard! -// opcode: sha256sum0; op1:x29; dest1:x30; -LREG x29, 216(x1); -sha256sum0 x30, x29; -RVTEST_SIGUPD(x31,x29,432); -RVTEST_SIGUPD(x31,x30,440); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.dword 0x08577eb1924770d3 -.dword 0x93fdcab87b89296c -.dword 0xd2d6b8777dc59a3a -.dword 0xcf84b683a749f9c5 -.dword 0x854a965708ceac39 -.dword 0x137a977753e8eb43 -.dword 0x5c74e45eff1e5bef -.dword 0xdc3383836b9f15c4 -.dword 0x5ae6a2289a6ab329 -.dword 0x432779eeacca7f0d -.dword 0xaf949e5e2cb7362c -.dword 0x5cd2875ea96ec2b3 -.dword 0x9d02fc90708cc1b6 -.dword 0x953b00b00b54aa22 -.dword 0x224c06013c53d0e3 -.dword 0xe8dac663f0e58650 -.dword 0x3d7c95f9e5f0307e -.dword 0x8c8a18b2aaac3142 -.dword 0x785036de6f9fb997 -.dword 0x95a4d257a7298c66 -.dword 0x807da245d814d575 -.dword 0x3d06143769b1dcbf -.dword 0x7f21682208208d09 -.dword 0x14b91c79dae98554 -.dword 0xc5ec6148c6880007 -.dword 0x7213516d6a013380 -.dword 0x4652f62dae4839a1 -.dword 0x85986adb9e044706 -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 56*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-01.S deleted file mode 100644 index 7854abe88..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-01.S +++ /dev/null @@ -1,1282 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sha256sum1 instruction of the RISC-V extension for the sha256sum1 covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",sha256sum1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",sha256sum1) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKnh.*);def TEST_CASE_1=True;",sha256sum1) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rd, rs1==x25, rd==x11, rs1_val == 0x75a3adb3254a9493 -// opcode: sha256sum1 ; op1:x25; dest:x11; op1val:0x75a3adb3254a9493; -li x25, 0x75a3adb3254a9493 -sha256sum1 x11, x25 -sw x11, 0(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_1: -// rs1 == rd, rs1==x8, rd==x8, rs1_val == 9223372036854775807, -// opcode: sha256sum1 ; op1:x8; dest:x8; op1val:0x7fffffffffffffff; -li x8, 0x7fffffffffffffff -sha256sum1 x8, x8 -sw x8, 8(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x8, 0x0000000000000000) - -inst_2: -// rs1==x28, rd==x16, rs1_val == 13835058055282163711, -// opcode: sha256sum1 ; op1:x28; dest:x16; op1val:0xbfffffffffffffff; -li x28, 0xbfffffffffffffff -sha256sum1 x16, x28 -sw x16, 16(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x16, 0x0000000000000000) - -inst_3: -// rs1==x22, rd==x7, rs1_val == 16140901064495857663, -// opcode: sha256sum1 ; op1:x22; dest:x7; op1val:0xdfffffffffffffff; -li x22, 0xdfffffffffffffff -sha256sum1 x7, x22 -sw x7, 24(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x7, 0x0000000000000000) - -inst_4: -// rs1==x2, rd==x12, rs1_val == 17293822569102704639, -// opcode: sha256sum1 ; op1:x2; dest:x12; op1val:0xefffffffffffffff; -li x2, 0xefffffffffffffff -sha256sum1 x12, x2 -sw x12, 32(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x12, 0x0000000000000000) - -inst_5: -// rs1==x26, rd==x17, rs1_val == 17870283321406128127, -// opcode: sha256sum1 ; op1:x26; dest:x17; op1val:0xf7ffffffffffffff; -li x26, 0xf7ffffffffffffff -sha256sum1 x17, x26 -sw x17, 40(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x17, 0x0000000000000000) - -inst_6: -// rs1==x10, rd==x6, rs1_val == 18158513697557839871, -// opcode: sha256sum1 ; op1:x10; dest:x6; op1val:0xfbffffffffffffff; -li x10, 0xfbffffffffffffff -sha256sum1 x6, x10 -sw x6, 48(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x6, 0x0000000000000000) - -inst_7: -// rs1==x21, rd==x4, rs1_val == 18302628885633695743, -// opcode: sha256sum1 ; op1:x21; dest:x4; op1val:0xfdffffffffffffff; -li x21, 0xfdffffffffffffff -sha256sum1 x4, x21 -sw x4, 56(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x4, 0x0000000000000000) - -inst_8: -// rs1==x15, rd==x5, rs1_val == 18374686479671623679, -// opcode: sha256sum1 ; op1:x15; dest:x5; op1val:0xfeffffffffffffff; -li x15, 0xfeffffffffffffff -sha256sum1 x5, x15 -sw x5, 64(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x5, 0x0000000000000000) - -inst_9: -// rs1==x1, rd==x9, rs1_val == 18410715276690587647, -// opcode: sha256sum1 ; op1:x1; dest:x9; op1val:0xff7fffffffffffff; -li x1, 0xff7fffffffffffff -sha256sum1 x9, x1 -sw x9, 72(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x9, 0x0000000000000000) - -inst_10: -// rs1==x14, rd==x19, rs1_val == 18428729675200069631, -// opcode: sha256sum1 ; op1:x14; dest:x19; op1val:0xffbfffffffffffff; -li x14, 0xffbfffffffffffff -sha256sum1 x19, x14 -sw x19, 80(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x19, 0x0000000000000000) - -inst_11: -// rs1==x16, rd==x21, rs1_val == 18437736874454810623, -// opcode: sha256sum1 ; op1:x16; dest:x21; op1val:0xffdfffffffffffff; -li x16, 0xffdfffffffffffff -sha256sum1 x21, x16 -sw x21, 88(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x21, 0x0000000000000000) - -inst_12: -// rs1==x5, rd==x20, rs1_val == 18442240474082181119, -// opcode: sha256sum1 ; op1:x5; dest:x20; op1val:0xffefffffffffffff; -li x5, 0xffefffffffffffff -sha256sum1 x20, x5 -sw x20, 96(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x20, 0x0000000000000000) - -inst_13: -// rs1==x29, rd==x31, rs1_val == 18444492273895866367, -// opcode: sha256sum1 ; op1:x29; dest:x31; op1val:0xfff7ffffffffffff; -li x29, 0xfff7ffffffffffff -sha256sum1 x31, x29 -sw x31, 104(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x31, 0x0000000000000000) - -inst_14: -// rs1==x30, rd==x2, rs1_val == 18445618173802708991, -// opcode: sha256sum1 ; op1:x30; dest:x2; op1val:0xfffbffffffffffff; -li x30, 0xfffbffffffffffff -sha256sum1 x2, x30 -sw x2, 112(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x2, 0x0000000000000000) - -inst_15: -// rs1==x9, rd==x23, rs1_val == 18446181123756130303, -// opcode: sha256sum1 ; op1:x9; dest:x23; op1val:0xfffdffffffffffff; -li x9, 0xfffdffffffffffff -sha256sum1 x23, x9 -sw x23, 120(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x23, 0x0000000000000000) - -inst_16: -// rs1==x0, rd==x26, rs1_val == 18446462598732840959, -// opcode: sha256sum1 ; op1:x0; dest:x26; op1val:0x0; -li x0, 0x0 -sha256sum1 x26, x0 -sw x26, 128(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x26, 0x0000000000000000) - -inst_17: -// rs1==x19, rd==x15, rs1_val == 18446603336221196287, -// opcode: sha256sum1 ; op1:x19; dest:x15; op1val:0xffff7fffffffffff; -li x19, 0xffff7fffffffffff -sha256sum1 x15, x19 -sw x15, 136(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x15, 0x0000000000000000) - -inst_18: -// rs1==x11, rd==x13, rs1_val == 18446673704965373951, -// opcode: sha256sum1 ; op1:x11; dest:x13; op1val:0xffffbfffffffffff; -li x11, 0xffffbfffffffffff -sha256sum1 x13, x11 -sw x13, 144(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x13, 0x0000000000000000) - -inst_19: -// rs1==x7, rd==x14, rs1_val == 18446708889337462783, -// opcode: sha256sum1 ; op1:x7; dest:x14; op1val:0xffffdfffffffffff; -li x7, 0xffffdfffffffffff -sha256sum1 x14, x7 -sw x14, 152(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x14, 0x0000000000000000) - -inst_20: -// rs1==x17, rd==x22, rs1_val == 18446726481523507199, -// opcode: sha256sum1 ; op1:x17; dest:x22; op1val:0xffffefffffffffff; -li x17, 0xffffefffffffffff -sha256sum1 x22, x17 -sw x22, 160(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x22, 0x0000000000000000) - -inst_21: -// rs1==x27, rd==x10, rs1_val == 18446735277616529407, -// opcode: sha256sum1 ; op1:x27; dest:x10; op1val:0xfffff7ffffffffff; -li x27, 0xfffff7ffffffffff -sha256sum1 x10, x27 -sw x10, 168(x3) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x10, 0x0000000000000000) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_22: -// rs1==x20, rd==x24, rs1_val == 18446739675663040511, -// opcode: sha256sum1 ; op1:x20; dest:x24; op1val:0xfffffbffffffffff; -li x20, 0xfffffbffffffffff -sha256sum1 x24, x20 -sw x24, 0(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x24, 0x0000000000000000) - -inst_23: -// rs1==x6, rd==x25, rs1_val == 18446741874686296063, -// opcode: sha256sum1 ; op1:x6; dest:x25; op1val:0xfffffdffffffffff; -li x6, 0xfffffdffffffffff -sha256sum1 x25, x6 -sw x25, 8(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x25, 0x0000000000000000) - -inst_24: -// rs1==x31, rd==x3, rs1_val == 18446742974197923839, -// opcode: sha256sum1 ; op1:x31; dest:x3; op1val:0xfffffeffffffffff; -li x31, 0xfffffeffffffffff -sha256sum1 x3, x31 -sw x3, 16(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x3, 0x0000000000000000) - -inst_25: -// rs1==x4, rd==x1, rs1_val == 18446743523953737727, -// opcode: sha256sum1 ; op1:x4; dest:x1; op1val:0xffffff7fffffffff; -li x4, 0xffffff7fffffffff -sha256sum1 x1, x4 -sw x1, 24(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x1, 0x0000000000000000) - -inst_26: -// rs1==x12, rd==x0, rs1_val == 18446743798831644671, -// opcode: sha256sum1 ; op1:x12; dest:x0; op1val:0xffffffbfffffffff; -li x12, 0xffffffbfffffffff -sha256sum1 x0, x12 -sw x0, 32(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x0, 0x0000000000000000) - -inst_27: -// rs1==x18, rd==x30, rs1_val == 18446743936270598143, -// opcode: sha256sum1 ; op1:x18; dest:x30; op1val:0xffffffdfffffffff; -li x18, 0xffffffdfffffffff -sha256sum1 x30, x18 -sw x30, 40(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x30, 0x0000000000000000) - -inst_28: -// rs1==x13, rd==x27, rs1_val == 18446744004990074879, -// opcode: sha256sum1 ; op1:x13; dest:x27; op1val:0xffffffefffffffff; -li x13, 0xffffffefffffffff -sha256sum1 x27, x13 -sw x27, 48(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x27, 0x0000000000000000) - -inst_29: -// rs1==x3, rd==x29, rs1_val == 18446744039349813247, -// opcode: sha256sum1 ; op1:x3; dest:x29; op1val:0xfffffff7ffffffff; -li x3, 0xfffffff7ffffffff -sha256sum1 x29, x3 -sw x29, 56(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x29, 0x0000000000000000) - -inst_30: -// rs1==x24, rd==x28, rs1_val == 18446744056529682431, -// opcode: sha256sum1 ; op1:x24; dest:x28; op1val:0xfffffffbffffffff; -li x24, 0xfffffffbffffffff -sha256sum1 x28, x24 -sw x28, 64(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x28, 0x0000000000000000) - -inst_31: -// rs1==x23, rd==x18, rs1_val == 18446744065119617023, -// opcode: sha256sum1 ; op1:x23; dest:x18; op1val:0xfffffffdffffffff; -li x23, 0xfffffffdffffffff -sha256sum1 x18, x23 -sw x18, 72(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x18, 0x0000000000000000) - -inst_32: -// rs1_val == 18446744069414584319, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffeffffffff; -li x10, 0xfffffffeffffffff -sha256sum1 x11, x10 -sw x11, 80(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_33: -// rs1_val == 18446744071562067967, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffff7fffffff; -li x10, 0xffffffff7fffffff -sha256sum1 x11, x10 -sw x11, 88(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_34: -// rs1_val == 18446744072635809791, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffbfffffff; -li x10, 0xffffffffbfffffff -sha256sum1 x11, x10 -sw x11, 96(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_35: -// rs1_val == 18446744073172680703, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffdfffffff; -li x10, 0xffffffffdfffffff -sha256sum1 x11, x10 -sw x11, 104(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_36: -// rs1_val == 18446744073441116159, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffefffffff; -li x10, 0xffffffffefffffff -sha256sum1 x11, x10 -sw x11, 112(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_37: -// rs1_val == 18446744073575333887, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffff7ffffff; -li x10, 0xfffffffff7ffffff -sha256sum1 x11, x10 -sw x11, 120(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_38: -// rs1_val == 18446744073642442751, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffbffffff; -li x10, 0xfffffffffbffffff -sha256sum1 x11, x10 -sw x11, 128(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_39: -// rs1_val == 18446744073675997183, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffdffffff; -li x10, 0xfffffffffdffffff -sha256sum1 x11, x10 -sw x11, 136(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_40: -// rs1_val == 18446744073692774399, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffeffffff; -li x10, 0xfffffffffeffffff -sha256sum1 x11, x10 -sw x11, 144(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_41: -// rs1_val == 18446744073701163007, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffff7fffff; -li x10, 0xffffffffff7fffff -sha256sum1 x11, x10 -sw x11, 152(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_42: -// rs1_val == 18446744073705357311, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffffbfffff; -li x10, 0xffffffffffbfffff -sha256sum1 x11, x10 -sw x11, 160(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_43: -// rs1_val == 18446744073707454463, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffffdfffff; -li x10, 0xffffffffffdfffff -sha256sum1 x11, x10 -sw x11, 168(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_44: -// rs1_val == 18446744073708503039, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffffefffff; -li x10, 0xffffffffffefffff -sha256sum1 x11, x10 -sw x11, 176(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_45: -// rs1_val == 18446744073709027327, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffff7ffff; -li x10, 0xfffffffffff7ffff -sha256sum1 x11, x10 -sw x11, 184(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_46: -// rs1_val == 18446744073709289471, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffbffff; -li x10, 0xfffffffffffbffff -sha256sum1 x11, x10 -sw x11, 192(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_47: -// rs1_val == 18446744073709420543, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffdffff; -li x10, 0xfffffffffffdffff -sha256sum1 x11, x10 -sw x11, 200(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_48: -// rs1_val == 18446744073709486079, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffeffff; -li x10, 0xfffffffffffeffff -sha256sum1 x11, x10 -sw x11, 208(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_49: -// rs1_val == 18446744073709518847, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffffff7fff; -li x10, 0xffffffffffff7fff -sha256sum1 x11, x10 -sw x11, 216(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_50: -// rs1_val == 18446744073709535231, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffffffbfff; -li x10, 0xffffffffffffbfff -sha256sum1 x11, x10 -sw x11, 224(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_51: -// rs1_val == 18446744073709543423, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffffffdfff; -li x10, 0xffffffffffffdfff -sha256sum1 x11, x10 -sw x11, 232(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_52: -// rs1_val == 18446744073709547519, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffffffefff; -li x10, 0xffffffffffffefff -sha256sum1 x11, x10 -sw x11, 240(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_53: -// rs1_val == 18446744073709549567, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffff7ff; -li x10, 0xfffffffffffff7ff -sha256sum1 x11, x10 -sw x11, 248(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_54: -// rs1_val == 18446744073709550591, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffffbff; -li x10, 0xfffffffffffffbff -sha256sum1 x11, x10 -sw x11, 256(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_55: -// rs1_val == 18446744073709551103, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffffdff; -li x10, 0xfffffffffffffdff -sha256sum1 x11, x10 -sw x11, 264(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_56: -// rs1_val == 18446744073709551359, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffffeff; -li x10, 0xfffffffffffffeff -sha256sum1 x11, x10 -sw x11, 272(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_57: -// rs1_val == 18446744073709551487, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffffffff7f; -li x10, 0xffffffffffffff7f -sha256sum1 x11, x10 -sw x11, 280(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_58: -// rs1_val == 18446744073709551551, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffffffffbf; -li x10, 0xffffffffffffffbf -sha256sum1 x11, x10 -sw x11, 288(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_59: -// rs1_val == 18446744073709551583, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffffffffdf; -li x10, 0xffffffffffffffdf -sha256sum1 x11, x10 -sw x11, 296(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_60: -// rs1_val == 18446744073709551599, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffffffffffef; -li x10, 0xffffffffffffffef -sha256sum1 x11, x10 -sw x11, 304(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_61: -// rs1_val == 18446744073709551607, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffffff7; -li x10, 0xfffffffffffffff7 -sha256sum1 x11, x10 -sw x11, 312(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_62: -// rs1_val == 18446744073709551611, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffb; -li x10, 0xfffffffffffffffb -sha256sum1 x11, x10 -sw x11, 320(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_63: -// rs1_val == 18446744073709551613, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; -li x10, 0xfffffffffffffffd -sha256sum1 x11, x10 -sw x11, 328(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_64: -// rs1_val == 18446744073709551614, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; -li x10, 0xfffffffffffffffe -sha256sum1 x11, x10 -sw x11, 336(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_65: -// rs1_val == 9223372036854775808, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x8000000000000000; -li x10, 0x8000000000000000 -sha256sum1 x11, x10 -sw x11, 344(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_66: -// rs1_val == 4611686018427387904, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x4000000000000000; -li x10, 0x4000000000000000 -sha256sum1 x11, x10 -sw x11, 352(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_67: -// rs1_val == 2305843009213693952, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x2000000000000000; -li x10, 0x2000000000000000 -sha256sum1 x11, x10 -sw x11, 360(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_68: -// rs1_val == 1152921504606846976, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x1000000000000000; -li x10, 0x1000000000000000 -sha256sum1 x11, x10 -sw x11, 368(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_69: -// rs1_val == 576460752303423488, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x800000000000000; -li x10, 0x800000000000000 -sha256sum1 x11, x10 -sw x11, 376(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_70: -// rs1_val == 288230376151711744, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x400000000000000; -li x10, 0x400000000000000 -sha256sum1 x11, x10 -sw x11, 384(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_71: -// rs1_val == 144115188075855872, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x200000000000000; -li x10, 0x200000000000000 -sha256sum1 x11, x10 -sw x11, 392(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_72: -// rs1_val == 72057594037927936, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x100000000000000; -li x10, 0x100000000000000 -sha256sum1 x11, x10 -sw x11, 400(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_73: -// rs1_val == 36028797018963968, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x80000000000000; -li x10, 0x80000000000000 -sha256sum1 x11, x10 -sw x11, 408(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_74: -// rs1_val == 18014398509481984, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x40000000000000; -li x10, 0x40000000000000 -sha256sum1 x11, x10 -sw x11, 416(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_75: -// rs1_val == 9007199254740992, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x20000000000000; -li x10, 0x20000000000000 -sha256sum1 x11, x10 -sw x11, 424(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_76: -// rs1_val == 4503599627370496, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x10000000000000; -li x10, 0x10000000000000 -sha256sum1 x11, x10 -sw x11, 432(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_77: -// rs1_val == 2251799813685248, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x8000000000000; -li x10, 0x8000000000000 -sha256sum1 x11, x10 -sw x11, 440(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_78: -// rs1_val == 1125899906842624, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x4000000000000; -li x10, 0x4000000000000 -sha256sum1 x11, x10 -sw x11, 448(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_79: -// rs1_val == 562949953421312, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x2000000000000; -li x10, 0x2000000000000 -sha256sum1 x11, x10 -sw x11, 456(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_80: -// rs1_val == 281474976710656, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x1000000000000; -li x10, 0x1000000000000 -sha256sum1 x11, x10 -sw x11, 464(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_81: -// rs1_val == 140737488355328, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x800000000000; -li x10, 0x800000000000 -sha256sum1 x11, x10 -sw x11, 472(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_82: -// rs1_val == 70368744177664, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x400000000000; -li x10, 0x400000000000 -sha256sum1 x11, x10 -sw x11, 480(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_83: -// rs1_val == 35184372088832, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x200000000000; -li x10, 0x200000000000 -sha256sum1 x11, x10 -sw x11, 488(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_84: -// rs1_val == 17592186044416, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x100000000000; -li x10, 0x100000000000 -sha256sum1 x11, x10 -sw x11, 496(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_85: -// rs1_val == 1, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x1; -li x10, 0x1 -sha256sum1 x11, x10 -sw x11, 504(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_86: -// rs1_val == 0xb6f9706fb4f741aa -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xb6f9706fb4f741aa; -li x10, 0xb6f9706fb4f741aa -sha256sum1 x11, x10 -sw x11, 512(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_87: -// rs1_val == 0x40a5ff526f38a9c7 -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x40a5ff526f38a9c7; -li x10, 0x40a5ff526f38a9c7 -sha256sum1 x11, x10 -sw x11, 520(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_88: -// rs1_val == 0xd05668ae0fdb82bc -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xd05668ae0fdb82bc; -li x10, 0xd05668ae0fdb82bc -sha256sum1 x11, x10 -sw x11, 528(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_89: -// rs1_val == 0x9bedfe390d6ddd9d -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x9bedfe390d6ddd9d; -li x10, 0x9bedfe390d6ddd9d -sha256sum1 x11, x10 -sw x11, 536(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_90: -// rs1_val == 0xaa6bb2bde9ed477d -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xaa6bb2bde9ed477d; -li x10, 0xaa6bb2bde9ed477d -sha256sum1 x11, x10 -sw x11, 544(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_91: -// rs1_val == 0xd75739f82ac177c6 -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xd75739f82ac177c6; -li x10, 0xd75739f82ac177c6 -sha256sum1 x11, x10 -sw x11, 552(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_92: -// rs1_val == 0x299c3bcf90efb625 -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x299c3bcf90efb625; -li x10, 0x299c3bcf90efb625 -sha256sum1 x11, x10 -sw x11, 560(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_93: -// rs1_val == 0x9a4e9ef10171f4df -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x9a4e9ef10171f4df; -li x10, 0x9a4e9ef10171f4df -sha256sum1 x11, x10 -sw x11, 568(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_94: -// rs1_val == 0x1fc493caa371db42 -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x1fc493caa371db42; -li x10, 0x1fc493caa371db42 -sha256sum1 x11, x10 -sw x11, 576(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_95: -// rs1_val == 0xd169a3f8cad5e297 -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xd169a3f8cad5e297; -li x10, 0xd169a3f8cad5e297 -sha256sum1 x11, x10 -sw x11, 584(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_96: -// rs1_val == 0xf4c30307672f666d -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xf4c30307672f666d; -li x10, 0xf4c30307672f666d -sha256sum1 x11, x10 -sw x11, 592(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_97: -// rs1_val == 0xd5b9fe5cf69bdcf3 -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xd5b9fe5cf69bdcf3; -li x10, 0xd5b9fe5cf69bdcf3 -sha256sum1 x11, x10 -sw x11, 600(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_98: -// rs1_val == 0xa0569d765ebc64cb -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xa0569d765ebc64cb; -li x10, 0xa0569d765ebc64cb -sha256sum1 x11, x10 -sw x11, 608(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_99: -// rs1_val == 0xe4921bf73047c198 -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xe4921bf73047c198; -li x10, 0xe4921bf73047c198 -sha256sum1 x11, x10 -sw x11, 616(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_100: -// rs1_val == 0x2daf9ac7f5faf207 -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x2daf9ac7f5faf207; -li x10, 0x2daf9ac7f5faf207 -sha256sum1 x11, x10 -sw x11, 624(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_101: -// rs1_val == 0xfcc1b543c49cd65b -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfcc1b543c49cd65b; -li x10, 0xfcc1b543c49cd65b -sha256sum1 x11, x10 -sw x11, 632(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_102: -// rs1_val == 0x3459294ef273b44c -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x3459294ef273b44c; -li x10, 0x3459294ef273b44c -sha256sum1 x11, x10 -sw x11, 640(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_103: -// rs1_val == 0x436f40f274b8de87 -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x436f40f274b8de87; -li x10, 0x436f40f274b8de87 -sha256sum1 x11, x10 -sw x11, 648(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_104: -// rs1_val == 0xc5521660f3a3c571 -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xc5521660f3a3c571; -li x10, 0xc5521660f3a3c571 -sha256sum1 x11, x10 -sw x11, 656(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_105: -// rs1_val == 8796093022208, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x80000000000; -li x10, 0x80000000000 -sha256sum1 x11, x10 -sw x11, 664(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_106: -// rs1_val == 4398046511104, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x40000000000; -li x10, 0x40000000000 -sha256sum1 x11, x10 -sw x11, 672(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_107: -// rs1_val == 2199023255552, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x20000000000; -li x10, 0x20000000000 -sha256sum1 x11, x10 -sw x11, 680(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_108: -// rs1_val == 1099511627776, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x10000000000; -li x10, 0x10000000000 -sha256sum1 x11, x10 -sw x11, 688(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_109: -// rs1_val == 549755813888, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x8000000000; -li x10, 0x8000000000 -sha256sum1 x11, x10 -sw x11, 696(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_110: -// rs1_val == 274877906944, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x4000000000; -li x10, 0x4000000000 -sha256sum1 x11, x10 -sw x11, 704(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_111: -// rs1_val == 137438953472, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x2000000000; -li x10, 0x2000000000 -sha256sum1 x11, x10 -sw x11, 712(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_112: -// rs1_val == 68719476736, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x1000000000; -li x10, 0x1000000000 -sha256sum1 x11, x10 -sw x11, 720(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_113: -// rs1_val == 34359738368, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x800000000; -li x10, 0x800000000 -sha256sum1 x11, x10 -sw x11, 728(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_114: -// rs1_val == 17179869184, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x400000000; -li x10, 0x400000000 -sha256sum1 x11, x10 -sw x11, 736(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_115: -// rs1_val == 8589934592, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x200000000; -li x10, 0x200000000 -sha256sum1 x11, x10 -sw x11, 744(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_116: -// rs1_val == 4294967296, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x100000000; -li x10, 0x100000000 -sha256sum1 x11, x10 -sw x11, 752(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_117: -// rs1_val == 2147483648, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x80000000; -li x10, 0x80000000 -sha256sum1 x11, x10 -sw x11, 760(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_118: -// rs1_val == 1073741824, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x40000000; -li x10, 0x40000000 -sha256sum1 x11, x10 -sw x11, 768(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_119: -// rs1_val == 536870912, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x20000000; -li x10, 0x20000000 -sha256sum1 x11, x10 -sw x11, 776(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_120: -// rs1_val == 268435456, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x10000000; -li x10, 0x10000000 -sha256sum1 x11, x10 -sw x11, 784(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_121: -// rs1_val == 134217728, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x8000000; -li x10, 0x8000000 -sha256sum1 x11, x10 -sw x11, 792(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_122: -// rs1_val == 67108864, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x4000000; -li x10, 0x4000000 -sha256sum1 x11, x10 -sw x11, 800(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_123: -// rs1_val == 33554432, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x2000000; -li x10, 0x2000000 -sha256sum1 x11, x10 -sw x11, 808(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_124: -// rs1_val == 16777216, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x1000000; -li x10, 0x1000000 -sha256sum1 x11, x10 -sw x11, 816(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_125: -// rs1_val == 8388608, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x800000; -li x10, 0x800000 -sha256sum1 x11, x10 -sw x11, 824(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_126: -// rs1_val == 4194304, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x400000; -li x10, 0x400000 -sha256sum1 x11, x10 -sw x11, 832(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_127: -// rs1_val == 2097152, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x200000; -li x10, 0x200000 -sha256sum1 x11, x10 -sw x11, 840(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_128: -// rs1_val == 1048576, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x100000; -li x10, 0x100000 -sha256sum1 x11, x10 -sw x11, 848(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_129: -// rs1_val == 524288, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x80000; -li x10, 0x80000 -sha256sum1 x11, x10 -sw x11, 856(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_130: -// rs1_val == 262144, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x40000; -li x10, 0x40000 -sha256sum1 x11, x10 -sw x11, 864(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_131: -// rs1_val == 131072, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x20000; -li x10, 0x20000 -sha256sum1 x11, x10 -sw x11, 872(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_132: -// rs1_val == 65536, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x10000; -li x10, 0x10000 -sha256sum1 x11, x10 -sw x11, 880(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_133: -// rs1_val == 32768, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x8000; -li x10, 0x8000 -sha256sum1 x11, x10 -sw x11, 888(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_134: -// rs1_val == 16384, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x4000; -li x10, 0x4000 -sha256sum1 x11, x10 -sw x11, 896(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_135: -// rs1_val == 8192, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x2000; -li x10, 0x2000 -sha256sum1 x11, x10 -sw x11, 904(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_136: -// rs1_val == 4096, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x1000; -li x10, 0x1000 -sha256sum1 x11, x10 -sw x11, 912(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_137: -// rs1_val == 2048, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x800; -li x10, 0x800 -sha256sum1 x11, x10 -sw x11, 920(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_138: -// rs1_val == 1024, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x400; -li x10, 0x400 -sha256sum1 x11, x10 -sw x11, 928(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_139: -// rs1_val == 512, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x200; -li x10, 0x200 -sha256sum1 x11, x10 -sw x11, 936(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_140: -// rs1_val == 256, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x100; -li x10, 0x100 -sha256sum1 x11, x10 -sw x11, 944(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_141: -// rs1_val == 128, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x80; -li x10, 0x80 -sha256sum1 x11, x10 -sw x11, 952(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_142: -// rs1_val == 64, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x40; -li x10, 0x40 -sha256sum1 x11, x10 -sw x11, 960(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_143: -// rs1_val == 32, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x20; -li x10, 0x20 -sha256sum1 x11, x10 -sw x11, 968(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_144: -// rs1_val == 16, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x10; -li x10, 0x10 -sha256sum1 x11, x10 -sw x11, 976(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_145: -// rs1_val == 8, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x8; -li x10, 0x8 -sha256sum1 x11, x10 -sw x11, 984(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_146: -// rs1_val == 4, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x4; -li x10, 0x4 -sha256sum1 x11, x10 -sw x11, 992(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_147: -// rs1_val == 2, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0x2; -li x10, 0x2 -sha256sum1 x11, x10 -sw x11, 1000(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_148: -// rs1_val == 18446462598732840959, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xfffeffffffffffff; -li x10, 0xfffeffffffffffff -sha256sum1 x11, x10 -sw x11, 1008(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_149: -// rs1_val == 18446743798831644671, -// opcode: sha256sum1 ; op1:x10; dest:x11; op1val:0xffffffbfffffffff; -li x10, 0xffffffbfffffffff -sha256sum1 x11, x10 -sw x11, 1016(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 22*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 128*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp1.S deleted file mode 100644 index bc46f31db..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp1.S +++ /dev/null @@ -1,972 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha256sum1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha256sum1) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x3, x1, x2; -sha256sum1 x1, x3; -xor x4, x1, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x1,8); -RVTEST_SIGUPD(x31,x4,16); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -not x3, x2; -sha256sum1 x1, x3; -not x4, x1; -RVTEST_SIGUPD(x31,x3,24); -RVTEST_SIGUPD(x31,x1,32); -RVTEST_SIGUPD(x31,x4,40); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -add x3, x1, x2; -sha256sum1 x1, x3; -add x4, x1, x2; -RVTEST_SIGUPD(x31,x3,48); -RVTEST_SIGUPD(x31,x1,56); -RVTEST_SIGUPD(x31,x4,64); - -inst_1: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x4, x2, x3; -sha256sum1 x2, x4; -xor x5, x2, x3; -RVTEST_SIGUPD(x31,x4,72); -RVTEST_SIGUPD(x31,x2,80); -RVTEST_SIGUPD(x31,x5,88); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -not x4, x3; -sha256sum1 x2, x4; -not x5, x2; -RVTEST_SIGUPD(x31,x4,96); -RVTEST_SIGUPD(x31,x2,104); -RVTEST_SIGUPD(x31,x5,112); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -add x4, x2, x3; -sha256sum1 x2, x4; -add x5, x2, x3; -RVTEST_SIGUPD(x31,x4,120); -RVTEST_SIGUPD(x31,x2,128); -RVTEST_SIGUPD(x31,x5,136); - -inst_2: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x5, x3, x4; -sha256sum1 x3, x5; -xor x6, x3, x4; -RVTEST_SIGUPD(x31,x5,144); -RVTEST_SIGUPD(x31,x3,152); -RVTEST_SIGUPD(x31,x6,160); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -not x5, x4; -sha256sum1 x3, x5; -not x6, x3; -RVTEST_SIGUPD(x31,x5,168); -RVTEST_SIGUPD(x31,x3,176); -RVTEST_SIGUPD(x31,x6,184); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -add x5, x3, x4; -sha256sum1 x3, x5; -add x6, x3, x4; -RVTEST_SIGUPD(x31,x5,192); -RVTEST_SIGUPD(x31,x3,200); -RVTEST_SIGUPD(x31,x6,208); - -inst_3: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x6, x4, x5; -sha256sum1 x4, x6; -xor x7, x4, x5; -RVTEST_SIGUPD(x31,x6,216); -RVTEST_SIGUPD(x31,x4,224); -RVTEST_SIGUPD(x31,x7,232); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -not x6, x5; -sha256sum1 x4, x6; -not x7, x4; -RVTEST_SIGUPD(x31,x6,240); -RVTEST_SIGUPD(x31,x4,248); -RVTEST_SIGUPD(x31,x7,256); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -add x6, x4, x5; -sha256sum1 x4, x6; -add x7, x4, x5; -RVTEST_SIGUPD(x31,x6,264); -RVTEST_SIGUPD(x31,x4,272); -RVTEST_SIGUPD(x31,x7,280); - -inst_4: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x7, x5, x6; -sha256sum1 x5, x7; -xor x8, x5, x6; -RVTEST_SIGUPD(x31,x7,288); -RVTEST_SIGUPD(x31,x5,296); -RVTEST_SIGUPD(x31,x8,304); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -not x7, x6; -sha256sum1 x5, x7; -not x8, x5; -RVTEST_SIGUPD(x31,x7,312); -RVTEST_SIGUPD(x31,x5,320); -RVTEST_SIGUPD(x31,x8,328); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -add x7, x5, x6; -sha256sum1 x5, x7; -add x8, x5, x6; -RVTEST_SIGUPD(x31,x7,336); -RVTEST_SIGUPD(x31,x5,344); -RVTEST_SIGUPD(x31,x8,352); - -inst_5: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x8, x6, x7; -sha256sum1 x6, x8; -xor x9, x6, x7; -RVTEST_SIGUPD(x31,x8,360); -RVTEST_SIGUPD(x31,x6,368); -RVTEST_SIGUPD(x31,x9,376); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -not x8, x7; -sha256sum1 x6, x8; -not x9, x6; -RVTEST_SIGUPD(x31,x8,384); -RVTEST_SIGUPD(x31,x6,392); -RVTEST_SIGUPD(x31,x9,400); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -add x8, x6, x7; -sha256sum1 x6, x8; -add x9, x6, x7; -RVTEST_SIGUPD(x31,x8,408); -RVTEST_SIGUPD(x31,x6,416); -RVTEST_SIGUPD(x31,x9,424); - -inst_6: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x9, x7, x8; -sha256sum1 x7, x9; -xor x10, x7, x8; -RVTEST_SIGUPD(x31,x9,432); -RVTEST_SIGUPD(x31,x7,440); -RVTEST_SIGUPD(x31,x10,448); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -not x9, x8; -sha256sum1 x7, x9; -not x10, x7; -RVTEST_SIGUPD(x31,x9,456); -RVTEST_SIGUPD(x31,x7,464); -RVTEST_SIGUPD(x31,x10,472); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -add x9, x7, x8; -sha256sum1 x7, x9; -add x10, x7, x8; -RVTEST_SIGUPD(x31,x9,480); -RVTEST_SIGUPD(x31,x7,488); -RVTEST_SIGUPD(x31,x10,496); - -inst_7: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x10, x8, x9; -sha256sum1 x8, x10; -xor x11, x8, x9; -RVTEST_SIGUPD(x31,x10,504); -RVTEST_SIGUPD(x31,x8,512); -RVTEST_SIGUPD(x31,x11,520); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -not x10, x9; -sha256sum1 x8, x10; -not x11, x8; -RVTEST_SIGUPD(x31,x10,528); -RVTEST_SIGUPD(x31,x8,536); -RVTEST_SIGUPD(x31,x11,544); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -add x10, x8, x9; -sha256sum1 x8, x10; -add x11, x8, x9; -RVTEST_SIGUPD(x31,x10,552); -RVTEST_SIGUPD(x31,x8,560); -RVTEST_SIGUPD(x31,x11,568); - -inst_8: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x11, x9, x10; -sha256sum1 x9, x11; -xor x12, x9, x10; -RVTEST_SIGUPD(x31,x11,576); -RVTEST_SIGUPD(x31,x9,584); -RVTEST_SIGUPD(x31,x12,592); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -not x11, x10; -sha256sum1 x9, x11; -not x12, x9; -RVTEST_SIGUPD(x31,x11,600); -RVTEST_SIGUPD(x31,x9,608); -RVTEST_SIGUPD(x31,x12,616); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -add x11, x9, x10; -sha256sum1 x9, x11; -add x12, x9, x10; -RVTEST_SIGUPD(x31,x11,624); -RVTEST_SIGUPD(x31,x9,632); -RVTEST_SIGUPD(x31,x12,640); - -inst_9: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x12, x10, x11; -sha256sum1 x10, x12; -xor x13, x10, x11; -RVTEST_SIGUPD(x31,x12,648); -RVTEST_SIGUPD(x31,x10,656); -RVTEST_SIGUPD(x31,x13,664); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -not x12, x11; -sha256sum1 x10, x12; -not x13, x10; -RVTEST_SIGUPD(x31,x12,672); -RVTEST_SIGUPD(x31,x10,680); -RVTEST_SIGUPD(x31,x13,688); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -add x12, x10, x11; -sha256sum1 x10, x12; -add x13, x10, x11; -RVTEST_SIGUPD(x31,x12,696); -RVTEST_SIGUPD(x31,x10,704); -RVTEST_SIGUPD(x31,x13,712); - -inst_10: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x13, x11, x12; -sha256sum1 x11, x13; -xor x14, x11, x12; -RVTEST_SIGUPD(x31,x13,720); -RVTEST_SIGUPD(x31,x11,728); -RVTEST_SIGUPD(x31,x14,736); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -not x13, x12; -sha256sum1 x11, x13; -not x14, x11; -RVTEST_SIGUPD(x31,x13,744); -RVTEST_SIGUPD(x31,x11,752); -RVTEST_SIGUPD(x31,x14,760); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -add x13, x11, x12; -sha256sum1 x11, x13; -add x14, x11, x12; -RVTEST_SIGUPD(x31,x13,768); -RVTEST_SIGUPD(x31,x11,776); -RVTEST_SIGUPD(x31,x14,784); - -inst_11: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x14, x12, x13; -sha256sum1 x12, x14; -xor x15, x12, x13; -RVTEST_SIGUPD(x31,x14,792); -RVTEST_SIGUPD(x31,x12,800); -RVTEST_SIGUPD(x31,x15,808); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -not x14, x13; -sha256sum1 x12, x14; -not x15, x12; -RVTEST_SIGUPD(x31,x14,816); -RVTEST_SIGUPD(x31,x12,824); -RVTEST_SIGUPD(x31,x15,832); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -add x14, x12, x13; -sha256sum1 x12, x14; -add x15, x12, x13; -RVTEST_SIGUPD(x31,x14,840); -RVTEST_SIGUPD(x31,x12,848); -RVTEST_SIGUPD(x31,x15,856); - -inst_12: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x15, x13, x14; -sha256sum1 x13, x15; -xor x16, x13, x14; -RVTEST_SIGUPD(x31,x15,864); -RVTEST_SIGUPD(x31,x13,872); -RVTEST_SIGUPD(x31,x16,880); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -not x15, x14; -sha256sum1 x13, x15; -not x16, x13; -RVTEST_SIGUPD(x31,x15,888); -RVTEST_SIGUPD(x31,x13,896); -RVTEST_SIGUPD(x31,x16,904); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -add x15, x13, x14; -sha256sum1 x13, x15; -add x16, x13, x14; -RVTEST_SIGUPD(x31,x15,912); -RVTEST_SIGUPD(x31,x13,920); -RVTEST_SIGUPD(x31,x16,928); - -inst_13: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x16, x14, x15; -sha256sum1 x14, x16; -xor x17, x14, x15; -RVTEST_SIGUPD(x31,x16,936); -RVTEST_SIGUPD(x31,x14,944); -RVTEST_SIGUPD(x31,x17,952); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -not x16, x15; -sha256sum1 x14, x16; -not x17, x14; -RVTEST_SIGUPD(x31,x16,960); -RVTEST_SIGUPD(x31,x14,968); -RVTEST_SIGUPD(x31,x17,976); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -add x16, x14, x15; -sha256sum1 x14, x16; -add x17, x14, x15; -RVTEST_SIGUPD(x31,x16,984); -RVTEST_SIGUPD(x31,x14,992); -RVTEST_SIGUPD(x31,x17,1000); - -inst_14: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x17, x15, x16; -sha256sum1 x15, x17; -xor x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1008); -RVTEST_SIGUPD(x31,x15,1016); -RVTEST_SIGUPD(x31,x18,1024); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -not x17, x16; -sha256sum1 x15, x17; -not x18, x15; -RVTEST_SIGUPD(x31,x17,1032); -RVTEST_SIGUPD(x31,x15,1040); -RVTEST_SIGUPD(x31,x18,1048); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -add x17, x15, x16; -sha256sum1 x15, x17; -add x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1056); -RVTEST_SIGUPD(x31,x15,1064); -RVTEST_SIGUPD(x31,x18,1072); - -inst_15: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x18, x16, x17; -sha256sum1 x16, x18; -xor x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1080); -RVTEST_SIGUPD(x31,x16,1088); -RVTEST_SIGUPD(x31,x19,1096); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -not x18, x17; -sha256sum1 x16, x18; -not x19, x16; -RVTEST_SIGUPD(x31,x18,1104); -RVTEST_SIGUPD(x31,x16,1112); -RVTEST_SIGUPD(x31,x19,1120); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -add x18, x16, x17; -sha256sum1 x16, x18; -add x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1128); -RVTEST_SIGUPD(x31,x16,1136); -RVTEST_SIGUPD(x31,x19,1144); - -inst_16: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x19, x17, x18; -sha256sum1 x17, x19; -xor x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1152); -RVTEST_SIGUPD(x31,x17,1160); -RVTEST_SIGUPD(x31,x20,1168); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -not x19, x18; -sha256sum1 x17, x19; -not x20, x17; -RVTEST_SIGUPD(x31,x19,1176); -RVTEST_SIGUPD(x31,x17,1184); -RVTEST_SIGUPD(x31,x20,1192); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -add x19, x17, x18; -sha256sum1 x17, x19; -add x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1200); -RVTEST_SIGUPD(x31,x17,1208); -RVTEST_SIGUPD(x31,x20,1216); - -inst_17: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x20, x18, x19; -sha256sum1 x18, x20; -xor x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1224); -RVTEST_SIGUPD(x31,x18,1232); -RVTEST_SIGUPD(x31,x21,1240); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -not x20, x19; -sha256sum1 x18, x20; -not x21, x18; -RVTEST_SIGUPD(x31,x20,1248); -RVTEST_SIGUPD(x31,x18,1256); -RVTEST_SIGUPD(x31,x21,1264); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -add x20, x18, x19; -sha256sum1 x18, x20; -add x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1272); -RVTEST_SIGUPD(x31,x18,1280); -RVTEST_SIGUPD(x31,x21,1288); - -inst_18: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x21, x19, x20; -sha256sum1 x19, x21; -xor x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1296); -RVTEST_SIGUPD(x31,x19,1304); -RVTEST_SIGUPD(x31,x22,1312); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -not x21, x20; -sha256sum1 x19, x21; -not x22, x19; -RVTEST_SIGUPD(x31,x21,1320); -RVTEST_SIGUPD(x31,x19,1328); -RVTEST_SIGUPD(x31,x22,1336); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -add x21, x19, x20; -sha256sum1 x19, x21; -add x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1344); -RVTEST_SIGUPD(x31,x19,1352); -RVTEST_SIGUPD(x31,x22,1360); - -inst_19: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x22, x20, x21; -sha256sum1 x20, x22; -xor x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1368); -RVTEST_SIGUPD(x31,x20,1376); -RVTEST_SIGUPD(x31,x23,1384); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -not x22, x21; -sha256sum1 x20, x22; -not x23, x20; -RVTEST_SIGUPD(x31,x22,1392); -RVTEST_SIGUPD(x31,x20,1400); -RVTEST_SIGUPD(x31,x23,1408); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -add x22, x20, x21; -sha256sum1 x20, x22; -add x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1416); -RVTEST_SIGUPD(x31,x20,1424); -RVTEST_SIGUPD(x31,x23,1432); - -inst_20: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x23, x21, x22; -sha256sum1 x21, x23; -xor x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1440); -RVTEST_SIGUPD(x31,x21,1448); -RVTEST_SIGUPD(x31,x24,1456); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -not x23, x22; -sha256sum1 x21, x23; -not x24, x21; -RVTEST_SIGUPD(x31,x23,1464); -RVTEST_SIGUPD(x31,x21,1472); -RVTEST_SIGUPD(x31,x24,1480); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -add x23, x21, x22; -sha256sum1 x21, x23; -add x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1488); -RVTEST_SIGUPD(x31,x21,1496); -RVTEST_SIGUPD(x31,x24,1504); - -inst_21: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x24, x22, x23; -sha256sum1 x22, x24; -xor x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1512); -RVTEST_SIGUPD(x31,x22,1520); -RVTEST_SIGUPD(x31,x25,1528); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -not x24, x23; -sha256sum1 x22, x24; -not x25, x22; -RVTEST_SIGUPD(x31,x24,1536); -RVTEST_SIGUPD(x31,x22,1544); -RVTEST_SIGUPD(x31,x25,1552); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -add x24, x22, x23; -sha256sum1 x22, x24; -add x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1560); -RVTEST_SIGUPD(x31,x22,1568); -RVTEST_SIGUPD(x31,x25,1576); - -inst_22: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x25, x23, x24; -sha256sum1 x23, x25; -xor x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1584); -RVTEST_SIGUPD(x31,x23,1592); -RVTEST_SIGUPD(x31,x26,1600); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -not x25, x24; -sha256sum1 x23, x25; -not x26, x23; -RVTEST_SIGUPD(x31,x25,1608); -RVTEST_SIGUPD(x31,x23,1616); -RVTEST_SIGUPD(x31,x26,1624); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -add x25, x23, x24; -sha256sum1 x23, x25; -add x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1632); -RVTEST_SIGUPD(x31,x23,1640); -RVTEST_SIGUPD(x31,x26,1648); - -inst_23: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x26, x24, x25; -sha256sum1 x24, x26; -xor x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1656); -RVTEST_SIGUPD(x31,x24,1664); -RVTEST_SIGUPD(x31,x27,1672); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -not x26, x25; -sha256sum1 x24, x26; -not x27, x24; -RVTEST_SIGUPD(x31,x26,1680); -RVTEST_SIGUPD(x31,x24,1688); -RVTEST_SIGUPD(x31,x27,1696); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -add x26, x24, x25; -sha256sum1 x24, x26; -add x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1704); -RVTEST_SIGUPD(x31,x24,1712); -RVTEST_SIGUPD(x31,x27,1720); - -inst_24: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x27, x25, x26; -sha256sum1 x25, x27; -xor x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1728); -RVTEST_SIGUPD(x31,x25,1736); -RVTEST_SIGUPD(x31,x28,1744); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -not x27, x26; -sha256sum1 x25, x27; -not x28, x25; -RVTEST_SIGUPD(x31,x27,1752); -RVTEST_SIGUPD(x31,x25,1760); -RVTEST_SIGUPD(x31,x28,1768); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -add x27, x25, x26; -sha256sum1 x25, x27; -add x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1776); -RVTEST_SIGUPD(x31,x25,1784); -RVTEST_SIGUPD(x31,x28,1792); - -inst_25: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x28, x26, x27; -sha256sum1 x26, x28; -xor x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1800); -RVTEST_SIGUPD(x31,x26,1808); -RVTEST_SIGUPD(x31,x29,1816); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -not x28, x27; -sha256sum1 x26, x28; -not x29, x26; -RVTEST_SIGUPD(x31,x28,1824); -RVTEST_SIGUPD(x31,x26,1832); -RVTEST_SIGUPD(x31,x29,1840); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -add x28, x26, x27; -sha256sum1 x26, x28; -add x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1848); -RVTEST_SIGUPD(x31,x26,1856); -RVTEST_SIGUPD(x31,x29,1864); - -inst_26: -// Forwarded xor into sha256sum1 & the result back into xor -// opcode: sha256sum1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -xor x29, x27, x28; -sha256sum1 x27, x29; -xor x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1872); -RVTEST_SIGUPD(x31,x27,1880); -RVTEST_SIGUPD(x31,x30,1888); - -// Forwarded not into sha256sum1 & the result back into not -// opcode: sha256sum1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -not x29, x28; -sha256sum1 x27, x29; -not x30, x27; -RVTEST_SIGUPD(x31,x29,1896); -RVTEST_SIGUPD(x31,x27,1904); -RVTEST_SIGUPD(x31,x30,1912); - -// Forwarded add into sha256sum1 & the result back into add -// opcode: sha256sum1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -add x29, x27, x28; -sha256sum1 x27, x29; -add x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1920); -RVTEST_SIGUPD(x31,x27,1928); -RVTEST_SIGUPD(x31,x30,1936); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 243*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp2.S deleted file mode 100644 index 4d057d447..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp2.S +++ /dev/null @@ -1,307 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha256sum1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha256sum1) - -RVTEST_SIGBASE( x31,signature_x31_1) - -la x1, rvtest_data - -inst_0: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x2; dest1:x3; -LREG x2, 0(x1); -sha256sum1 x3, x2; -RVTEST_SIGUPD(x31,x2,0); -RVTEST_SIGUPD(x31,x3,8); - -inst_1: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x3; dest1:x4; -LREG x3, 8(x1); -sha256sum1 x4, x3; -RVTEST_SIGUPD(x31,x3,16); -RVTEST_SIGUPD(x31,x4,24); - -inst_2: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x4; dest1:x5; -LREG x4, 16(x1); -sha256sum1 x5, x4; -RVTEST_SIGUPD(x31,x4,32); -RVTEST_SIGUPD(x31,x5,40); - -inst_3: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x5; dest1:x6; -LREG x5, 24(x1); -sha256sum1 x6, x5; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); - -inst_4: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x6; dest1:x7; -LREG x6, 32(x1); -sha256sum1 x7, x6; -RVTEST_SIGUPD(x31,x6,64); -RVTEST_SIGUPD(x31,x7,72); - -inst_5: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x7; dest1:x8; -LREG x7, 40(x1); -sha256sum1 x8, x7; -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_6: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x8; dest1:x9; -LREG x8, 48(x1); -sha256sum1 x9, x8; -RVTEST_SIGUPD(x31,x8,96); -RVTEST_SIGUPD(x31,x9,104); - -inst_7: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x9; dest1:x10; -LREG x9, 56(x1); -sha256sum1 x10, x9; -RVTEST_SIGUPD(x31,x9,112); -RVTEST_SIGUPD(x31,x10,120); - -inst_8: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x10; dest1:x11; -LREG x10, 64(x1); -sha256sum1 x11, x10; -RVTEST_SIGUPD(x31,x10,128); -RVTEST_SIGUPD(x31,x11,136); - -inst_9: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x11; dest1:x12; -LREG x11, 72(x1); -sha256sum1 x12, x11; -RVTEST_SIGUPD(x31,x11,144); -RVTEST_SIGUPD(x31,x12,152); - -inst_10: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x12; dest1:x13; -LREG x12, 80(x1); -sha256sum1 x13, x12; -RVTEST_SIGUPD(x31,x12,160); -RVTEST_SIGUPD(x31,x13,168); - -inst_11: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x13; dest1:x14; -LREG x13, 88(x1); -sha256sum1 x14, x13; -RVTEST_SIGUPD(x31,x13,176); -RVTEST_SIGUPD(x31,x14,184); - -inst_12: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x14; dest1:x15; -LREG x14, 96(x1); -sha256sum1 x15, x14; -RVTEST_SIGUPD(x31,x14,192); -RVTEST_SIGUPD(x31,x15,200); - -inst_13: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x15; dest1:x16; -LREG x15, 104(x1); -sha256sum1 x16, x15; -RVTEST_SIGUPD(x31,x15,208); -RVTEST_SIGUPD(x31,x16,216); - -inst_14: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x16; dest1:x17; -LREG x16, 112(x1); -sha256sum1 x17, x16; -RVTEST_SIGUPD(x31,x16,224); -RVTEST_SIGUPD(x31,x17,232); - -inst_15: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x17; dest1:x18; -LREG x17, 120(x1); -sha256sum1 x18, x17; -RVTEST_SIGUPD(x31,x17,240); -RVTEST_SIGUPD(x31,x18,248); - -inst_16: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x18; dest1:x19; -LREG x18, 128(x1); -sha256sum1 x19, x18; -RVTEST_SIGUPD(x31,x18,256); -RVTEST_SIGUPD(x31,x19,264); - -inst_17: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x19; dest1:x20; -LREG x19, 136(x1); -sha256sum1 x20, x19; -RVTEST_SIGUPD(x31,x19,272); -RVTEST_SIGUPD(x31,x20,280); - -inst_18: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x20; dest1:x21; -LREG x20, 144(x1); -sha256sum1 x21, x20; -RVTEST_SIGUPD(x31,x20,288); -RVTEST_SIGUPD(x31,x21,296); - -inst_19: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x21; dest1:x22; -LREG x21, 152(x1); -sha256sum1 x22, x21; -RVTEST_SIGUPD(x31,x21,304); -RVTEST_SIGUPD(x31,x22,312); - -inst_20: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x22; dest1:x23; -LREG x22, 160(x1); -sha256sum1 x23, x22; -RVTEST_SIGUPD(x31,x22,320); -RVTEST_SIGUPD(x31,x23,328); - -inst_21: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x23; dest1:x24; -LREG x23, 168(x1); -sha256sum1 x24, x23; -RVTEST_SIGUPD(x31,x23,336); -RVTEST_SIGUPD(x31,x24,344); - -inst_22: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x24; dest1:x25; -LREG x24, 176(x1); -sha256sum1 x25, x24; -RVTEST_SIGUPD(x31,x24,352); -RVTEST_SIGUPD(x31,x25,360); - -inst_23: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x25; dest1:x26; -LREG x25, 184(x1); -sha256sum1 x26, x25; -RVTEST_SIGUPD(x31,x25,368); -RVTEST_SIGUPD(x31,x26,376); - -inst_24: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x26; dest1:x27; -LREG x26, 192(x1); -sha256sum1 x27, x26; -RVTEST_SIGUPD(x31,x26,384); -RVTEST_SIGUPD(x31,x27,392); - -inst_25: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x27; dest1:x28; -LREG x27, 200(x1); -sha256sum1 x28, x27; -RVTEST_SIGUPD(x31,x27,400); -RVTEST_SIGUPD(x31,x28,408); - -inst_26: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x28; dest1:x29; -LREG x28, 208(x1); -sha256sum1 x29, x28; -RVTEST_SIGUPD(x31,x28,416); -RVTEST_SIGUPD(x31,x29,424); - -inst_27: -// Checking load-to-use hazard! -// opcode: sha256sum1; op1:x29; dest1:x30; -LREG x29, 216(x1); -sha256sum1 x30, x29; -RVTEST_SIGUPD(x31,x29,432); -RVTEST_SIGUPD(x31,x30,440); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.dword 0x08577eb1924770d3 -.dword 0x93fdcab87b89296c -.dword 0xd2d6b8777dc59a3a -.dword 0xcf84b683a749f9c5 -.dword 0x854a965708ceac39 -.dword 0x137a977753e8eb43 -.dword 0x5c74e45eff1e5bef -.dword 0xdc3383836b9f15c4 -.dword 0x5ae6a2289a6ab329 -.dword 0x432779eeacca7f0d -.dword 0xaf949e5e2cb7362c -.dword 0x5cd2875ea96ec2b3 -.dword 0x9d02fc90708cc1b6 -.dword 0x953b00b00b54aa22 -.dword 0x224c06013c53d0e3 -.dword 0xe8dac663f0e58650 -.dword 0x3d7c95f9e5f0307e -.dword 0x8c8a18b2aaac3142 -.dword 0x785036de6f9fb997 -.dword 0x95a4d257a7298c66 -.dword 0x807da245d814d575 -.dword 0x3d06143769b1dcbf -.dword 0x7f21682208208d09 -.dword 0x14b91c79dae98554 -.dword 0xc5ec6148c6880007 -.dword 0x7213516d6a013380 -.dword 0x4652f62dae4839a1 -.dword 0x85986adb9e044706 -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 56*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-01.S deleted file mode 100644 index e85415807..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-01.S +++ /dev/null @@ -1,1282 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sha512sig0 instruction of the RISC-V extension for the sha512sig0 covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",sha512sig0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha512sig0) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKnh.*);def TEST_CASE_1=True;",sha512sig0) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rd, rs1==x12, rd==x21, rs1_val == 0x75a3adb3254a9493 -// opcode: sha512sig0 ; op1:x12; dest:x21; op1val:0x75a3adb3254a9493; -li x12, 0x75a3adb3254a9493 -sha512sig0 x21, x12 -sw x21, 0(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x21, 0x0000000000000000) - -inst_1: -// rs1 == rd, rs1==x11, rd==x11, rs1_val == 9223372036854775807, -// opcode: sha512sig0 ; op1:x11; dest:x11; op1val:0x7fffffffffffffff; -li x11, 0x7fffffffffffffff -sha512sig0 x11, x11 -sw x11, 8(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x11, 0x0000000000000000) - -inst_2: -// rs1==x24, rd==x23, rs1_val == 13835058055282163711, -// opcode: sha512sig0 ; op1:x24; dest:x23; op1val:0xbfffffffffffffff; -li x24, 0xbfffffffffffffff -sha512sig0 x23, x24 -sw x23, 16(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x23, 0x0000000000000000) - -inst_3: -// rs1==x10, rd==x26, rs1_val == 16140901064495857663, -// opcode: sha512sig0 ; op1:x10; dest:x26; op1val:0xdfffffffffffffff; -li x10, 0xdfffffffffffffff -sha512sig0 x26, x10 -sw x26, 24(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x26, 0x0000000000000000) - -inst_4: -// rs1==x18, rd==x28, rs1_val == 17293822569102704639, -// opcode: sha512sig0 ; op1:x18; dest:x28; op1val:0xefffffffffffffff; -li x18, 0xefffffffffffffff -sha512sig0 x28, x18 -sw x28, 32(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x28, 0x0000000000000000) - -inst_5: -// rs1==x7, rd==x9, rs1_val == 17870283321406128127, -// opcode: sha512sig0 ; op1:x7; dest:x9; op1val:0xf7ffffffffffffff; -li x7, 0xf7ffffffffffffff -sha512sig0 x9, x7 -sw x9, 40(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x9, 0x0000000000000000) - -inst_6: -// rs1==x29, rd==x5, rs1_val == 18158513697557839871, -// opcode: sha512sig0 ; op1:x29; dest:x5; op1val:0xfbffffffffffffff; -li x29, 0xfbffffffffffffff -sha512sig0 x5, x29 -sw x5, 48(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x5, 0x0000000000000000) - -inst_7: -// rs1==x1, rd==x0, rs1_val == 18302628885633695743, -// opcode: sha512sig0 ; op1:x1; dest:x0; op1val:0xfdffffffffffffff; -li x1, 0xfdffffffffffffff -sha512sig0 x0, x1 -sw x0, 56(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x0, 0x0000000000000000) - -inst_8: -// rs1==x8, rd==x20, rs1_val == 18374686479671623679, -// opcode: sha512sig0 ; op1:x8; dest:x20; op1val:0xfeffffffffffffff; -li x8, 0xfeffffffffffffff -sha512sig0 x20, x8 -sw x20, 64(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x20, 0x0000000000000000) - -inst_9: -// rs1==x31, rd==x27, rs1_val == 18410715276690587647, -// opcode: sha512sig0 ; op1:x31; dest:x27; op1val:0xff7fffffffffffff; -li x31, 0xff7fffffffffffff -sha512sig0 x27, x31 -sw x27, 72(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x27, 0x0000000000000000) - -inst_10: -// rs1==x3, rd==x14, rs1_val == 18428729675200069631, -// opcode: sha512sig0 ; op1:x3; dest:x14; op1val:0xffbfffffffffffff; -li x3, 0xffbfffffffffffff -sha512sig0 x14, x3 -sw x14, 80(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x14, 0x0000000000000000) - -inst_11: -// rs1==x14, rd==x10, rs1_val == 18437736874454810623, -// opcode: sha512sig0 ; op1:x14; dest:x10; op1val:0xffdfffffffffffff; -li x14, 0xffdfffffffffffff -sha512sig0 x10, x14 -sw x10, 88(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x10, 0x0000000000000000) - -inst_12: -// rs1==x23, rd==x19, rs1_val == 18442240474082181119, -// opcode: sha512sig0 ; op1:x23; dest:x19; op1val:0xffefffffffffffff; -li x23, 0xffefffffffffffff -sha512sig0 x19, x23 -sw x19, 96(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x19, 0x0000000000000000) - -inst_13: -// rs1==x15, rd==x3, rs1_val == 18444492273895866367, -// opcode: sha512sig0 ; op1:x15; dest:x3; op1val:0xfff7ffffffffffff; -li x15, 0xfff7ffffffffffff -sha512sig0 x3, x15 -sw x3, 104(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x3, 0x0000000000000000) - -inst_14: -// rs1==x6, rd==x16, rs1_val == 18445618173802708991, -// opcode: sha512sig0 ; op1:x6; dest:x16; op1val:0xfffbffffffffffff; -li x6, 0xfffbffffffffffff -sha512sig0 x16, x6 -sw x16, 112(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x16, 0x0000000000000000) - -inst_15: -// rs1==x20, rd==x1, rs1_val == 18446181123756130303, -// opcode: sha512sig0 ; op1:x20; dest:x1; op1val:0xfffdffffffffffff; -li x20, 0xfffdffffffffffff -sha512sig0 x1, x20 -sw x1, 120(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x1, 0x0000000000000000) - -inst_16: -// rs1==x25, rd==x22, rs1_val == 18446462598732840959, -// opcode: sha512sig0 ; op1:x25; dest:x22; op1val:0xfffeffffffffffff; -li x25, 0xfffeffffffffffff -sha512sig0 x22, x25 -sw x22, 128(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x22, 0x0000000000000000) - -inst_17: -// rs1==x27, rd==x8, rs1_val == 18446603336221196287, -// opcode: sha512sig0 ; op1:x27; dest:x8; op1val:0xffff7fffffffffff; -li x27, 0xffff7fffffffffff -sha512sig0 x8, x27 -sw x8, 136(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x8, 0x0000000000000000) - -inst_18: -// rs1==x9, rd==x6, rs1_val == 18446673704965373951, -// opcode: sha512sig0 ; op1:x9; dest:x6; op1val:0xffffbfffffffffff; -li x9, 0xffffbfffffffffff -sha512sig0 x6, x9 -sw x6, 144(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x6, 0x0000000000000000) - -inst_19: -// rs1==x19, rd==x4, rs1_val == 18446708889337462783, -// opcode: sha512sig0 ; op1:x19; dest:x4; op1val:0xffffdfffffffffff; -li x19, 0xffffdfffffffffff -sha512sig0 x4, x19 -sw x4, 152(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x13, x4, 0x0000000000000000) - -inst_20: -// rs1==x28, rd==x30, rs1_val == 18446726481523507199, -// opcode: sha512sig0 ; op1:x28; dest:x30; op1val:0xffffefffffffffff; -li x28, 0xffffefffffffffff -sha512sig0 x30, x28 -sw x30, 160(x2) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x30, 0x0000000000000000) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_21: -// rs1==x0, rd==x29, rs1_val == 18446735277616529407, -// opcode: sha512sig0 ; op1:x0; dest:x29; op1val:0x0; -li x0, 0x0 -sha512sig0 x29, x0 -sw x29, 0(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x29, 0x0000000000000000) - -inst_22: -// rs1==x13, rd==x7, rs1_val == 18446739675663040511, -// opcode: sha512sig0 ; op1:x13; dest:x7; op1val:0xfffffbffffffffff; -li x13, 0xfffffbffffffffff -sha512sig0 x7, x13 -sw x7, 8(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x7, 0x0000000000000000) - -inst_23: -// rs1==x17, rd==x25, rs1_val == 18446741874686296063, -// opcode: sha512sig0 ; op1:x17; dest:x25; op1val:0xfffffdffffffffff; -li x17, 0xfffffdffffffffff -sha512sig0 x25, x17 -sw x25, 16(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x25, 0x0000000000000000) - -inst_24: -// rs1==x4, rd==x12, rs1_val == 18446742974197923839, -// opcode: sha512sig0 ; op1:x4; dest:x12; op1val:0xfffffeffffffffff; -li x4, 0xfffffeffffffffff -sha512sig0 x12, x4 -sw x12, 24(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x12, 0x0000000000000000) - -inst_25: -// rs1==x2, rd==x18, rs1_val == 18446743523953737727, -// opcode: sha512sig0 ; op1:x2; dest:x18; op1val:0xffffff7fffffffff; -li x2, 0xffffff7fffffffff -sha512sig0 x18, x2 -sw x18, 32(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x18, 0x0000000000000000) - -inst_26: -// rs1==x30, rd==x13, rs1_val == 18446743798831644671, -// opcode: sha512sig0 ; op1:x30; dest:x13; op1val:0xffffffbfffffffff; -li x30, 0xffffffbfffffffff -sha512sig0 x13, x30 -sw x13, 40(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x13, 0x0000000000000000) - -inst_27: -// rs1==x22, rd==x31, rs1_val == 18446743936270598143, -// opcode: sha512sig0 ; op1:x22; dest:x31; op1val:0xffffffdfffffffff; -li x22, 0xffffffdfffffffff -sha512sig0 x31, x22 -sw x31, 48(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x31, 0x0000000000000000) - -inst_28: -// rs1==x5, rd==x17, rs1_val == 18446744004990074879, -// opcode: sha512sig0 ; op1:x5; dest:x17; op1val:0xffffffefffffffff; -li x5, 0xffffffefffffffff -sha512sig0 x17, x5 -sw x17, 56(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x17, 0x0000000000000000) - -inst_29: -// rs1==x21, rd==x24, rs1_val == 18446744039349813247, -// opcode: sha512sig0 ; op1:x21; dest:x24; op1val:0xfffffff7ffffffff; -li x21, 0xfffffff7ffffffff -sha512sig0 x24, x21 -sw x24, 64(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x24, 0x0000000000000000) - -inst_30: -// rs1==x16, rd==x2, rs1_val == 18446744056529682431, -// opcode: sha512sig0 ; op1:x16; dest:x2; op1val:0xfffffffbffffffff; -li x16, 0xfffffffbffffffff -sha512sig0 x2, x16 -sw x2, 72(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x2, 0x0000000000000000) - -inst_31: -// rs1==x26, rd==x15, rs1_val == 18446744065119617023, -// opcode: sha512sig0 ; op1:x26; dest:x15; op1val:0xfffffffdffffffff; -li x26, 0xfffffffdffffffff -sha512sig0 x15, x26 -sw x15, 80(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x15, 0x0000000000000000) - -inst_32: -// rs1_val == 18446744069414584319, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffeffffffff; -li x10, 0xfffffffeffffffff -sha512sig0 x11, x10 -sw x11, 88(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_33: -// rs1_val == 18446744071562067967, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffff7fffffff; -li x10, 0xffffffff7fffffff -sha512sig0 x11, x10 -sw x11, 96(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_34: -// rs1_val == 18446744072635809791, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffbfffffff; -li x10, 0xffffffffbfffffff -sha512sig0 x11, x10 -sw x11, 104(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_35: -// rs1_val == 18446744073172680703, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffdfffffff; -li x10, 0xffffffffdfffffff -sha512sig0 x11, x10 -sw x11, 112(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_36: -// rs1_val == 18446744073441116159, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffefffffff; -li x10, 0xffffffffefffffff -sha512sig0 x11, x10 -sw x11, 120(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_37: -// rs1_val == 18446744073575333887, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffff7ffffff; -li x10, 0xfffffffff7ffffff -sha512sig0 x11, x10 -sw x11, 128(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_38: -// rs1_val == 18446744073642442751, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffbffffff; -li x10, 0xfffffffffbffffff -sha512sig0 x11, x10 -sw x11, 136(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_39: -// rs1_val == 18446744073675997183, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffdffffff; -li x10, 0xfffffffffdffffff -sha512sig0 x11, x10 -sw x11, 144(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_40: -// rs1_val == 18446744073692774399, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffeffffff; -li x10, 0xfffffffffeffffff -sha512sig0 x11, x10 -sw x11, 152(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_41: -// rs1_val == 18446744073701163007, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffff7fffff; -li x10, 0xffffffffff7fffff -sha512sig0 x11, x10 -sw x11, 160(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_42: -// rs1_val == 18446744073705357311, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffffbfffff; -li x10, 0xffffffffffbfffff -sha512sig0 x11, x10 -sw x11, 168(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_43: -// rs1_val == 18446744073707454463, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffffdfffff; -li x10, 0xffffffffffdfffff -sha512sig0 x11, x10 -sw x11, 176(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_44: -// rs1_val == 18446744073708503039, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffffefffff; -li x10, 0xffffffffffefffff -sha512sig0 x11, x10 -sw x11, 184(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_45: -// rs1_val == 18446744073709027327, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffff7ffff; -li x10, 0xfffffffffff7ffff -sha512sig0 x11, x10 -sw x11, 192(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_46: -// rs1_val == 18446744073709289471, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffbffff; -li x10, 0xfffffffffffbffff -sha512sig0 x11, x10 -sw x11, 200(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_47: -// rs1_val == 18446744073709420543, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffdffff; -li x10, 0xfffffffffffdffff -sha512sig0 x11, x10 -sw x11, 208(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_48: -// rs1_val == 18446744073709486079, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffeffff; -li x10, 0xfffffffffffeffff -sha512sig0 x11, x10 -sw x11, 216(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_49: -// rs1_val == 18446744073709518847, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffffff7fff; -li x10, 0xffffffffffff7fff -sha512sig0 x11, x10 -sw x11, 224(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_50: -// rs1_val == 18446744073709535231, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffffffbfff; -li x10, 0xffffffffffffbfff -sha512sig0 x11, x10 -sw x11, 232(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_51: -// rs1_val == 18446744073709543423, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffffffdfff; -li x10, 0xffffffffffffdfff -sha512sig0 x11, x10 -sw x11, 240(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_52: -// rs1_val == 18446744073709547519, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffffffefff; -li x10, 0xffffffffffffefff -sha512sig0 x11, x10 -sw x11, 248(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_53: -// rs1_val == 18446744073709549567, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffff7ff; -li x10, 0xfffffffffffff7ff -sha512sig0 x11, x10 -sw x11, 256(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_54: -// rs1_val == 18446744073709550591, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffffbff; -li x10, 0xfffffffffffffbff -sha512sig0 x11, x10 -sw x11, 264(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_55: -// rs1_val == 18446744073709551103, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffffdff; -li x10, 0xfffffffffffffdff -sha512sig0 x11, x10 -sw x11, 272(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_56: -// rs1_val == 18446744073709551359, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffffeff; -li x10, 0xfffffffffffffeff -sha512sig0 x11, x10 -sw x11, 280(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_57: -// rs1_val == 18446744073709551487, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffffffff7f; -li x10, 0xffffffffffffff7f -sha512sig0 x11, x10 -sw x11, 288(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_58: -// rs1_val == 18446744073709551551, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffffffffbf; -li x10, 0xffffffffffffffbf -sha512sig0 x11, x10 -sw x11, 296(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_59: -// rs1_val == 18446744073709551583, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffffffffdf; -li x10, 0xffffffffffffffdf -sha512sig0 x11, x10 -sw x11, 304(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_60: -// rs1_val == 18446744073709551599, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xffffffffffffffef; -li x10, 0xffffffffffffffef -sha512sig0 x11, x10 -sw x11, 312(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_61: -// rs1_val == 18446744073709551607, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffffff7; -li x10, 0xfffffffffffffff7 -sha512sig0 x11, x10 -sw x11, 320(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_62: -// rs1_val == 18446744073709551611, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffb; -li x10, 0xfffffffffffffffb -sha512sig0 x11, x10 -sw x11, 328(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_63: -// rs1_val == 18446744073709551613, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; -li x10, 0xfffffffffffffffd -sha512sig0 x11, x10 -sw x11, 336(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_64: -// rs1_val == 18446744073709551614, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; -li x10, 0xfffffffffffffffe -sha512sig0 x11, x10 -sw x11, 344(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_65: -// rs1_val == 9223372036854775808, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x8000000000000000; -li x10, 0x8000000000000000 -sha512sig0 x11, x10 -sw x11, 352(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_66: -// rs1_val == 4611686018427387904, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x4000000000000000; -li x10, 0x4000000000000000 -sha512sig0 x11, x10 -sw x11, 360(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_67: -// rs1_val == 2305843009213693952, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x2000000000000000; -li x10, 0x2000000000000000 -sha512sig0 x11, x10 -sw x11, 368(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_68: -// rs1_val == 1152921504606846976, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x1000000000000000; -li x10, 0x1000000000000000 -sha512sig0 x11, x10 -sw x11, 376(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_69: -// rs1_val == 576460752303423488, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x800000000000000; -li x10, 0x800000000000000 -sha512sig0 x11, x10 -sw x11, 384(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_70: -// rs1_val == 288230376151711744, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x400000000000000; -li x10, 0x400000000000000 -sha512sig0 x11, x10 -sw x11, 392(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_71: -// rs1_val == 144115188075855872, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x200000000000000; -li x10, 0x200000000000000 -sha512sig0 x11, x10 -sw x11, 400(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_72: -// rs1_val == 72057594037927936, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x100000000000000; -li x10, 0x100000000000000 -sha512sig0 x11, x10 -sw x11, 408(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_73: -// rs1_val == 36028797018963968, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x80000000000000; -li x10, 0x80000000000000 -sha512sig0 x11, x10 -sw x11, 416(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_74: -// rs1_val == 18014398509481984, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x40000000000000; -li x10, 0x40000000000000 -sha512sig0 x11, x10 -sw x11, 424(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_75: -// rs1_val == 9007199254740992, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x20000000000000; -li x10, 0x20000000000000 -sha512sig0 x11, x10 -sw x11, 432(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_76: -// rs1_val == 4503599627370496, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x10000000000000; -li x10, 0x10000000000000 -sha512sig0 x11, x10 -sw x11, 440(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_77: -// rs1_val == 2251799813685248, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x8000000000000; -li x10, 0x8000000000000 -sha512sig0 x11, x10 -sw x11, 448(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_78: -// rs1_val == 1125899906842624, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x4000000000000; -li x10, 0x4000000000000 -sha512sig0 x11, x10 -sw x11, 456(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_79: -// rs1_val == 562949953421312, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x2000000000000; -li x10, 0x2000000000000 -sha512sig0 x11, x10 -sw x11, 464(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_80: -// rs1_val == 281474976710656, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x1000000000000; -li x10, 0x1000000000000 -sha512sig0 x11, x10 -sw x11, 472(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_81: -// rs1_val == 140737488355328, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x800000000000; -li x10, 0x800000000000 -sha512sig0 x11, x10 -sw x11, 480(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_82: -// rs1_val == 70368744177664, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x400000000000; -li x10, 0x400000000000 -sha512sig0 x11, x10 -sw x11, 488(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_83: -// rs1_val == 35184372088832, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x200000000000; -li x10, 0x200000000000 -sha512sig0 x11, x10 -sw x11, 496(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_84: -// rs1_val == 17592186044416, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x100000000000; -li x10, 0x100000000000 -sha512sig0 x11, x10 -sw x11, 504(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_85: -// rs1_val == 1, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x1; -li x10, 0x1 -sha512sig0 x11, x10 -sw x11, 512(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_86: -// rs1_val == 0xb6f9706fb4f741aa -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xb6f9706fb4f741aa; -li x10, 0xb6f9706fb4f741aa -sha512sig0 x11, x10 -sw x11, 520(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_87: -// rs1_val == 0x40a5ff526f38a9c7 -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x40a5ff526f38a9c7; -li x10, 0x40a5ff526f38a9c7 -sha512sig0 x11, x10 -sw x11, 528(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_88: -// rs1_val == 0xd05668ae0fdb82bc -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xd05668ae0fdb82bc; -li x10, 0xd05668ae0fdb82bc -sha512sig0 x11, x10 -sw x11, 536(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_89: -// rs1_val == 0x9bedfe390d6ddd9d -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x9bedfe390d6ddd9d; -li x10, 0x9bedfe390d6ddd9d -sha512sig0 x11, x10 -sw x11, 544(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_90: -// rs1_val == 0xaa6bb2bde9ed477d -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xaa6bb2bde9ed477d; -li x10, 0xaa6bb2bde9ed477d -sha512sig0 x11, x10 -sw x11, 552(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_91: -// rs1_val == 0xd75739f82ac177c6 -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xd75739f82ac177c6; -li x10, 0xd75739f82ac177c6 -sha512sig0 x11, x10 -sw x11, 560(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_92: -// rs1_val == 0x299c3bcf90efb625 -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x299c3bcf90efb625; -li x10, 0x299c3bcf90efb625 -sha512sig0 x11, x10 -sw x11, 568(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_93: -// rs1_val == 0x9a4e9ef10171f4df -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x9a4e9ef10171f4df; -li x10, 0x9a4e9ef10171f4df -sha512sig0 x11, x10 -sw x11, 576(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_94: -// rs1_val == 0x1fc493caa371db42 -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x1fc493caa371db42; -li x10, 0x1fc493caa371db42 -sha512sig0 x11, x10 -sw x11, 584(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_95: -// rs1_val == 0xd169a3f8cad5e297 -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xd169a3f8cad5e297; -li x10, 0xd169a3f8cad5e297 -sha512sig0 x11, x10 -sw x11, 592(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_96: -// rs1_val == 0xf4c30307672f666d -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xf4c30307672f666d; -li x10, 0xf4c30307672f666d -sha512sig0 x11, x10 -sw x11, 600(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_97: -// rs1_val == 0xd5b9fe5cf69bdcf3 -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xd5b9fe5cf69bdcf3; -li x10, 0xd5b9fe5cf69bdcf3 -sha512sig0 x11, x10 -sw x11, 608(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_98: -// rs1_val == 0xa0569d765ebc64cb -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xa0569d765ebc64cb; -li x10, 0xa0569d765ebc64cb -sha512sig0 x11, x10 -sw x11, 616(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_99: -// rs1_val == 0xe4921bf73047c198 -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xe4921bf73047c198; -li x10, 0xe4921bf73047c198 -sha512sig0 x11, x10 -sw x11, 624(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_100: -// rs1_val == 0x2daf9ac7f5faf207 -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x2daf9ac7f5faf207; -li x10, 0x2daf9ac7f5faf207 -sha512sig0 x11, x10 -sw x11, 632(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_101: -// rs1_val == 0xfcc1b543c49cd65b -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfcc1b543c49cd65b; -li x10, 0xfcc1b543c49cd65b -sha512sig0 x11, x10 -sw x11, 640(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_102: -// rs1_val == 0x3459294ef273b44c -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x3459294ef273b44c; -li x10, 0x3459294ef273b44c -sha512sig0 x11, x10 -sw x11, 648(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_103: -// rs1_val == 0x436f40f274b8de87 -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x436f40f274b8de87; -li x10, 0x436f40f274b8de87 -sha512sig0 x11, x10 -sw x11, 656(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_104: -// rs1_val == 0xc5521660f3a3c571 -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xc5521660f3a3c571; -li x10, 0xc5521660f3a3c571 -sha512sig0 x11, x10 -sw x11, 664(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_105: -// rs1_val == 8796093022208, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x80000000000; -li x10, 0x80000000000 -sha512sig0 x11, x10 -sw x11, 672(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_106: -// rs1_val == 4398046511104, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x40000000000; -li x10, 0x40000000000 -sha512sig0 x11, x10 -sw x11, 680(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_107: -// rs1_val == 2199023255552, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x20000000000; -li x10, 0x20000000000 -sha512sig0 x11, x10 -sw x11, 688(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_108: -// rs1_val == 1099511627776, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x10000000000; -li x10, 0x10000000000 -sha512sig0 x11, x10 -sw x11, 696(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_109: -// rs1_val == 549755813888, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x8000000000; -li x10, 0x8000000000 -sha512sig0 x11, x10 -sw x11, 704(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_110: -// rs1_val == 274877906944, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x4000000000; -li x10, 0x4000000000 -sha512sig0 x11, x10 -sw x11, 712(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_111: -// rs1_val == 137438953472, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x2000000000; -li x10, 0x2000000000 -sha512sig0 x11, x10 -sw x11, 720(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_112: -// rs1_val == 68719476736, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x1000000000; -li x10, 0x1000000000 -sha512sig0 x11, x10 -sw x11, 728(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_113: -// rs1_val == 34359738368, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x800000000; -li x10, 0x800000000 -sha512sig0 x11, x10 -sw x11, 736(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_114: -// rs1_val == 17179869184, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x400000000; -li x10, 0x400000000 -sha512sig0 x11, x10 -sw x11, 744(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_115: -// rs1_val == 8589934592, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x200000000; -li x10, 0x200000000 -sha512sig0 x11, x10 -sw x11, 752(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_116: -// rs1_val == 4294967296, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x100000000; -li x10, 0x100000000 -sha512sig0 x11, x10 -sw x11, 760(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_117: -// rs1_val == 2147483648, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x80000000; -li x10, 0x80000000 -sha512sig0 x11, x10 -sw x11, 768(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_118: -// rs1_val == 1073741824, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x40000000; -li x10, 0x40000000 -sha512sig0 x11, x10 -sw x11, 776(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_119: -// rs1_val == 536870912, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x20000000; -li x10, 0x20000000 -sha512sig0 x11, x10 -sw x11, 784(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_120: -// rs1_val == 268435456, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x10000000; -li x10, 0x10000000 -sha512sig0 x11, x10 -sw x11, 792(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_121: -// rs1_val == 134217728, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x8000000; -li x10, 0x8000000 -sha512sig0 x11, x10 -sw x11, 800(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_122: -// rs1_val == 67108864, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x4000000; -li x10, 0x4000000 -sha512sig0 x11, x10 -sw x11, 808(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_123: -// rs1_val == 33554432, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x2000000; -li x10, 0x2000000 -sha512sig0 x11, x10 -sw x11, 816(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_124: -// rs1_val == 16777216, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x1000000; -li x10, 0x1000000 -sha512sig0 x11, x10 -sw x11, 824(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_125: -// rs1_val == 8388608, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x800000; -li x10, 0x800000 -sha512sig0 x11, x10 -sw x11, 832(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_126: -// rs1_val == 4194304, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x400000; -li x10, 0x400000 -sha512sig0 x11, x10 -sw x11, 840(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_127: -// rs1_val == 2097152, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x200000; -li x10, 0x200000 -sha512sig0 x11, x10 -sw x11, 848(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_128: -// rs1_val == 1048576, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x100000; -li x10, 0x100000 -sha512sig0 x11, x10 -sw x11, 856(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_129: -// rs1_val == 524288, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x80000; -li x10, 0x80000 -sha512sig0 x11, x10 -sw x11, 864(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_130: -// rs1_val == 262144, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x40000; -li x10, 0x40000 -sha512sig0 x11, x10 -sw x11, 872(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_131: -// rs1_val == 131072, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x20000; -li x10, 0x20000 -sha512sig0 x11, x10 -sw x11, 880(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_132: -// rs1_val == 65536, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x10000; -li x10, 0x10000 -sha512sig0 x11, x10 -sw x11, 888(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_133: -// rs1_val == 32768, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x8000; -li x10, 0x8000 -sha512sig0 x11, x10 -sw x11, 896(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_134: -// rs1_val == 16384, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x4000; -li x10, 0x4000 -sha512sig0 x11, x10 -sw x11, 904(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_135: -// rs1_val == 8192, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x2000; -li x10, 0x2000 -sha512sig0 x11, x10 -sw x11, 912(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_136: -// rs1_val == 4096, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x1000; -li x10, 0x1000 -sha512sig0 x11, x10 -sw x11, 920(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_137: -// rs1_val == 2048, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x800; -li x10, 0x800 -sha512sig0 x11, x10 -sw x11, 928(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_138: -// rs1_val == 1024, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x400; -li x10, 0x400 -sha512sig0 x11, x10 -sw x11, 936(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_139: -// rs1_val == 512, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x200; -li x10, 0x200 -sha512sig0 x11, x10 -sw x11, 944(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_140: -// rs1_val == 256, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x100; -li x10, 0x100 -sha512sig0 x11, x10 -sw x11, 952(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_141: -// rs1_val == 128, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x80; -li x10, 0x80 -sha512sig0 x11, x10 -sw x11, 960(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_142: -// rs1_val == 64, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x40; -li x10, 0x40 -sha512sig0 x11, x10 -sw x11, 968(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_143: -// rs1_val == 32, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x20; -li x10, 0x20 -sha512sig0 x11, x10 -sw x11, 976(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_144: -// rs1_val == 16, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x10; -li x10, 0x10 -sha512sig0 x11, x10 -sw x11, 984(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_145: -// rs1_val == 8, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x8; -li x10, 0x8 -sha512sig0 x11, x10 -sw x11, 992(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_146: -// rs1_val == 4, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x4; -li x10, 0x4 -sha512sig0 x11, x10 -sw x11, 1000(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_147: -// rs1_val == 2, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0x2; -li x10, 0x2 -sha512sig0 x11, x10 -sw x11, 1008(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_148: -// rs1_val == 18302628885633695743, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfdffffffffffffff; -li x10, 0xfdffffffffffffff -sha512sig0 x11, x10 -sw x11, 1016(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_149: -// rs1_val == 18446735277616529407, -// opcode: sha512sig0 ; op1:x10; dest:x11; op1val:0xfffff7ffffffffff; -li x10, 0xfffff7ffffffffff -sha512sig0 x11, x10 -sw x11, 1024(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 21*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 129*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp1.S deleted file mode 100644 index 0e6d8f686..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp1.S +++ /dev/null @@ -1,972 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha512sig0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha512sig0) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x3, x1, x2; -sha512sig0 x1, x3; -xor x4, x1, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x1,8); -RVTEST_SIGUPD(x31,x4,16); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -not x3, x2; -sha512sig0 x1, x3; -not x4, x1; -RVTEST_SIGUPD(x31,x3,24); -RVTEST_SIGUPD(x31,x1,32); -RVTEST_SIGUPD(x31,x4,40); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -add x3, x1, x2; -sha512sig0 x1, x3; -add x4, x1, x2; -RVTEST_SIGUPD(x31,x3,48); -RVTEST_SIGUPD(x31,x1,56); -RVTEST_SIGUPD(x31,x4,64); - -inst_1: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x4, x2, x3; -sha512sig0 x2, x4; -xor x5, x2, x3; -RVTEST_SIGUPD(x31,x4,72); -RVTEST_SIGUPD(x31,x2,80); -RVTEST_SIGUPD(x31,x5,88); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -not x4, x3; -sha512sig0 x2, x4; -not x5, x2; -RVTEST_SIGUPD(x31,x4,96); -RVTEST_SIGUPD(x31,x2,104); -RVTEST_SIGUPD(x31,x5,112); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -add x4, x2, x3; -sha512sig0 x2, x4; -add x5, x2, x3; -RVTEST_SIGUPD(x31,x4,120); -RVTEST_SIGUPD(x31,x2,128); -RVTEST_SIGUPD(x31,x5,136); - -inst_2: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x5, x3, x4; -sha512sig0 x3, x5; -xor x6, x3, x4; -RVTEST_SIGUPD(x31,x5,144); -RVTEST_SIGUPD(x31,x3,152); -RVTEST_SIGUPD(x31,x6,160); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -not x5, x4; -sha512sig0 x3, x5; -not x6, x3; -RVTEST_SIGUPD(x31,x5,168); -RVTEST_SIGUPD(x31,x3,176); -RVTEST_SIGUPD(x31,x6,184); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -add x5, x3, x4; -sha512sig0 x3, x5; -add x6, x3, x4; -RVTEST_SIGUPD(x31,x5,192); -RVTEST_SIGUPD(x31,x3,200); -RVTEST_SIGUPD(x31,x6,208); - -inst_3: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x6, x4, x5; -sha512sig0 x4, x6; -xor x7, x4, x5; -RVTEST_SIGUPD(x31,x6,216); -RVTEST_SIGUPD(x31,x4,224); -RVTEST_SIGUPD(x31,x7,232); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -not x6, x5; -sha512sig0 x4, x6; -not x7, x4; -RVTEST_SIGUPD(x31,x6,240); -RVTEST_SIGUPD(x31,x4,248); -RVTEST_SIGUPD(x31,x7,256); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -add x6, x4, x5; -sha512sig0 x4, x6; -add x7, x4, x5; -RVTEST_SIGUPD(x31,x6,264); -RVTEST_SIGUPD(x31,x4,272); -RVTEST_SIGUPD(x31,x7,280); - -inst_4: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x7, x5, x6; -sha512sig0 x5, x7; -xor x8, x5, x6; -RVTEST_SIGUPD(x31,x7,288); -RVTEST_SIGUPD(x31,x5,296); -RVTEST_SIGUPD(x31,x8,304); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -not x7, x6; -sha512sig0 x5, x7; -not x8, x5; -RVTEST_SIGUPD(x31,x7,312); -RVTEST_SIGUPD(x31,x5,320); -RVTEST_SIGUPD(x31,x8,328); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -add x7, x5, x6; -sha512sig0 x5, x7; -add x8, x5, x6; -RVTEST_SIGUPD(x31,x7,336); -RVTEST_SIGUPD(x31,x5,344); -RVTEST_SIGUPD(x31,x8,352); - -inst_5: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x8, x6, x7; -sha512sig0 x6, x8; -xor x9, x6, x7; -RVTEST_SIGUPD(x31,x8,360); -RVTEST_SIGUPD(x31,x6,368); -RVTEST_SIGUPD(x31,x9,376); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -not x8, x7; -sha512sig0 x6, x8; -not x9, x6; -RVTEST_SIGUPD(x31,x8,384); -RVTEST_SIGUPD(x31,x6,392); -RVTEST_SIGUPD(x31,x9,400); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -add x8, x6, x7; -sha512sig0 x6, x8; -add x9, x6, x7; -RVTEST_SIGUPD(x31,x8,408); -RVTEST_SIGUPD(x31,x6,416); -RVTEST_SIGUPD(x31,x9,424); - -inst_6: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x9, x7, x8; -sha512sig0 x7, x9; -xor x10, x7, x8; -RVTEST_SIGUPD(x31,x9,432); -RVTEST_SIGUPD(x31,x7,440); -RVTEST_SIGUPD(x31,x10,448); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -not x9, x8; -sha512sig0 x7, x9; -not x10, x7; -RVTEST_SIGUPD(x31,x9,456); -RVTEST_SIGUPD(x31,x7,464); -RVTEST_SIGUPD(x31,x10,472); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -add x9, x7, x8; -sha512sig0 x7, x9; -add x10, x7, x8; -RVTEST_SIGUPD(x31,x9,480); -RVTEST_SIGUPD(x31,x7,488); -RVTEST_SIGUPD(x31,x10,496); - -inst_7: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x10, x8, x9; -sha512sig0 x8, x10; -xor x11, x8, x9; -RVTEST_SIGUPD(x31,x10,504); -RVTEST_SIGUPD(x31,x8,512); -RVTEST_SIGUPD(x31,x11,520); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -not x10, x9; -sha512sig0 x8, x10; -not x11, x8; -RVTEST_SIGUPD(x31,x10,528); -RVTEST_SIGUPD(x31,x8,536); -RVTEST_SIGUPD(x31,x11,544); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -add x10, x8, x9; -sha512sig0 x8, x10; -add x11, x8, x9; -RVTEST_SIGUPD(x31,x10,552); -RVTEST_SIGUPD(x31,x8,560); -RVTEST_SIGUPD(x31,x11,568); - -inst_8: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x11, x9, x10; -sha512sig0 x9, x11; -xor x12, x9, x10; -RVTEST_SIGUPD(x31,x11,576); -RVTEST_SIGUPD(x31,x9,584); -RVTEST_SIGUPD(x31,x12,592); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -not x11, x10; -sha512sig0 x9, x11; -not x12, x9; -RVTEST_SIGUPD(x31,x11,600); -RVTEST_SIGUPD(x31,x9,608); -RVTEST_SIGUPD(x31,x12,616); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -add x11, x9, x10; -sha512sig0 x9, x11; -add x12, x9, x10; -RVTEST_SIGUPD(x31,x11,624); -RVTEST_SIGUPD(x31,x9,632); -RVTEST_SIGUPD(x31,x12,640); - -inst_9: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x12, x10, x11; -sha512sig0 x10, x12; -xor x13, x10, x11; -RVTEST_SIGUPD(x31,x12,648); -RVTEST_SIGUPD(x31,x10,656); -RVTEST_SIGUPD(x31,x13,664); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -not x12, x11; -sha512sig0 x10, x12; -not x13, x10; -RVTEST_SIGUPD(x31,x12,672); -RVTEST_SIGUPD(x31,x10,680); -RVTEST_SIGUPD(x31,x13,688); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -add x12, x10, x11; -sha512sig0 x10, x12; -add x13, x10, x11; -RVTEST_SIGUPD(x31,x12,696); -RVTEST_SIGUPD(x31,x10,704); -RVTEST_SIGUPD(x31,x13,712); - -inst_10: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x13, x11, x12; -sha512sig0 x11, x13; -xor x14, x11, x12; -RVTEST_SIGUPD(x31,x13,720); -RVTEST_SIGUPD(x31,x11,728); -RVTEST_SIGUPD(x31,x14,736); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -not x13, x12; -sha512sig0 x11, x13; -not x14, x11; -RVTEST_SIGUPD(x31,x13,744); -RVTEST_SIGUPD(x31,x11,752); -RVTEST_SIGUPD(x31,x14,760); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -add x13, x11, x12; -sha512sig0 x11, x13; -add x14, x11, x12; -RVTEST_SIGUPD(x31,x13,768); -RVTEST_SIGUPD(x31,x11,776); -RVTEST_SIGUPD(x31,x14,784); - -inst_11: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x14, x12, x13; -sha512sig0 x12, x14; -xor x15, x12, x13; -RVTEST_SIGUPD(x31,x14,792); -RVTEST_SIGUPD(x31,x12,800); -RVTEST_SIGUPD(x31,x15,808); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -not x14, x13; -sha512sig0 x12, x14; -not x15, x12; -RVTEST_SIGUPD(x31,x14,816); -RVTEST_SIGUPD(x31,x12,824); -RVTEST_SIGUPD(x31,x15,832); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -add x14, x12, x13; -sha512sig0 x12, x14; -add x15, x12, x13; -RVTEST_SIGUPD(x31,x14,840); -RVTEST_SIGUPD(x31,x12,848); -RVTEST_SIGUPD(x31,x15,856); - -inst_12: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x15, x13, x14; -sha512sig0 x13, x15; -xor x16, x13, x14; -RVTEST_SIGUPD(x31,x15,864); -RVTEST_SIGUPD(x31,x13,872); -RVTEST_SIGUPD(x31,x16,880); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -not x15, x14; -sha512sig0 x13, x15; -not x16, x13; -RVTEST_SIGUPD(x31,x15,888); -RVTEST_SIGUPD(x31,x13,896); -RVTEST_SIGUPD(x31,x16,904); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -add x15, x13, x14; -sha512sig0 x13, x15; -add x16, x13, x14; -RVTEST_SIGUPD(x31,x15,912); -RVTEST_SIGUPD(x31,x13,920); -RVTEST_SIGUPD(x31,x16,928); - -inst_13: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x16, x14, x15; -sha512sig0 x14, x16; -xor x17, x14, x15; -RVTEST_SIGUPD(x31,x16,936); -RVTEST_SIGUPD(x31,x14,944); -RVTEST_SIGUPD(x31,x17,952); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -not x16, x15; -sha512sig0 x14, x16; -not x17, x14; -RVTEST_SIGUPD(x31,x16,960); -RVTEST_SIGUPD(x31,x14,968); -RVTEST_SIGUPD(x31,x17,976); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -add x16, x14, x15; -sha512sig0 x14, x16; -add x17, x14, x15; -RVTEST_SIGUPD(x31,x16,984); -RVTEST_SIGUPD(x31,x14,992); -RVTEST_SIGUPD(x31,x17,1000); - -inst_14: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x17, x15, x16; -sha512sig0 x15, x17; -xor x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1008); -RVTEST_SIGUPD(x31,x15,1016); -RVTEST_SIGUPD(x31,x18,1024); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -not x17, x16; -sha512sig0 x15, x17; -not x18, x15; -RVTEST_SIGUPD(x31,x17,1032); -RVTEST_SIGUPD(x31,x15,1040); -RVTEST_SIGUPD(x31,x18,1048); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -add x17, x15, x16; -sha512sig0 x15, x17; -add x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1056); -RVTEST_SIGUPD(x31,x15,1064); -RVTEST_SIGUPD(x31,x18,1072); - -inst_15: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x18, x16, x17; -sha512sig0 x16, x18; -xor x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1080); -RVTEST_SIGUPD(x31,x16,1088); -RVTEST_SIGUPD(x31,x19,1096); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -not x18, x17; -sha512sig0 x16, x18; -not x19, x16; -RVTEST_SIGUPD(x31,x18,1104); -RVTEST_SIGUPD(x31,x16,1112); -RVTEST_SIGUPD(x31,x19,1120); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -add x18, x16, x17; -sha512sig0 x16, x18; -add x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1128); -RVTEST_SIGUPD(x31,x16,1136); -RVTEST_SIGUPD(x31,x19,1144); - -inst_16: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x19, x17, x18; -sha512sig0 x17, x19; -xor x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1152); -RVTEST_SIGUPD(x31,x17,1160); -RVTEST_SIGUPD(x31,x20,1168); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -not x19, x18; -sha512sig0 x17, x19; -not x20, x17; -RVTEST_SIGUPD(x31,x19,1176); -RVTEST_SIGUPD(x31,x17,1184); -RVTEST_SIGUPD(x31,x20,1192); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -add x19, x17, x18; -sha512sig0 x17, x19; -add x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1200); -RVTEST_SIGUPD(x31,x17,1208); -RVTEST_SIGUPD(x31,x20,1216); - -inst_17: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x20, x18, x19; -sha512sig0 x18, x20; -xor x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1224); -RVTEST_SIGUPD(x31,x18,1232); -RVTEST_SIGUPD(x31,x21,1240); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -not x20, x19; -sha512sig0 x18, x20; -not x21, x18; -RVTEST_SIGUPD(x31,x20,1248); -RVTEST_SIGUPD(x31,x18,1256); -RVTEST_SIGUPD(x31,x21,1264); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -add x20, x18, x19; -sha512sig0 x18, x20; -add x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1272); -RVTEST_SIGUPD(x31,x18,1280); -RVTEST_SIGUPD(x31,x21,1288); - -inst_18: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x21, x19, x20; -sha512sig0 x19, x21; -xor x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1296); -RVTEST_SIGUPD(x31,x19,1304); -RVTEST_SIGUPD(x31,x22,1312); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -not x21, x20; -sha512sig0 x19, x21; -not x22, x19; -RVTEST_SIGUPD(x31,x21,1320); -RVTEST_SIGUPD(x31,x19,1328); -RVTEST_SIGUPD(x31,x22,1336); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -add x21, x19, x20; -sha512sig0 x19, x21; -add x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1344); -RVTEST_SIGUPD(x31,x19,1352); -RVTEST_SIGUPD(x31,x22,1360); - -inst_19: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x22, x20, x21; -sha512sig0 x20, x22; -xor x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1368); -RVTEST_SIGUPD(x31,x20,1376); -RVTEST_SIGUPD(x31,x23,1384); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -not x22, x21; -sha512sig0 x20, x22; -not x23, x20; -RVTEST_SIGUPD(x31,x22,1392); -RVTEST_SIGUPD(x31,x20,1400); -RVTEST_SIGUPD(x31,x23,1408); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -add x22, x20, x21; -sha512sig0 x20, x22; -add x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1416); -RVTEST_SIGUPD(x31,x20,1424); -RVTEST_SIGUPD(x31,x23,1432); - -inst_20: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x23, x21, x22; -sha512sig0 x21, x23; -xor x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1440); -RVTEST_SIGUPD(x31,x21,1448); -RVTEST_SIGUPD(x31,x24,1456); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -not x23, x22; -sha512sig0 x21, x23; -not x24, x21; -RVTEST_SIGUPD(x31,x23,1464); -RVTEST_SIGUPD(x31,x21,1472); -RVTEST_SIGUPD(x31,x24,1480); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -add x23, x21, x22; -sha512sig0 x21, x23; -add x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1488); -RVTEST_SIGUPD(x31,x21,1496); -RVTEST_SIGUPD(x31,x24,1504); - -inst_21: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x24, x22, x23; -sha512sig0 x22, x24; -xor x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1512); -RVTEST_SIGUPD(x31,x22,1520); -RVTEST_SIGUPD(x31,x25,1528); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -not x24, x23; -sha512sig0 x22, x24; -not x25, x22; -RVTEST_SIGUPD(x31,x24,1536); -RVTEST_SIGUPD(x31,x22,1544); -RVTEST_SIGUPD(x31,x25,1552); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -add x24, x22, x23; -sha512sig0 x22, x24; -add x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1560); -RVTEST_SIGUPD(x31,x22,1568); -RVTEST_SIGUPD(x31,x25,1576); - -inst_22: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x25, x23, x24; -sha512sig0 x23, x25; -xor x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1584); -RVTEST_SIGUPD(x31,x23,1592); -RVTEST_SIGUPD(x31,x26,1600); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -not x25, x24; -sha512sig0 x23, x25; -not x26, x23; -RVTEST_SIGUPD(x31,x25,1608); -RVTEST_SIGUPD(x31,x23,1616); -RVTEST_SIGUPD(x31,x26,1624); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -add x25, x23, x24; -sha512sig0 x23, x25; -add x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1632); -RVTEST_SIGUPD(x31,x23,1640); -RVTEST_SIGUPD(x31,x26,1648); - -inst_23: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x26, x24, x25; -sha512sig0 x24, x26; -xor x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1656); -RVTEST_SIGUPD(x31,x24,1664); -RVTEST_SIGUPD(x31,x27,1672); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -not x26, x25; -sha512sig0 x24, x26; -not x27, x24; -RVTEST_SIGUPD(x31,x26,1680); -RVTEST_SIGUPD(x31,x24,1688); -RVTEST_SIGUPD(x31,x27,1696); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -add x26, x24, x25; -sha512sig0 x24, x26; -add x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1704); -RVTEST_SIGUPD(x31,x24,1712); -RVTEST_SIGUPD(x31,x27,1720); - -inst_24: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x27, x25, x26; -sha512sig0 x25, x27; -xor x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1728); -RVTEST_SIGUPD(x31,x25,1736); -RVTEST_SIGUPD(x31,x28,1744); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -not x27, x26; -sha512sig0 x25, x27; -not x28, x25; -RVTEST_SIGUPD(x31,x27,1752); -RVTEST_SIGUPD(x31,x25,1760); -RVTEST_SIGUPD(x31,x28,1768); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -add x27, x25, x26; -sha512sig0 x25, x27; -add x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1776); -RVTEST_SIGUPD(x31,x25,1784); -RVTEST_SIGUPD(x31,x28,1792); - -inst_25: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x28, x26, x27; -sha512sig0 x26, x28; -xor x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1800); -RVTEST_SIGUPD(x31,x26,1808); -RVTEST_SIGUPD(x31,x29,1816); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -not x28, x27; -sha512sig0 x26, x28; -not x29, x26; -RVTEST_SIGUPD(x31,x28,1824); -RVTEST_SIGUPD(x31,x26,1832); -RVTEST_SIGUPD(x31,x29,1840); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -add x28, x26, x27; -sha512sig0 x26, x28; -add x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1848); -RVTEST_SIGUPD(x31,x26,1856); -RVTEST_SIGUPD(x31,x29,1864); - -inst_26: -// Forwarded xor into sha512sig0 & the result back into xor -// opcode: sha512sig0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -xor x29, x27, x28; -sha512sig0 x27, x29; -xor x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1872); -RVTEST_SIGUPD(x31,x27,1880); -RVTEST_SIGUPD(x31,x30,1888); - -// Forwarded not into sha512sig0 & the result back into not -// opcode: sha512sig0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -not x29, x28; -sha512sig0 x27, x29; -not x30, x27; -RVTEST_SIGUPD(x31,x29,1896); -RVTEST_SIGUPD(x31,x27,1904); -RVTEST_SIGUPD(x31,x30,1912); - -// Forwarded add into sha512sig0 & the result back into add -// opcode: sha512sig0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -add x29, x27, x28; -sha512sig0 x27, x29; -add x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1920); -RVTEST_SIGUPD(x31,x27,1928); -RVTEST_SIGUPD(x31,x30,1936); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 243*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp2.S deleted file mode 100644 index bd25a3831..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp2.S +++ /dev/null @@ -1,307 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha512sig0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha512sig0) - -RVTEST_SIGBASE( x31,signature_x31_1) - -la x1, rvtest_data - -inst_0: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x2; dest1:x3; -LREG x2, 0(x1); -sha512sig0 x3, x2; -RVTEST_SIGUPD(x31,x2,0); -RVTEST_SIGUPD(x31,x3,8); - -inst_1: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x3; dest1:x4; -LREG x3, 8(x1); -sha512sig0 x4, x3; -RVTEST_SIGUPD(x31,x3,16); -RVTEST_SIGUPD(x31,x4,24); - -inst_2: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x4; dest1:x5; -LREG x4, 16(x1); -sha512sig0 x5, x4; -RVTEST_SIGUPD(x31,x4,32); -RVTEST_SIGUPD(x31,x5,40); - -inst_3: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x5; dest1:x6; -LREG x5, 24(x1); -sha512sig0 x6, x5; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); - -inst_4: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x6; dest1:x7; -LREG x6, 32(x1); -sha512sig0 x7, x6; -RVTEST_SIGUPD(x31,x6,64); -RVTEST_SIGUPD(x31,x7,72); - -inst_5: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x7; dest1:x8; -LREG x7, 40(x1); -sha512sig0 x8, x7; -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_6: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x8; dest1:x9; -LREG x8, 48(x1); -sha512sig0 x9, x8; -RVTEST_SIGUPD(x31,x8,96); -RVTEST_SIGUPD(x31,x9,104); - -inst_7: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x9; dest1:x10; -LREG x9, 56(x1); -sha512sig0 x10, x9; -RVTEST_SIGUPD(x31,x9,112); -RVTEST_SIGUPD(x31,x10,120); - -inst_8: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x10; dest1:x11; -LREG x10, 64(x1); -sha512sig0 x11, x10; -RVTEST_SIGUPD(x31,x10,128); -RVTEST_SIGUPD(x31,x11,136); - -inst_9: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x11; dest1:x12; -LREG x11, 72(x1); -sha512sig0 x12, x11; -RVTEST_SIGUPD(x31,x11,144); -RVTEST_SIGUPD(x31,x12,152); - -inst_10: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x12; dest1:x13; -LREG x12, 80(x1); -sha512sig0 x13, x12; -RVTEST_SIGUPD(x31,x12,160); -RVTEST_SIGUPD(x31,x13,168); - -inst_11: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x13; dest1:x14; -LREG x13, 88(x1); -sha512sig0 x14, x13; -RVTEST_SIGUPD(x31,x13,176); -RVTEST_SIGUPD(x31,x14,184); - -inst_12: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x14; dest1:x15; -LREG x14, 96(x1); -sha512sig0 x15, x14; -RVTEST_SIGUPD(x31,x14,192); -RVTEST_SIGUPD(x31,x15,200); - -inst_13: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x15; dest1:x16; -LREG x15, 104(x1); -sha512sig0 x16, x15; -RVTEST_SIGUPD(x31,x15,208); -RVTEST_SIGUPD(x31,x16,216); - -inst_14: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x16; dest1:x17; -LREG x16, 112(x1); -sha512sig0 x17, x16; -RVTEST_SIGUPD(x31,x16,224); -RVTEST_SIGUPD(x31,x17,232); - -inst_15: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x17; dest1:x18; -LREG x17, 120(x1); -sha512sig0 x18, x17; -RVTEST_SIGUPD(x31,x17,240); -RVTEST_SIGUPD(x31,x18,248); - -inst_16: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x18; dest1:x19; -LREG x18, 128(x1); -sha512sig0 x19, x18; -RVTEST_SIGUPD(x31,x18,256); -RVTEST_SIGUPD(x31,x19,264); - -inst_17: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x19; dest1:x20; -LREG x19, 136(x1); -sha512sig0 x20, x19; -RVTEST_SIGUPD(x31,x19,272); -RVTEST_SIGUPD(x31,x20,280); - -inst_18: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x20; dest1:x21; -LREG x20, 144(x1); -sha512sig0 x21, x20; -RVTEST_SIGUPD(x31,x20,288); -RVTEST_SIGUPD(x31,x21,296); - -inst_19: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x21; dest1:x22; -LREG x21, 152(x1); -sha512sig0 x22, x21; -RVTEST_SIGUPD(x31,x21,304); -RVTEST_SIGUPD(x31,x22,312); - -inst_20: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x22; dest1:x23; -LREG x22, 160(x1); -sha512sig0 x23, x22; -RVTEST_SIGUPD(x31,x22,320); -RVTEST_SIGUPD(x31,x23,328); - -inst_21: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x23; dest1:x24; -LREG x23, 168(x1); -sha512sig0 x24, x23; -RVTEST_SIGUPD(x31,x23,336); -RVTEST_SIGUPD(x31,x24,344); - -inst_22: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x24; dest1:x25; -LREG x24, 176(x1); -sha512sig0 x25, x24; -RVTEST_SIGUPD(x31,x24,352); -RVTEST_SIGUPD(x31,x25,360); - -inst_23: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x25; dest1:x26; -LREG x25, 184(x1); -sha512sig0 x26, x25; -RVTEST_SIGUPD(x31,x25,368); -RVTEST_SIGUPD(x31,x26,376); - -inst_24: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x26; dest1:x27; -LREG x26, 192(x1); -sha512sig0 x27, x26; -RVTEST_SIGUPD(x31,x26,384); -RVTEST_SIGUPD(x31,x27,392); - -inst_25: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x27; dest1:x28; -LREG x27, 200(x1); -sha512sig0 x28, x27; -RVTEST_SIGUPD(x31,x27,400); -RVTEST_SIGUPD(x31,x28,408); - -inst_26: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x28; dest1:x29; -LREG x28, 208(x1); -sha512sig0 x29, x28; -RVTEST_SIGUPD(x31,x28,416); -RVTEST_SIGUPD(x31,x29,424); - -inst_27: -// Checking load-to-use hazard! -// opcode: sha512sig0; op1:x29; dest1:x30; -LREG x29, 216(x1); -sha512sig0 x30, x29; -RVTEST_SIGUPD(x31,x29,432); -RVTEST_SIGUPD(x31,x30,440); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.dword 0x08577eb1924770d3 -.dword 0x93fdcab87b89296c -.dword 0xd2d6b8777dc59a3a -.dword 0xcf84b683a749f9c5 -.dword 0x854a965708ceac39 -.dword 0x137a977753e8eb43 -.dword 0x5c74e45eff1e5bef -.dword 0xdc3383836b9f15c4 -.dword 0x5ae6a2289a6ab329 -.dword 0x432779eeacca7f0d -.dword 0xaf949e5e2cb7362c -.dword 0x5cd2875ea96ec2b3 -.dword 0x9d02fc90708cc1b6 -.dword 0x953b00b00b54aa22 -.dword 0x224c06013c53d0e3 -.dword 0xe8dac663f0e58650 -.dword 0x3d7c95f9e5f0307e -.dword 0x8c8a18b2aaac3142 -.dword 0x785036de6f9fb997 -.dword 0x95a4d257a7298c66 -.dword 0x807da245d814d575 -.dword 0x3d06143769b1dcbf -.dword 0x7f21682208208d09 -.dword 0x14b91c79dae98554 -.dword 0xc5ec6148c6880007 -.dword 0x7213516d6a013380 -.dword 0x4652f62dae4839a1 -.dword 0x85986adb9e044706 -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 56*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-01.S deleted file mode 100644 index 92ef9a051..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-01.S +++ /dev/null @@ -1,1282 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sha512sig1 instruction of the RISC-V extension for the sha512sig1 covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",sha512sig1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha512sig1) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKnh.*);def TEST_CASE_1=True;",sha512sig1) - -RVTEST_SIGBASE( x6,signature_x6_1) - -inst_0: -// rs1 != rd, rs1==x24, rd==x9, rs1_val == 0x75a3adb3254a9493 -// opcode: sha512sig1 ; op1:x24; dest:x9; op1val:0x75a3adb3254a9493; -li x24, 0x75a3adb3254a9493 -sha512sig1 x9, x24 -sw x9, 0(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x9, 0x0000000000000000) - -inst_1: -// rs1 == rd, rs1==x16, rd==x16, rs1_val == 9223372036854775807, -// opcode: sha512sig1 ; op1:x16; dest:x16; op1val:0x7fffffffffffffff; -li x16, 0x7fffffffffffffff -sha512sig1 x16, x16 -sw x16, 8(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x16, 0x0000000000000000) - -inst_2: -// rs1==x13, rd==x7, rs1_val == 13835058055282163711, -// opcode: sha512sig1 ; op1:x13; dest:x7; op1val:0xbfffffffffffffff; -li x13, 0xbfffffffffffffff -sha512sig1 x7, x13 -sw x7, 16(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x7, 0x0000000000000000) - -inst_3: -// rs1==x31, rd==x15, rs1_val == 16140901064495857663, -// opcode: sha512sig1 ; op1:x31; dest:x15; op1val:0xdfffffffffffffff; -li x31, 0xdfffffffffffffff -sha512sig1 x15, x31 -sw x15, 24(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x15, 0x0000000000000000) - -inst_4: -// rs1==x8, rd==x31, rs1_val == 17293822569102704639, -// opcode: sha512sig1 ; op1:x8; dest:x31; op1val:0xefffffffffffffff; -li x8, 0xefffffffffffffff -sha512sig1 x31, x8 -sw x31, 32(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x31, 0x0000000000000000) - -inst_5: -// rs1==x17, rd==x27, rs1_val == 17870283321406128127, -// opcode: sha512sig1 ; op1:x17; dest:x27; op1val:0xf7ffffffffffffff; -li x17, 0xf7ffffffffffffff -sha512sig1 x27, x17 -sw x27, 40(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x27, 0x0000000000000000) - -inst_6: -// rs1==x14, rd==x0, rs1_val == 18158513697557839871, -// opcode: sha512sig1 ; op1:x14; dest:x0; op1val:0xfbffffffffffffff; -li x14, 0xfbffffffffffffff -sha512sig1 x0, x14 -sw x0, 48(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x0, 0x0000000000000000) - -inst_7: -// rs1==x18, rd==x13, rs1_val == 18302628885633695743, -// opcode: sha512sig1 ; op1:x18; dest:x13; op1val:0xfdffffffffffffff; -li x18, 0xfdffffffffffffff -sha512sig1 x13, x18 -sw x13, 56(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x13, 0x0000000000000000) - -inst_8: -// rs1==x27, rd==x18, rs1_val == 18374686479671623679, -// opcode: sha512sig1 ; op1:x27; dest:x18; op1val:0xfeffffffffffffff; -li x27, 0xfeffffffffffffff -sha512sig1 x18, x27 -sw x18, 64(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x18, 0x0000000000000000) - -inst_9: -// rs1==x4, rd==x26, rs1_val == 18410715276690587647, -// opcode: sha512sig1 ; op1:x4; dest:x26; op1val:0xff7fffffffffffff; -li x4, 0xff7fffffffffffff -sha512sig1 x26, x4 -sw x26, 72(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x26, 0x0000000000000000) - -inst_10: -// rs1==x15, rd==x1, rs1_val == 18428729675200069631, -// opcode: sha512sig1 ; op1:x15; dest:x1; op1val:0xffbfffffffffffff; -li x15, 0xffbfffffffffffff -sha512sig1 x1, x15 -sw x1, 80(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x1, 0x0000000000000000) - -inst_11: -// rs1==x20, rd==x25, rs1_val == 18437736874454810623, -// opcode: sha512sig1 ; op1:x20; dest:x25; op1val:0xffdfffffffffffff; -li x20, 0xffdfffffffffffff -sha512sig1 x25, x20 -sw x25, 88(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x25, 0x0000000000000000) - -inst_12: -// rs1==x22, rd==x29, rs1_val == 18442240474082181119, -// opcode: sha512sig1 ; op1:x22; dest:x29; op1val:0xffefffffffffffff; -li x22, 0xffefffffffffffff -sha512sig1 x29, x22 -sw x29, 96(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x29, 0x0000000000000000) - -inst_13: -// rs1==x5, rd==x20, rs1_val == 18444492273895866367, -// opcode: sha512sig1 ; op1:x5; dest:x20; op1val:0xfff7ffffffffffff; -li x5, 0xfff7ffffffffffff -sha512sig1 x20, x5 -sw x20, 104(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x20, 0x0000000000000000) - -inst_14: -// rs1==x12, rd==x19, rs1_val == 18445618173802708991, -// opcode: sha512sig1 ; op1:x12; dest:x19; op1val:0xfffbffffffffffff; -li x12, 0xfffbffffffffffff -sha512sig1 x19, x12 -sw x19, 112(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x19, 0x0000000000000000) - -inst_15: -// rs1==x30, rd==x12, rs1_val == 18446181123756130303, -// opcode: sha512sig1 ; op1:x30; dest:x12; op1val:0xfffdffffffffffff; -li x30, 0xfffdffffffffffff -sha512sig1 x12, x30 -sw x12, 120(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x12, 0x0000000000000000) - -inst_16: -// rs1==x19, rd==x28, rs1_val == 18446462598732840959, -// opcode: sha512sig1 ; op1:x19; dest:x28; op1val:0xfffeffffffffffff; -li x19, 0xfffeffffffffffff -sha512sig1 x28, x19 -sw x28, 128(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x28, 0x0000000000000000) - -inst_17: -// rs1==x21, rd==x22, rs1_val == 18446603336221196287, -// opcode: sha512sig1 ; op1:x21; dest:x22; op1val:0xffff7fffffffffff; -li x21, 0xffff7fffffffffff -sha512sig1 x22, x21 -sw x22, 136(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x22, 0x0000000000000000) - -inst_18: -// rs1==x2, rd==x30, rs1_val == 18446673704965373951, -// opcode: sha512sig1 ; op1:x2; dest:x30; op1val:0xffffbfffffffffff; -li x2, 0xffffbfffffffffff -sha512sig1 x30, x2 -sw x30, 144(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x30, 0x0000000000000000) - -inst_19: -// rs1==x28, rd==x8, rs1_val == 18446708889337462783, -// opcode: sha512sig1 ; op1:x28; dest:x8; op1val:0xffffdfffffffffff; -li x28, 0xffffdfffffffffff -sha512sig1 x8, x28 -sw x8, 152(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x8, 0x0000000000000000) - -inst_20: -// rs1==x10, rd==x4, rs1_val == 18446726481523507199, -// opcode: sha512sig1 ; op1:x10; dest:x4; op1val:0xffffefffffffffff; -li x10, 0xffffefffffffffff -sha512sig1 x4, x10 -sw x4, 160(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x4, 0x0000000000000000) - -inst_21: -// rs1==x3, rd==x14, rs1_val == 18446735277616529407, -// opcode: sha512sig1 ; op1:x3; dest:x14; op1val:0xfffff7ffffffffff; -li x3, 0xfffff7ffffffffff -sha512sig1 x14, x3 -sw x14, 168(x6) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x14, 0x0000000000000000) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_22: -// rs1==x9, rd==x11, rs1_val == 18446739675663040511, -// opcode: sha512sig1 ; op1:x9; dest:x11; op1val:0xfffffbffffffffff; -li x9, 0xfffffbffffffffff -sha512sig1 x11, x9 -sw x11, 0(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_23: -// rs1==x6, rd==x21, rs1_val == 18446741874686296063, -// opcode: sha512sig1 ; op1:x6; dest:x21; op1val:0xfffffdffffffffff; -li x6, 0xfffffdffffffffff -sha512sig1 x21, x6 -sw x21, 8(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x21, 0x0000000000000000) - -inst_24: -// rs1==x26, rd==x5, rs1_val == 18446742974197923839, -// opcode: sha512sig1 ; op1:x26; dest:x5; op1val:0xfffffeffffffffff; -li x26, 0xfffffeffffffffff -sha512sig1 x5, x26 -sw x5, 16(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x5, 0x0000000000000000) - -inst_25: -// rs1==x11, rd==x2, rs1_val == 18446743523953737727, -// opcode: sha512sig1 ; op1:x11; dest:x2; op1val:0xffffff7fffffffff; -li x11, 0xffffff7fffffffff -sha512sig1 x2, x11 -sw x2, 24(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x2, 0x0000000000000000) - -inst_26: -// rs1==x1, rd==x24, rs1_val == 18446743798831644671, -// opcode: sha512sig1 ; op1:x1; dest:x24; op1val:0xffffffbfffffffff; -li x1, 0xffffffbfffffffff -sha512sig1 x24, x1 -sw x24, 32(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x24, 0x0000000000000000) - -inst_27: -// rs1==x0, rd==x17, rs1_val == 18446743936270598143, -// opcode: sha512sig1 ; op1:x0; dest:x17; op1val:0x0; -li x0, 0x0 -sha512sig1 x17, x0 -sw x17, 40(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x17, 0x0000000000000000) - -inst_28: -// rs1==x7, rd==x10, rs1_val == 18446744004990074879, -// opcode: sha512sig1 ; op1:x7; dest:x10; op1val:0xffffffefffffffff; -li x7, 0xffffffefffffffff -sha512sig1 x10, x7 -sw x10, 48(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x10, 0x0000000000000000) - -inst_29: -// rs1==x23, rd==x3, rs1_val == 18446744039349813247, -// opcode: sha512sig1 ; op1:x23; dest:x3; op1val:0xfffffff7ffffffff; -li x23, 0xfffffff7ffffffff -sha512sig1 x3, x23 -sw x3, 56(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x3, 0x0000000000000000) - -inst_30: -// rs1==x25, rd==x23, rs1_val == 18446744056529682431, -// opcode: sha512sig1 ; op1:x25; dest:x23; op1val:0xfffffffbffffffff; -li x25, 0xfffffffbffffffff -sha512sig1 x23, x25 -sw x23, 64(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x23, 0x0000000000000000) - -inst_31: -// rs1==x29, rd==x6, rs1_val == 18446744065119617023, -// opcode: sha512sig1 ; op1:x29; dest:x6; op1val:0xfffffffdffffffff; -li x29, 0xfffffffdffffffff -sha512sig1 x6, x29 -sw x6, 72(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x6, 0x0000000000000000) - -inst_32: -// rs1_val == 18446744069414584319, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffeffffffff; -li x10, 0xfffffffeffffffff -sha512sig1 x11, x10 -sw x11, 80(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_33: -// rs1_val == 18446744071562067967, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffff7fffffff; -li x10, 0xffffffff7fffffff -sha512sig1 x11, x10 -sw x11, 88(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_34: -// rs1_val == 18446744072635809791, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffbfffffff; -li x10, 0xffffffffbfffffff -sha512sig1 x11, x10 -sw x11, 96(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_35: -// rs1_val == 18446744073172680703, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffdfffffff; -li x10, 0xffffffffdfffffff -sha512sig1 x11, x10 -sw x11, 104(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_36: -// rs1_val == 18446744073441116159, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffefffffff; -li x10, 0xffffffffefffffff -sha512sig1 x11, x10 -sw x11, 112(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_37: -// rs1_val == 18446744073575333887, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffff7ffffff; -li x10, 0xfffffffff7ffffff -sha512sig1 x11, x10 -sw x11, 120(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_38: -// rs1_val == 18446744073642442751, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffbffffff; -li x10, 0xfffffffffbffffff -sha512sig1 x11, x10 -sw x11, 128(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_39: -// rs1_val == 18446744073675997183, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffdffffff; -li x10, 0xfffffffffdffffff -sha512sig1 x11, x10 -sw x11, 136(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_40: -// rs1_val == 18446744073692774399, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffeffffff; -li x10, 0xfffffffffeffffff -sha512sig1 x11, x10 -sw x11, 144(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_41: -// rs1_val == 18446744073701163007, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffff7fffff; -li x10, 0xffffffffff7fffff -sha512sig1 x11, x10 -sw x11, 152(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_42: -// rs1_val == 18446744073705357311, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffffbfffff; -li x10, 0xffffffffffbfffff -sha512sig1 x11, x10 -sw x11, 160(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_43: -// rs1_val == 18446744073707454463, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffffdfffff; -li x10, 0xffffffffffdfffff -sha512sig1 x11, x10 -sw x11, 168(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_44: -// rs1_val == 18446744073708503039, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffffefffff; -li x10, 0xffffffffffefffff -sha512sig1 x11, x10 -sw x11, 176(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_45: -// rs1_val == 18446744073709027327, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffff7ffff; -li x10, 0xfffffffffff7ffff -sha512sig1 x11, x10 -sw x11, 184(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_46: -// rs1_val == 18446744073709289471, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffbffff; -li x10, 0xfffffffffffbffff -sha512sig1 x11, x10 -sw x11, 192(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_47: -// rs1_val == 18446744073709420543, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffdffff; -li x10, 0xfffffffffffdffff -sha512sig1 x11, x10 -sw x11, 200(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_48: -// rs1_val == 18446744073709486079, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffeffff; -li x10, 0xfffffffffffeffff -sha512sig1 x11, x10 -sw x11, 208(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_49: -// rs1_val == 18446744073709518847, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffffff7fff; -li x10, 0xffffffffffff7fff -sha512sig1 x11, x10 -sw x11, 216(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_50: -// rs1_val == 18446744073709535231, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffffffbfff; -li x10, 0xffffffffffffbfff -sha512sig1 x11, x10 -sw x11, 224(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_51: -// rs1_val == 18446744073709543423, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffffffdfff; -li x10, 0xffffffffffffdfff -sha512sig1 x11, x10 -sw x11, 232(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_52: -// rs1_val == 18446744073709547519, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffffffefff; -li x10, 0xffffffffffffefff -sha512sig1 x11, x10 -sw x11, 240(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_53: -// rs1_val == 18446744073709549567, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffff7ff; -li x10, 0xfffffffffffff7ff -sha512sig1 x11, x10 -sw x11, 248(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_54: -// rs1_val == 18446744073709550591, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffffbff; -li x10, 0xfffffffffffffbff -sha512sig1 x11, x10 -sw x11, 256(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_55: -// rs1_val == 18446744073709551103, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffffdff; -li x10, 0xfffffffffffffdff -sha512sig1 x11, x10 -sw x11, 264(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_56: -// rs1_val == 18446744073709551359, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffffeff; -li x10, 0xfffffffffffffeff -sha512sig1 x11, x10 -sw x11, 272(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_57: -// rs1_val == 18446744073709551487, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffffffff7f; -li x10, 0xffffffffffffff7f -sha512sig1 x11, x10 -sw x11, 280(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_58: -// rs1_val == 18446744073709551551, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffffffffbf; -li x10, 0xffffffffffffffbf -sha512sig1 x11, x10 -sw x11, 288(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_59: -// rs1_val == 18446744073709551583, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffffffffdf; -li x10, 0xffffffffffffffdf -sha512sig1 x11, x10 -sw x11, 296(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_60: -// rs1_val == 18446744073709551599, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffffffffffef; -li x10, 0xffffffffffffffef -sha512sig1 x11, x10 -sw x11, 304(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_61: -// rs1_val == 18446744073709551607, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffffff7; -li x10, 0xfffffffffffffff7 -sha512sig1 x11, x10 -sw x11, 312(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_62: -// rs1_val == 18446744073709551611, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffb; -li x10, 0xfffffffffffffffb -sha512sig1 x11, x10 -sw x11, 320(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_63: -// rs1_val == 18446744073709551613, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; -li x10, 0xfffffffffffffffd -sha512sig1 x11, x10 -sw x11, 328(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_64: -// rs1_val == 18446744073709551614, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; -li x10, 0xfffffffffffffffe -sha512sig1 x11, x10 -sw x11, 336(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_65: -// rs1_val == 9223372036854775808, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x8000000000000000; -li x10, 0x8000000000000000 -sha512sig1 x11, x10 -sw x11, 344(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_66: -// rs1_val == 4611686018427387904, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x4000000000000000; -li x10, 0x4000000000000000 -sha512sig1 x11, x10 -sw x11, 352(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_67: -// rs1_val == 2305843009213693952, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x2000000000000000; -li x10, 0x2000000000000000 -sha512sig1 x11, x10 -sw x11, 360(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_68: -// rs1_val == 1152921504606846976, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x1000000000000000; -li x10, 0x1000000000000000 -sha512sig1 x11, x10 -sw x11, 368(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_69: -// rs1_val == 576460752303423488, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x800000000000000; -li x10, 0x800000000000000 -sha512sig1 x11, x10 -sw x11, 376(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_70: -// rs1_val == 288230376151711744, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x400000000000000; -li x10, 0x400000000000000 -sha512sig1 x11, x10 -sw x11, 384(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_71: -// rs1_val == 144115188075855872, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x200000000000000; -li x10, 0x200000000000000 -sha512sig1 x11, x10 -sw x11, 392(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_72: -// rs1_val == 72057594037927936, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x100000000000000; -li x10, 0x100000000000000 -sha512sig1 x11, x10 -sw x11, 400(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_73: -// rs1_val == 36028797018963968, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x80000000000000; -li x10, 0x80000000000000 -sha512sig1 x11, x10 -sw x11, 408(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_74: -// rs1_val == 18014398509481984, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x40000000000000; -li x10, 0x40000000000000 -sha512sig1 x11, x10 -sw x11, 416(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_75: -// rs1_val == 9007199254740992, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x20000000000000; -li x10, 0x20000000000000 -sha512sig1 x11, x10 -sw x11, 424(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_76: -// rs1_val == 4503599627370496, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x10000000000000; -li x10, 0x10000000000000 -sha512sig1 x11, x10 -sw x11, 432(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_77: -// rs1_val == 2251799813685248, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x8000000000000; -li x10, 0x8000000000000 -sha512sig1 x11, x10 -sw x11, 440(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_78: -// rs1_val == 1125899906842624, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x4000000000000; -li x10, 0x4000000000000 -sha512sig1 x11, x10 -sw x11, 448(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_79: -// rs1_val == 562949953421312, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x2000000000000; -li x10, 0x2000000000000 -sha512sig1 x11, x10 -sw x11, 456(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_80: -// rs1_val == 281474976710656, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x1000000000000; -li x10, 0x1000000000000 -sha512sig1 x11, x10 -sw x11, 464(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_81: -// rs1_val == 140737488355328, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x800000000000; -li x10, 0x800000000000 -sha512sig1 x11, x10 -sw x11, 472(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_82: -// rs1_val == 70368744177664, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x400000000000; -li x10, 0x400000000000 -sha512sig1 x11, x10 -sw x11, 480(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_83: -// rs1_val == 35184372088832, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x200000000000; -li x10, 0x200000000000 -sha512sig1 x11, x10 -sw x11, 488(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_84: -// rs1_val == 17592186044416, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x100000000000; -li x10, 0x100000000000 -sha512sig1 x11, x10 -sw x11, 496(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_85: -// rs1_val == 1, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x1; -li x10, 0x1 -sha512sig1 x11, x10 -sw x11, 504(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_86: -// rs1_val == 0xb6f9706fb4f741aa -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xb6f9706fb4f741aa; -li x10, 0xb6f9706fb4f741aa -sha512sig1 x11, x10 -sw x11, 512(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_87: -// rs1_val == 0x40a5ff526f38a9c7 -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x40a5ff526f38a9c7; -li x10, 0x40a5ff526f38a9c7 -sha512sig1 x11, x10 -sw x11, 520(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_88: -// rs1_val == 0xd05668ae0fdb82bc -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xd05668ae0fdb82bc; -li x10, 0xd05668ae0fdb82bc -sha512sig1 x11, x10 -sw x11, 528(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_89: -// rs1_val == 0x9bedfe390d6ddd9d -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x9bedfe390d6ddd9d; -li x10, 0x9bedfe390d6ddd9d -sha512sig1 x11, x10 -sw x11, 536(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_90: -// rs1_val == 0xaa6bb2bde9ed477d -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xaa6bb2bde9ed477d; -li x10, 0xaa6bb2bde9ed477d -sha512sig1 x11, x10 -sw x11, 544(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_91: -// rs1_val == 0xd75739f82ac177c6 -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xd75739f82ac177c6; -li x10, 0xd75739f82ac177c6 -sha512sig1 x11, x10 -sw x11, 552(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_92: -// rs1_val == 0x299c3bcf90efb625 -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x299c3bcf90efb625; -li x10, 0x299c3bcf90efb625 -sha512sig1 x11, x10 -sw x11, 560(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_93: -// rs1_val == 0x9a4e9ef10171f4df -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x9a4e9ef10171f4df; -li x10, 0x9a4e9ef10171f4df -sha512sig1 x11, x10 -sw x11, 568(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_94: -// rs1_val == 0x1fc493caa371db42 -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x1fc493caa371db42; -li x10, 0x1fc493caa371db42 -sha512sig1 x11, x10 -sw x11, 576(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_95: -// rs1_val == 0xd169a3f8cad5e297 -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xd169a3f8cad5e297; -li x10, 0xd169a3f8cad5e297 -sha512sig1 x11, x10 -sw x11, 584(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_96: -// rs1_val == 0xf4c30307672f666d -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xf4c30307672f666d; -li x10, 0xf4c30307672f666d -sha512sig1 x11, x10 -sw x11, 592(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_97: -// rs1_val == 0xd5b9fe5cf69bdcf3 -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xd5b9fe5cf69bdcf3; -li x10, 0xd5b9fe5cf69bdcf3 -sha512sig1 x11, x10 -sw x11, 600(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_98: -// rs1_val == 0xa0569d765ebc64cb -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xa0569d765ebc64cb; -li x10, 0xa0569d765ebc64cb -sha512sig1 x11, x10 -sw x11, 608(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_99: -// rs1_val == 0xe4921bf73047c198 -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xe4921bf73047c198; -li x10, 0xe4921bf73047c198 -sha512sig1 x11, x10 -sw x11, 616(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_100: -// rs1_val == 0x2daf9ac7f5faf207 -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x2daf9ac7f5faf207; -li x10, 0x2daf9ac7f5faf207 -sha512sig1 x11, x10 -sw x11, 624(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_101: -// rs1_val == 0xfcc1b543c49cd65b -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfcc1b543c49cd65b; -li x10, 0xfcc1b543c49cd65b -sha512sig1 x11, x10 -sw x11, 632(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_102: -// rs1_val == 0x3459294ef273b44c -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x3459294ef273b44c; -li x10, 0x3459294ef273b44c -sha512sig1 x11, x10 -sw x11, 640(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_103: -// rs1_val == 0x436f40f274b8de87 -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x436f40f274b8de87; -li x10, 0x436f40f274b8de87 -sha512sig1 x11, x10 -sw x11, 648(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_104: -// rs1_val == 0xc5521660f3a3c571 -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xc5521660f3a3c571; -li x10, 0xc5521660f3a3c571 -sha512sig1 x11, x10 -sw x11, 656(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_105: -// rs1_val == 8796093022208, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x80000000000; -li x10, 0x80000000000 -sha512sig1 x11, x10 -sw x11, 664(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_106: -// rs1_val == 4398046511104, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x40000000000; -li x10, 0x40000000000 -sha512sig1 x11, x10 -sw x11, 672(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_107: -// rs1_val == 2199023255552, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x20000000000; -li x10, 0x20000000000 -sha512sig1 x11, x10 -sw x11, 680(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_108: -// rs1_val == 1099511627776, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x10000000000; -li x10, 0x10000000000 -sha512sig1 x11, x10 -sw x11, 688(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_109: -// rs1_val == 549755813888, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x8000000000; -li x10, 0x8000000000 -sha512sig1 x11, x10 -sw x11, 696(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_110: -// rs1_val == 274877906944, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x4000000000; -li x10, 0x4000000000 -sha512sig1 x11, x10 -sw x11, 704(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_111: -// rs1_val == 137438953472, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x2000000000; -li x10, 0x2000000000 -sha512sig1 x11, x10 -sw x11, 712(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_112: -// rs1_val == 68719476736, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x1000000000; -li x10, 0x1000000000 -sha512sig1 x11, x10 -sw x11, 720(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_113: -// rs1_val == 34359738368, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x800000000; -li x10, 0x800000000 -sha512sig1 x11, x10 -sw x11, 728(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_114: -// rs1_val == 17179869184, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x400000000; -li x10, 0x400000000 -sha512sig1 x11, x10 -sw x11, 736(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_115: -// rs1_val == 8589934592, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x200000000; -li x10, 0x200000000 -sha512sig1 x11, x10 -sw x11, 744(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_116: -// rs1_val == 4294967296, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x100000000; -li x10, 0x100000000 -sha512sig1 x11, x10 -sw x11, 752(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_117: -// rs1_val == 2147483648, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x80000000; -li x10, 0x80000000 -sha512sig1 x11, x10 -sw x11, 760(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_118: -// rs1_val == 1073741824, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x40000000; -li x10, 0x40000000 -sha512sig1 x11, x10 -sw x11, 768(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_119: -// rs1_val == 536870912, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x20000000; -li x10, 0x20000000 -sha512sig1 x11, x10 -sw x11, 776(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_120: -// rs1_val == 268435456, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x10000000; -li x10, 0x10000000 -sha512sig1 x11, x10 -sw x11, 784(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_121: -// rs1_val == 134217728, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x8000000; -li x10, 0x8000000 -sha512sig1 x11, x10 -sw x11, 792(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_122: -// rs1_val == 67108864, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x4000000; -li x10, 0x4000000 -sha512sig1 x11, x10 -sw x11, 800(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_123: -// rs1_val == 33554432, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x2000000; -li x10, 0x2000000 -sha512sig1 x11, x10 -sw x11, 808(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_124: -// rs1_val == 16777216, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x1000000; -li x10, 0x1000000 -sha512sig1 x11, x10 -sw x11, 816(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_125: -// rs1_val == 8388608, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x800000; -li x10, 0x800000 -sha512sig1 x11, x10 -sw x11, 824(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_126: -// rs1_val == 4194304, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x400000; -li x10, 0x400000 -sha512sig1 x11, x10 -sw x11, 832(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_127: -// rs1_val == 2097152, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x200000; -li x10, 0x200000 -sha512sig1 x11, x10 -sw x11, 840(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_128: -// rs1_val == 1048576, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x100000; -li x10, 0x100000 -sha512sig1 x11, x10 -sw x11, 848(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_129: -// rs1_val == 524288, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x80000; -li x10, 0x80000 -sha512sig1 x11, x10 -sw x11, 856(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_130: -// rs1_val == 262144, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x40000; -li x10, 0x40000 -sha512sig1 x11, x10 -sw x11, 864(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_131: -// rs1_val == 131072, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x20000; -li x10, 0x20000 -sha512sig1 x11, x10 -sw x11, 872(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_132: -// rs1_val == 65536, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x10000; -li x10, 0x10000 -sha512sig1 x11, x10 -sw x11, 880(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_133: -// rs1_val == 32768, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x8000; -li x10, 0x8000 -sha512sig1 x11, x10 -sw x11, 888(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_134: -// rs1_val == 16384, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x4000; -li x10, 0x4000 -sha512sig1 x11, x10 -sw x11, 896(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_135: -// rs1_val == 8192, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x2000; -li x10, 0x2000 -sha512sig1 x11, x10 -sw x11, 904(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_136: -// rs1_val == 4096, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x1000; -li x10, 0x1000 -sha512sig1 x11, x10 -sw x11, 912(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_137: -// rs1_val == 2048, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x800; -li x10, 0x800 -sha512sig1 x11, x10 -sw x11, 920(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_138: -// rs1_val == 1024, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x400; -li x10, 0x400 -sha512sig1 x11, x10 -sw x11, 928(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_139: -// rs1_val == 512, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x200; -li x10, 0x200 -sha512sig1 x11, x10 -sw x11, 936(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_140: -// rs1_val == 256, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x100; -li x10, 0x100 -sha512sig1 x11, x10 -sw x11, 944(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_141: -// rs1_val == 128, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x80; -li x10, 0x80 -sha512sig1 x11, x10 -sw x11, 952(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_142: -// rs1_val == 64, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x40; -li x10, 0x40 -sha512sig1 x11, x10 -sw x11, 960(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_143: -// rs1_val == 32, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x20; -li x10, 0x20 -sha512sig1 x11, x10 -sw x11, 968(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_144: -// rs1_val == 16, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x10; -li x10, 0x10 -sha512sig1 x11, x10 -sw x11, 976(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_145: -// rs1_val == 8, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x8; -li x10, 0x8 -sha512sig1 x11, x10 -sw x11, 984(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_146: -// rs1_val == 4, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x4; -li x10, 0x4 -sha512sig1 x11, x10 -sw x11, 992(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_147: -// rs1_val == 2, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0x2; -li x10, 0x2 -sha512sig1 x11, x10 -sw x11, 1000(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_148: -// rs1_val == 18158513697557839871, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xfbffffffffffffff; -li x10, 0xfbffffffffffffff -sha512sig1 x11, x10 -sw x11, 1008(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) - -inst_149: -// rs1_val == 18446743936270598143, -// opcode: sha512sig1 ; op1:x10; dest:x11; op1val:0xffffffdfffffffff; -li x10, 0xffffffdfffffffff -sha512sig1 x11, x10 -sw x11, 1016(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x8, x11, 0x0000000000000000) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x6_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x6_1: - .fill 22*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 128*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp1.S deleted file mode 100644 index 7daf6c2b1..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp1.S +++ /dev/null @@ -1,972 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha512sig1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha512sig1) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x3, x1, x2; -sha512sig1 x1, x3; -xor x4, x1, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x1,8); -RVTEST_SIGUPD(x31,x4,16); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -not x3, x2; -sha512sig1 x1, x3; -not x4, x1; -RVTEST_SIGUPD(x31,x3,24); -RVTEST_SIGUPD(x31,x1,32); -RVTEST_SIGUPD(x31,x4,40); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -add x3, x1, x2; -sha512sig1 x1, x3; -add x4, x1, x2; -RVTEST_SIGUPD(x31,x3,48); -RVTEST_SIGUPD(x31,x1,56); -RVTEST_SIGUPD(x31,x4,64); - -inst_1: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x4, x2, x3; -sha512sig1 x2, x4; -xor x5, x2, x3; -RVTEST_SIGUPD(x31,x4,72); -RVTEST_SIGUPD(x31,x2,80); -RVTEST_SIGUPD(x31,x5,88); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -not x4, x3; -sha512sig1 x2, x4; -not x5, x2; -RVTEST_SIGUPD(x31,x4,96); -RVTEST_SIGUPD(x31,x2,104); -RVTEST_SIGUPD(x31,x5,112); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -add x4, x2, x3; -sha512sig1 x2, x4; -add x5, x2, x3; -RVTEST_SIGUPD(x31,x4,120); -RVTEST_SIGUPD(x31,x2,128); -RVTEST_SIGUPD(x31,x5,136); - -inst_2: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x5, x3, x4; -sha512sig1 x3, x5; -xor x6, x3, x4; -RVTEST_SIGUPD(x31,x5,144); -RVTEST_SIGUPD(x31,x3,152); -RVTEST_SIGUPD(x31,x6,160); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -not x5, x4; -sha512sig1 x3, x5; -not x6, x3; -RVTEST_SIGUPD(x31,x5,168); -RVTEST_SIGUPD(x31,x3,176); -RVTEST_SIGUPD(x31,x6,184); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -add x5, x3, x4; -sha512sig1 x3, x5; -add x6, x3, x4; -RVTEST_SIGUPD(x31,x5,192); -RVTEST_SIGUPD(x31,x3,200); -RVTEST_SIGUPD(x31,x6,208); - -inst_3: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x6, x4, x5; -sha512sig1 x4, x6; -xor x7, x4, x5; -RVTEST_SIGUPD(x31,x6,216); -RVTEST_SIGUPD(x31,x4,224); -RVTEST_SIGUPD(x31,x7,232); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -not x6, x5; -sha512sig1 x4, x6; -not x7, x4; -RVTEST_SIGUPD(x31,x6,240); -RVTEST_SIGUPD(x31,x4,248); -RVTEST_SIGUPD(x31,x7,256); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -add x6, x4, x5; -sha512sig1 x4, x6; -add x7, x4, x5; -RVTEST_SIGUPD(x31,x6,264); -RVTEST_SIGUPD(x31,x4,272); -RVTEST_SIGUPD(x31,x7,280); - -inst_4: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x7, x5, x6; -sha512sig1 x5, x7; -xor x8, x5, x6; -RVTEST_SIGUPD(x31,x7,288); -RVTEST_SIGUPD(x31,x5,296); -RVTEST_SIGUPD(x31,x8,304); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -not x7, x6; -sha512sig1 x5, x7; -not x8, x5; -RVTEST_SIGUPD(x31,x7,312); -RVTEST_SIGUPD(x31,x5,320); -RVTEST_SIGUPD(x31,x8,328); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -add x7, x5, x6; -sha512sig1 x5, x7; -add x8, x5, x6; -RVTEST_SIGUPD(x31,x7,336); -RVTEST_SIGUPD(x31,x5,344); -RVTEST_SIGUPD(x31,x8,352); - -inst_5: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x8, x6, x7; -sha512sig1 x6, x8; -xor x9, x6, x7; -RVTEST_SIGUPD(x31,x8,360); -RVTEST_SIGUPD(x31,x6,368); -RVTEST_SIGUPD(x31,x9,376); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -not x8, x7; -sha512sig1 x6, x8; -not x9, x6; -RVTEST_SIGUPD(x31,x8,384); -RVTEST_SIGUPD(x31,x6,392); -RVTEST_SIGUPD(x31,x9,400); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -add x8, x6, x7; -sha512sig1 x6, x8; -add x9, x6, x7; -RVTEST_SIGUPD(x31,x8,408); -RVTEST_SIGUPD(x31,x6,416); -RVTEST_SIGUPD(x31,x9,424); - -inst_6: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x9, x7, x8; -sha512sig1 x7, x9; -xor x10, x7, x8; -RVTEST_SIGUPD(x31,x9,432); -RVTEST_SIGUPD(x31,x7,440); -RVTEST_SIGUPD(x31,x10,448); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -not x9, x8; -sha512sig1 x7, x9; -not x10, x7; -RVTEST_SIGUPD(x31,x9,456); -RVTEST_SIGUPD(x31,x7,464); -RVTEST_SIGUPD(x31,x10,472); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -add x9, x7, x8; -sha512sig1 x7, x9; -add x10, x7, x8; -RVTEST_SIGUPD(x31,x9,480); -RVTEST_SIGUPD(x31,x7,488); -RVTEST_SIGUPD(x31,x10,496); - -inst_7: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x10, x8, x9; -sha512sig1 x8, x10; -xor x11, x8, x9; -RVTEST_SIGUPD(x31,x10,504); -RVTEST_SIGUPD(x31,x8,512); -RVTEST_SIGUPD(x31,x11,520); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -not x10, x9; -sha512sig1 x8, x10; -not x11, x8; -RVTEST_SIGUPD(x31,x10,528); -RVTEST_SIGUPD(x31,x8,536); -RVTEST_SIGUPD(x31,x11,544); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -add x10, x8, x9; -sha512sig1 x8, x10; -add x11, x8, x9; -RVTEST_SIGUPD(x31,x10,552); -RVTEST_SIGUPD(x31,x8,560); -RVTEST_SIGUPD(x31,x11,568); - -inst_8: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x11, x9, x10; -sha512sig1 x9, x11; -xor x12, x9, x10; -RVTEST_SIGUPD(x31,x11,576); -RVTEST_SIGUPD(x31,x9,584); -RVTEST_SIGUPD(x31,x12,592); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -not x11, x10; -sha512sig1 x9, x11; -not x12, x9; -RVTEST_SIGUPD(x31,x11,600); -RVTEST_SIGUPD(x31,x9,608); -RVTEST_SIGUPD(x31,x12,616); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -add x11, x9, x10; -sha512sig1 x9, x11; -add x12, x9, x10; -RVTEST_SIGUPD(x31,x11,624); -RVTEST_SIGUPD(x31,x9,632); -RVTEST_SIGUPD(x31,x12,640); - -inst_9: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x12, x10, x11; -sha512sig1 x10, x12; -xor x13, x10, x11; -RVTEST_SIGUPD(x31,x12,648); -RVTEST_SIGUPD(x31,x10,656); -RVTEST_SIGUPD(x31,x13,664); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -not x12, x11; -sha512sig1 x10, x12; -not x13, x10; -RVTEST_SIGUPD(x31,x12,672); -RVTEST_SIGUPD(x31,x10,680); -RVTEST_SIGUPD(x31,x13,688); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -add x12, x10, x11; -sha512sig1 x10, x12; -add x13, x10, x11; -RVTEST_SIGUPD(x31,x12,696); -RVTEST_SIGUPD(x31,x10,704); -RVTEST_SIGUPD(x31,x13,712); - -inst_10: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x13, x11, x12; -sha512sig1 x11, x13; -xor x14, x11, x12; -RVTEST_SIGUPD(x31,x13,720); -RVTEST_SIGUPD(x31,x11,728); -RVTEST_SIGUPD(x31,x14,736); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -not x13, x12; -sha512sig1 x11, x13; -not x14, x11; -RVTEST_SIGUPD(x31,x13,744); -RVTEST_SIGUPD(x31,x11,752); -RVTEST_SIGUPD(x31,x14,760); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -add x13, x11, x12; -sha512sig1 x11, x13; -add x14, x11, x12; -RVTEST_SIGUPD(x31,x13,768); -RVTEST_SIGUPD(x31,x11,776); -RVTEST_SIGUPD(x31,x14,784); - -inst_11: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x14, x12, x13; -sha512sig1 x12, x14; -xor x15, x12, x13; -RVTEST_SIGUPD(x31,x14,792); -RVTEST_SIGUPD(x31,x12,800); -RVTEST_SIGUPD(x31,x15,808); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -not x14, x13; -sha512sig1 x12, x14; -not x15, x12; -RVTEST_SIGUPD(x31,x14,816); -RVTEST_SIGUPD(x31,x12,824); -RVTEST_SIGUPD(x31,x15,832); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -add x14, x12, x13; -sha512sig1 x12, x14; -add x15, x12, x13; -RVTEST_SIGUPD(x31,x14,840); -RVTEST_SIGUPD(x31,x12,848); -RVTEST_SIGUPD(x31,x15,856); - -inst_12: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x15, x13, x14; -sha512sig1 x13, x15; -xor x16, x13, x14; -RVTEST_SIGUPD(x31,x15,864); -RVTEST_SIGUPD(x31,x13,872); -RVTEST_SIGUPD(x31,x16,880); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -not x15, x14; -sha512sig1 x13, x15; -not x16, x13; -RVTEST_SIGUPD(x31,x15,888); -RVTEST_SIGUPD(x31,x13,896); -RVTEST_SIGUPD(x31,x16,904); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -add x15, x13, x14; -sha512sig1 x13, x15; -add x16, x13, x14; -RVTEST_SIGUPD(x31,x15,912); -RVTEST_SIGUPD(x31,x13,920); -RVTEST_SIGUPD(x31,x16,928); - -inst_13: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x16, x14, x15; -sha512sig1 x14, x16; -xor x17, x14, x15; -RVTEST_SIGUPD(x31,x16,936); -RVTEST_SIGUPD(x31,x14,944); -RVTEST_SIGUPD(x31,x17,952); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -not x16, x15; -sha512sig1 x14, x16; -not x17, x14; -RVTEST_SIGUPD(x31,x16,960); -RVTEST_SIGUPD(x31,x14,968); -RVTEST_SIGUPD(x31,x17,976); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -add x16, x14, x15; -sha512sig1 x14, x16; -add x17, x14, x15; -RVTEST_SIGUPD(x31,x16,984); -RVTEST_SIGUPD(x31,x14,992); -RVTEST_SIGUPD(x31,x17,1000); - -inst_14: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x17, x15, x16; -sha512sig1 x15, x17; -xor x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1008); -RVTEST_SIGUPD(x31,x15,1016); -RVTEST_SIGUPD(x31,x18,1024); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -not x17, x16; -sha512sig1 x15, x17; -not x18, x15; -RVTEST_SIGUPD(x31,x17,1032); -RVTEST_SIGUPD(x31,x15,1040); -RVTEST_SIGUPD(x31,x18,1048); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -add x17, x15, x16; -sha512sig1 x15, x17; -add x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1056); -RVTEST_SIGUPD(x31,x15,1064); -RVTEST_SIGUPD(x31,x18,1072); - -inst_15: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x18, x16, x17; -sha512sig1 x16, x18; -xor x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1080); -RVTEST_SIGUPD(x31,x16,1088); -RVTEST_SIGUPD(x31,x19,1096); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -not x18, x17; -sha512sig1 x16, x18; -not x19, x16; -RVTEST_SIGUPD(x31,x18,1104); -RVTEST_SIGUPD(x31,x16,1112); -RVTEST_SIGUPD(x31,x19,1120); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -add x18, x16, x17; -sha512sig1 x16, x18; -add x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1128); -RVTEST_SIGUPD(x31,x16,1136); -RVTEST_SIGUPD(x31,x19,1144); - -inst_16: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x19, x17, x18; -sha512sig1 x17, x19; -xor x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1152); -RVTEST_SIGUPD(x31,x17,1160); -RVTEST_SIGUPD(x31,x20,1168); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -not x19, x18; -sha512sig1 x17, x19; -not x20, x17; -RVTEST_SIGUPD(x31,x19,1176); -RVTEST_SIGUPD(x31,x17,1184); -RVTEST_SIGUPD(x31,x20,1192); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -add x19, x17, x18; -sha512sig1 x17, x19; -add x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1200); -RVTEST_SIGUPD(x31,x17,1208); -RVTEST_SIGUPD(x31,x20,1216); - -inst_17: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x20, x18, x19; -sha512sig1 x18, x20; -xor x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1224); -RVTEST_SIGUPD(x31,x18,1232); -RVTEST_SIGUPD(x31,x21,1240); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -not x20, x19; -sha512sig1 x18, x20; -not x21, x18; -RVTEST_SIGUPD(x31,x20,1248); -RVTEST_SIGUPD(x31,x18,1256); -RVTEST_SIGUPD(x31,x21,1264); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -add x20, x18, x19; -sha512sig1 x18, x20; -add x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1272); -RVTEST_SIGUPD(x31,x18,1280); -RVTEST_SIGUPD(x31,x21,1288); - -inst_18: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x21, x19, x20; -sha512sig1 x19, x21; -xor x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1296); -RVTEST_SIGUPD(x31,x19,1304); -RVTEST_SIGUPD(x31,x22,1312); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -not x21, x20; -sha512sig1 x19, x21; -not x22, x19; -RVTEST_SIGUPD(x31,x21,1320); -RVTEST_SIGUPD(x31,x19,1328); -RVTEST_SIGUPD(x31,x22,1336); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -add x21, x19, x20; -sha512sig1 x19, x21; -add x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1344); -RVTEST_SIGUPD(x31,x19,1352); -RVTEST_SIGUPD(x31,x22,1360); - -inst_19: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x22, x20, x21; -sha512sig1 x20, x22; -xor x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1368); -RVTEST_SIGUPD(x31,x20,1376); -RVTEST_SIGUPD(x31,x23,1384); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -not x22, x21; -sha512sig1 x20, x22; -not x23, x20; -RVTEST_SIGUPD(x31,x22,1392); -RVTEST_SIGUPD(x31,x20,1400); -RVTEST_SIGUPD(x31,x23,1408); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -add x22, x20, x21; -sha512sig1 x20, x22; -add x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1416); -RVTEST_SIGUPD(x31,x20,1424); -RVTEST_SIGUPD(x31,x23,1432); - -inst_20: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x23, x21, x22; -sha512sig1 x21, x23; -xor x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1440); -RVTEST_SIGUPD(x31,x21,1448); -RVTEST_SIGUPD(x31,x24,1456); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -not x23, x22; -sha512sig1 x21, x23; -not x24, x21; -RVTEST_SIGUPD(x31,x23,1464); -RVTEST_SIGUPD(x31,x21,1472); -RVTEST_SIGUPD(x31,x24,1480); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -add x23, x21, x22; -sha512sig1 x21, x23; -add x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1488); -RVTEST_SIGUPD(x31,x21,1496); -RVTEST_SIGUPD(x31,x24,1504); - -inst_21: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x24, x22, x23; -sha512sig1 x22, x24; -xor x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1512); -RVTEST_SIGUPD(x31,x22,1520); -RVTEST_SIGUPD(x31,x25,1528); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -not x24, x23; -sha512sig1 x22, x24; -not x25, x22; -RVTEST_SIGUPD(x31,x24,1536); -RVTEST_SIGUPD(x31,x22,1544); -RVTEST_SIGUPD(x31,x25,1552); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -add x24, x22, x23; -sha512sig1 x22, x24; -add x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1560); -RVTEST_SIGUPD(x31,x22,1568); -RVTEST_SIGUPD(x31,x25,1576); - -inst_22: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x25, x23, x24; -sha512sig1 x23, x25; -xor x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1584); -RVTEST_SIGUPD(x31,x23,1592); -RVTEST_SIGUPD(x31,x26,1600); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -not x25, x24; -sha512sig1 x23, x25; -not x26, x23; -RVTEST_SIGUPD(x31,x25,1608); -RVTEST_SIGUPD(x31,x23,1616); -RVTEST_SIGUPD(x31,x26,1624); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -add x25, x23, x24; -sha512sig1 x23, x25; -add x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1632); -RVTEST_SIGUPD(x31,x23,1640); -RVTEST_SIGUPD(x31,x26,1648); - -inst_23: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x26, x24, x25; -sha512sig1 x24, x26; -xor x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1656); -RVTEST_SIGUPD(x31,x24,1664); -RVTEST_SIGUPD(x31,x27,1672); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -not x26, x25; -sha512sig1 x24, x26; -not x27, x24; -RVTEST_SIGUPD(x31,x26,1680); -RVTEST_SIGUPD(x31,x24,1688); -RVTEST_SIGUPD(x31,x27,1696); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -add x26, x24, x25; -sha512sig1 x24, x26; -add x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1704); -RVTEST_SIGUPD(x31,x24,1712); -RVTEST_SIGUPD(x31,x27,1720); - -inst_24: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x27, x25, x26; -sha512sig1 x25, x27; -xor x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1728); -RVTEST_SIGUPD(x31,x25,1736); -RVTEST_SIGUPD(x31,x28,1744); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -not x27, x26; -sha512sig1 x25, x27; -not x28, x25; -RVTEST_SIGUPD(x31,x27,1752); -RVTEST_SIGUPD(x31,x25,1760); -RVTEST_SIGUPD(x31,x28,1768); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -add x27, x25, x26; -sha512sig1 x25, x27; -add x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1776); -RVTEST_SIGUPD(x31,x25,1784); -RVTEST_SIGUPD(x31,x28,1792); - -inst_25: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x28, x26, x27; -sha512sig1 x26, x28; -xor x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1800); -RVTEST_SIGUPD(x31,x26,1808); -RVTEST_SIGUPD(x31,x29,1816); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -not x28, x27; -sha512sig1 x26, x28; -not x29, x26; -RVTEST_SIGUPD(x31,x28,1824); -RVTEST_SIGUPD(x31,x26,1832); -RVTEST_SIGUPD(x31,x29,1840); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -add x28, x26, x27; -sha512sig1 x26, x28; -add x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1848); -RVTEST_SIGUPD(x31,x26,1856); -RVTEST_SIGUPD(x31,x29,1864); - -inst_26: -// Forwarded xor into sha512sig1 & the result back into xor -// opcode: sha512sig1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -xor x29, x27, x28; -sha512sig1 x27, x29; -xor x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1872); -RVTEST_SIGUPD(x31,x27,1880); -RVTEST_SIGUPD(x31,x30,1888); - -// Forwarded not into sha512sig1 & the result back into not -// opcode: sha512sig1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -not x29, x28; -sha512sig1 x27, x29; -not x30, x27; -RVTEST_SIGUPD(x31,x29,1896); -RVTEST_SIGUPD(x31,x27,1904); -RVTEST_SIGUPD(x31,x30,1912); - -// Forwarded add into sha512sig1 & the result back into add -// opcode: sha512sig1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -add x29, x27, x28; -sha512sig1 x27, x29; -add x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1920); -RVTEST_SIGUPD(x31,x27,1928); -RVTEST_SIGUPD(x31,x30,1936); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 243*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp2.S deleted file mode 100644 index c31ee9218..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp2.S +++ /dev/null @@ -1,307 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha512sig1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha512sig1) - -RVTEST_SIGBASE( x31,signature_x31_1) - -la x1, rvtest_data - -inst_0: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x2; dest1:x3; -LREG x2, 0(x1); -sha512sig1 x3, x2; -RVTEST_SIGUPD(x31,x2,0); -RVTEST_SIGUPD(x31,x3,8); - -inst_1: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x3; dest1:x4; -LREG x3, 8(x1); -sha512sig1 x4, x3; -RVTEST_SIGUPD(x31,x3,16); -RVTEST_SIGUPD(x31,x4,24); - -inst_2: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x4; dest1:x5; -LREG x4, 16(x1); -sha512sig1 x5, x4; -RVTEST_SIGUPD(x31,x4,32); -RVTEST_SIGUPD(x31,x5,40); - -inst_3: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x5; dest1:x6; -LREG x5, 24(x1); -sha512sig1 x6, x5; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); - -inst_4: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x6; dest1:x7; -LREG x6, 32(x1); -sha512sig1 x7, x6; -RVTEST_SIGUPD(x31,x6,64); -RVTEST_SIGUPD(x31,x7,72); - -inst_5: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x7; dest1:x8; -LREG x7, 40(x1); -sha512sig1 x8, x7; -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_6: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x8; dest1:x9; -LREG x8, 48(x1); -sha512sig1 x9, x8; -RVTEST_SIGUPD(x31,x8,96); -RVTEST_SIGUPD(x31,x9,104); - -inst_7: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x9; dest1:x10; -LREG x9, 56(x1); -sha512sig1 x10, x9; -RVTEST_SIGUPD(x31,x9,112); -RVTEST_SIGUPD(x31,x10,120); - -inst_8: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x10; dest1:x11; -LREG x10, 64(x1); -sha512sig1 x11, x10; -RVTEST_SIGUPD(x31,x10,128); -RVTEST_SIGUPD(x31,x11,136); - -inst_9: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x11; dest1:x12; -LREG x11, 72(x1); -sha512sig1 x12, x11; -RVTEST_SIGUPD(x31,x11,144); -RVTEST_SIGUPD(x31,x12,152); - -inst_10: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x12; dest1:x13; -LREG x12, 80(x1); -sha512sig1 x13, x12; -RVTEST_SIGUPD(x31,x12,160); -RVTEST_SIGUPD(x31,x13,168); - -inst_11: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x13; dest1:x14; -LREG x13, 88(x1); -sha512sig1 x14, x13; -RVTEST_SIGUPD(x31,x13,176); -RVTEST_SIGUPD(x31,x14,184); - -inst_12: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x14; dest1:x15; -LREG x14, 96(x1); -sha512sig1 x15, x14; -RVTEST_SIGUPD(x31,x14,192); -RVTEST_SIGUPD(x31,x15,200); - -inst_13: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x15; dest1:x16; -LREG x15, 104(x1); -sha512sig1 x16, x15; -RVTEST_SIGUPD(x31,x15,208); -RVTEST_SIGUPD(x31,x16,216); - -inst_14: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x16; dest1:x17; -LREG x16, 112(x1); -sha512sig1 x17, x16; -RVTEST_SIGUPD(x31,x16,224); -RVTEST_SIGUPD(x31,x17,232); - -inst_15: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x17; dest1:x18; -LREG x17, 120(x1); -sha512sig1 x18, x17; -RVTEST_SIGUPD(x31,x17,240); -RVTEST_SIGUPD(x31,x18,248); - -inst_16: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x18; dest1:x19; -LREG x18, 128(x1); -sha512sig1 x19, x18; -RVTEST_SIGUPD(x31,x18,256); -RVTEST_SIGUPD(x31,x19,264); - -inst_17: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x19; dest1:x20; -LREG x19, 136(x1); -sha512sig1 x20, x19; -RVTEST_SIGUPD(x31,x19,272); -RVTEST_SIGUPD(x31,x20,280); - -inst_18: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x20; dest1:x21; -LREG x20, 144(x1); -sha512sig1 x21, x20; -RVTEST_SIGUPD(x31,x20,288); -RVTEST_SIGUPD(x31,x21,296); - -inst_19: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x21; dest1:x22; -LREG x21, 152(x1); -sha512sig1 x22, x21; -RVTEST_SIGUPD(x31,x21,304); -RVTEST_SIGUPD(x31,x22,312); - -inst_20: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x22; dest1:x23; -LREG x22, 160(x1); -sha512sig1 x23, x22; -RVTEST_SIGUPD(x31,x22,320); -RVTEST_SIGUPD(x31,x23,328); - -inst_21: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x23; dest1:x24; -LREG x23, 168(x1); -sha512sig1 x24, x23; -RVTEST_SIGUPD(x31,x23,336); -RVTEST_SIGUPD(x31,x24,344); - -inst_22: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x24; dest1:x25; -LREG x24, 176(x1); -sha512sig1 x25, x24; -RVTEST_SIGUPD(x31,x24,352); -RVTEST_SIGUPD(x31,x25,360); - -inst_23: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x25; dest1:x26; -LREG x25, 184(x1); -sha512sig1 x26, x25; -RVTEST_SIGUPD(x31,x25,368); -RVTEST_SIGUPD(x31,x26,376); - -inst_24: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x26; dest1:x27; -LREG x26, 192(x1); -sha512sig1 x27, x26; -RVTEST_SIGUPD(x31,x26,384); -RVTEST_SIGUPD(x31,x27,392); - -inst_25: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x27; dest1:x28; -LREG x27, 200(x1); -sha512sig1 x28, x27; -RVTEST_SIGUPD(x31,x27,400); -RVTEST_SIGUPD(x31,x28,408); - -inst_26: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x28; dest1:x29; -LREG x28, 208(x1); -sha512sig1 x29, x28; -RVTEST_SIGUPD(x31,x28,416); -RVTEST_SIGUPD(x31,x29,424); - -inst_27: -// Checking load-to-use hazard! -// opcode: sha512sig1; op1:x29; dest1:x30; -LREG x29, 216(x1); -sha512sig1 x30, x29; -RVTEST_SIGUPD(x31,x29,432); -RVTEST_SIGUPD(x31,x30,440); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.dword 0x08577eb1924770d3 -.dword 0x93fdcab87b89296c -.dword 0xd2d6b8777dc59a3a -.dword 0xcf84b683a749f9c5 -.dword 0x854a965708ceac39 -.dword 0x137a977753e8eb43 -.dword 0x5c74e45eff1e5bef -.dword 0xdc3383836b9f15c4 -.dword 0x5ae6a2289a6ab329 -.dword 0x432779eeacca7f0d -.dword 0xaf949e5e2cb7362c -.dword 0x5cd2875ea96ec2b3 -.dword 0x9d02fc90708cc1b6 -.dword 0x953b00b00b54aa22 -.dword 0x224c06013c53d0e3 -.dword 0xe8dac663f0e58650 -.dword 0x3d7c95f9e5f0307e -.dword 0x8c8a18b2aaac3142 -.dword 0x785036de6f9fb997 -.dword 0x95a4d257a7298c66 -.dword 0x807da245d814d575 -.dword 0x3d06143769b1dcbf -.dword 0x7f21682208208d09 -.dword 0x14b91c79dae98554 -.dword 0xc5ec6148c6880007 -.dword 0x7213516d6a013380 -.dword 0x4652f62dae4839a1 -.dword 0x85986adb9e044706 -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 56*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-01.S deleted file mode 100644 index fe3419c44..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-01.S +++ /dev/null @@ -1,1282 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sha512sum0 instruction of the RISC-V extension for the sha512sum0 covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",sha512sum0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha512sum0) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKnh.*);def TEST_CASE_1=True;",sha512sum0) - -RVTEST_SIGBASE( x9,signature_x9_1) - -inst_0: -// rs1 != rd, rs1==x16, rd==x11, rs1_val == 0x75a3adb3254a9493 -// opcode: sha512sum0 ; op1:x16; dest:x11; op1val:0x75a3adb3254a9493; -li x16, 0x75a3adb3254a9493 -sha512sum0 x11, x16 -sw x11, 0(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x11, 0x0000000000000000) - -inst_1: -// rs1 == rd, rs1==x10, rd==x10, rs1_val == 9223372036854775807, -// opcode: sha512sum0 ; op1:x10; dest:x10; op1val:0x7fffffffffffffff; -li x10, 0x7fffffffffffffff -sha512sum0 x10, x10 -sw x10, 8(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x10, 0x0000000000000000) - -inst_2: -// rs1==x11, rd==x18, rs1_val == 13835058055282163711, -// opcode: sha512sum0 ; op1:x11; dest:x18; op1val:0xbfffffffffffffff; -li x11, 0xbfffffffffffffff -sha512sum0 x18, x11 -sw x18, 16(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x18, 0x0000000000000000) - -inst_3: -// rs1==x13, rd==x19, rs1_val == 16140901064495857663, -// opcode: sha512sum0 ; op1:x13; dest:x19; op1val:0xdfffffffffffffff; -li x13, 0xdfffffffffffffff -sha512sum0 x19, x13 -sw x19, 24(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x19, 0x0000000000000000) - -inst_4: -// rs1==x17, rd==x21, rs1_val == 17293822569102704639, -// opcode: sha512sum0 ; op1:x17; dest:x21; op1val:0xefffffffffffffff; -li x17, 0xefffffffffffffff -sha512sum0 x21, x17 -sw x21, 32(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x21, 0x0000000000000000) - -inst_5: -// rs1==x14, rd==x31, rs1_val == 17870283321406128127, -// opcode: sha512sum0 ; op1:x14; dest:x31; op1val:0xf7ffffffffffffff; -li x14, 0xf7ffffffffffffff -sha512sum0 x31, x14 -sw x31, 40(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x31, 0x0000000000000000) - -inst_6: -// rs1==x0, rd==x3, rs1_val == 18158513697557839871, -// opcode: sha512sum0 ; op1:x0; dest:x3; op1val:0x0; -li x0, 0x0 -sha512sum0 x3, x0 -sw x3, 48(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x3, 0x0000000000000000) - -inst_7: -// rs1==x28, rd==x20, rs1_val == 18302628885633695743, -// opcode: sha512sum0 ; op1:x28; dest:x20; op1val:0xfdffffffffffffff; -li x28, 0xfdffffffffffffff -sha512sum0 x20, x28 -sw x20, 56(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x20, 0x0000000000000000) - -inst_8: -// rs1==x31, rd==x0, rs1_val == 18374686479671623679, -// opcode: sha512sum0 ; op1:x31; dest:x0; op1val:0xfeffffffffffffff; -li x31, 0xfeffffffffffffff -sha512sum0 x0, x31 -sw x0, 64(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x0, 0x0000000000000000) - -inst_9: -// rs1==x4, rd==x26, rs1_val == 18410715276690587647, -// opcode: sha512sum0 ; op1:x4; dest:x26; op1val:0xff7fffffffffffff; -li x4, 0xff7fffffffffffff -sha512sum0 x26, x4 -sw x26, 72(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x26, 0x0000000000000000) - -inst_10: -// rs1==x2, rd==x16, rs1_val == 18428729675200069631, -// opcode: sha512sum0 ; op1:x2; dest:x16; op1val:0xffbfffffffffffff; -li x2, 0xffbfffffffffffff -sha512sum0 x16, x2 -sw x16, 80(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x16, 0x0000000000000000) - -inst_11: -// rs1==x1, rd==x8, rs1_val == 18437736874454810623, -// opcode: sha512sum0 ; op1:x1; dest:x8; op1val:0xffdfffffffffffff; -li x1, 0xffdfffffffffffff -sha512sum0 x8, x1 -sw x8, 88(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x8, 0x0000000000000000) - -inst_12: -// rs1==x21, rd==x5, rs1_val == 18442240474082181119, -// opcode: sha512sum0 ; op1:x21; dest:x5; op1val:0xffefffffffffffff; -li x21, 0xffefffffffffffff -sha512sum0 x5, x21 -sw x5, 96(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x5, 0x0000000000000000) - -inst_13: -// rs1==x25, rd==x23, rs1_val == 18444492273895866367, -// opcode: sha512sum0 ; op1:x25; dest:x23; op1val:0xfff7ffffffffffff; -li x25, 0xfff7ffffffffffff -sha512sum0 x23, x25 -sw x23, 104(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x23, 0x0000000000000000) - -inst_14: -// rs1==x27, rd==x12, rs1_val == 18445618173802708991, -// opcode: sha512sum0 ; op1:x27; dest:x12; op1val:0xfffbffffffffffff; -li x27, 0xfffbffffffffffff -sha512sum0 x12, x27 -sw x12, 112(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x12, 0x0000000000000000) - -inst_15: -// rs1==x20, rd==x7, rs1_val == 18446181123756130303, -// opcode: sha512sum0 ; op1:x20; dest:x7; op1val:0xfffdffffffffffff; -li x20, 0xfffdffffffffffff -sha512sum0 x7, x20 -sw x7, 120(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x7, 0x0000000000000000) - -inst_16: -// rs1==x18, rd==x6, rs1_val == 18446462598732840959, -// opcode: sha512sum0 ; op1:x18; dest:x6; op1val:0xfffeffffffffffff; -li x18, 0xfffeffffffffffff -sha512sum0 x6, x18 -sw x6, 128(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x6, 0x0000000000000000) - -inst_17: -// rs1==x24, rd==x29, rs1_val == 18446603336221196287, -// opcode: sha512sum0 ; op1:x24; dest:x29; op1val:0xffff7fffffffffff; -li x24, 0xffff7fffffffffff -sha512sum0 x29, x24 -sw x29, 136(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x15, x29, 0x0000000000000000) - -inst_18: -// rs1==x22, rd==x25, rs1_val == 18446673704965373951, -// opcode: sha512sum0 ; op1:x22; dest:x25; op1val:0xffffbfffffffffff; -li x22, 0xffffbfffffffffff -sha512sum0 x25, x22 -sw x25, 144(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x25, 0x0000000000000000) -RVTEST_SIGBASE( x16,signature_x16_0) - -inst_19: -// rs1==x7, rd==x28, rs1_val == 18446708889337462783, -// opcode: sha512sum0 ; op1:x7; dest:x28; op1val:0xffffdfffffffffff; -li x7, 0xffffdfffffffffff -sha512sum0 x28, x7 -sw x28, 0(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x28, 0x0000000000000000) - -inst_20: -// rs1==x8, rd==x9, rs1_val == 18446726481523507199, -// opcode: sha512sum0 ; op1:x8; dest:x9; op1val:0xffffefffffffffff; -li x8, 0xffffefffffffffff -sha512sum0 x9, x8 -sw x9, 8(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x9, 0x0000000000000000) - -inst_21: -// rs1==x26, rd==x22, rs1_val == 18446735277616529407, -// opcode: sha512sum0 ; op1:x26; dest:x22; op1val:0xfffff7ffffffffff; -li x26, 0xfffff7ffffffffff -sha512sum0 x22, x26 -sw x22, 16(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x22, 0x0000000000000000) - -inst_22: -// rs1==x12, rd==x14, rs1_val == 18446739675663040511, -// opcode: sha512sum0 ; op1:x12; dest:x14; op1val:0xfffffbffffffffff; -li x12, 0xfffffbffffffffff -sha512sum0 x14, x12 -sw x14, 24(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x14, 0x0000000000000000) - -inst_23: -// rs1==x3, rd==x30, rs1_val == 18446741874686296063, -// opcode: sha512sum0 ; op1:x3; dest:x30; op1val:0xfffffdffffffffff; -li x3, 0xfffffdffffffffff -sha512sum0 x30, x3 -sw x30, 32(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x30, 0x0000000000000000) - -inst_24: -// rs1==x9, rd==x4, rs1_val == 18446742974197923839, -// opcode: sha512sum0 ; op1:x9; dest:x4; op1val:0xfffffeffffffffff; -li x9, 0xfffffeffffffffff -sha512sum0 x4, x9 -sw x4, 40(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x4, 0x0000000000000000) - -inst_25: -// rs1==x6, rd==x2, rs1_val == 18446743523953737727, -// opcode: sha512sum0 ; op1:x6; dest:x2; op1val:0xffffff7fffffffff; -li x6, 0xffffff7fffffffff -sha512sum0 x2, x6 -sw x2, 48(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x2, 0x0000000000000000) - -inst_26: -// rs1==x29, rd==x1, rs1_val == 18446743798831644671, -// opcode: sha512sum0 ; op1:x29; dest:x1; op1val:0xffffffbfffffffff; -li x29, 0xffffffbfffffffff -sha512sum0 x1, x29 -sw x1, 56(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x1, 0x0000000000000000) - -inst_27: -// rs1==x5, rd==x27, rs1_val == 18446743936270598143, -// opcode: sha512sum0 ; op1:x5; dest:x27; op1val:0xffffffdfffffffff; -li x5, 0xffffffdfffffffff -sha512sum0 x27, x5 -sw x27, 64(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x27, 0x0000000000000000) - -inst_28: -// rs1==x19, rd==x17, rs1_val == 18446744004990074879, -// opcode: sha512sum0 ; op1:x19; dest:x17; op1val:0xffffffefffffffff; -li x19, 0xffffffefffffffff -sha512sum0 x17, x19 -sw x17, 72(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x17, 0x0000000000000000) - -inst_29: -// rs1==x30, rd==x15, rs1_val == 18446744039349813247, -// opcode: sha512sum0 ; op1:x30; dest:x15; op1val:0xfffffff7ffffffff; -li x30, 0xfffffff7ffffffff -sha512sum0 x15, x30 -sw x15, 80(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x15, 0x0000000000000000) - -inst_30: -// rs1==x15, rd==x24, rs1_val == 18446744056529682431, -// opcode: sha512sum0 ; op1:x15; dest:x24; op1val:0xfffffffbffffffff; -li x15, 0xfffffffbffffffff -sha512sum0 x24, x15 -sw x24, 88(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x24, 0x0000000000000000) - -inst_31: -// rs1==x23, rd==x13, rs1_val == 18446744065119617023, -// opcode: sha512sum0 ; op1:x23; dest:x13; op1val:0xfffffffdffffffff; -li x23, 0xfffffffdffffffff -sha512sum0 x13, x23 -sw x13, 96(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x13, 0x0000000000000000) - -inst_32: -// rs1_val == 18446744069414584319, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffeffffffff; -li x10, 0xfffffffeffffffff -sha512sum0 x11, x10 -sw x11, 104(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_33: -// rs1_val == 18446744071562067967, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffff7fffffff; -li x10, 0xffffffff7fffffff -sha512sum0 x11, x10 -sw x11, 112(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_34: -// rs1_val == 18446744072635809791, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffbfffffff; -li x10, 0xffffffffbfffffff -sha512sum0 x11, x10 -sw x11, 120(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_35: -// rs1_val == 18446744073172680703, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffdfffffff; -li x10, 0xffffffffdfffffff -sha512sum0 x11, x10 -sw x11, 128(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_36: -// rs1_val == 18446744073441116159, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffefffffff; -li x10, 0xffffffffefffffff -sha512sum0 x11, x10 -sw x11, 136(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_37: -// rs1_val == 18446744073575333887, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffff7ffffff; -li x10, 0xfffffffff7ffffff -sha512sum0 x11, x10 -sw x11, 144(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_38: -// rs1_val == 18446744073642442751, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffbffffff; -li x10, 0xfffffffffbffffff -sha512sum0 x11, x10 -sw x11, 152(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_39: -// rs1_val == 18446744073675997183, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffdffffff; -li x10, 0xfffffffffdffffff -sha512sum0 x11, x10 -sw x11, 160(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_40: -// rs1_val == 18446744073692774399, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffeffffff; -li x10, 0xfffffffffeffffff -sha512sum0 x11, x10 -sw x11, 168(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_41: -// rs1_val == 18446744073701163007, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffff7fffff; -li x10, 0xffffffffff7fffff -sha512sum0 x11, x10 -sw x11, 176(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_42: -// rs1_val == 18446744073705357311, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffffbfffff; -li x10, 0xffffffffffbfffff -sha512sum0 x11, x10 -sw x11, 184(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_43: -// rs1_val == 18446744073707454463, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffffdfffff; -li x10, 0xffffffffffdfffff -sha512sum0 x11, x10 -sw x11, 192(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_44: -// rs1_val == 18446744073708503039, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffffefffff; -li x10, 0xffffffffffefffff -sha512sum0 x11, x10 -sw x11, 200(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_45: -// rs1_val == 18446744073709027327, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffff7ffff; -li x10, 0xfffffffffff7ffff -sha512sum0 x11, x10 -sw x11, 208(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_46: -// rs1_val == 18446744073709289471, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffbffff; -li x10, 0xfffffffffffbffff -sha512sum0 x11, x10 -sw x11, 216(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_47: -// rs1_val == 18446744073709420543, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffdffff; -li x10, 0xfffffffffffdffff -sha512sum0 x11, x10 -sw x11, 224(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_48: -// rs1_val == 18446744073709486079, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffeffff; -li x10, 0xfffffffffffeffff -sha512sum0 x11, x10 -sw x11, 232(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_49: -// rs1_val == 18446744073709518847, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffffff7fff; -li x10, 0xffffffffffff7fff -sha512sum0 x11, x10 -sw x11, 240(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_50: -// rs1_val == 18446744073709535231, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffffffbfff; -li x10, 0xffffffffffffbfff -sha512sum0 x11, x10 -sw x11, 248(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_51: -// rs1_val == 18446744073709543423, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffffffdfff; -li x10, 0xffffffffffffdfff -sha512sum0 x11, x10 -sw x11, 256(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_52: -// rs1_val == 18446744073709547519, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffffffefff; -li x10, 0xffffffffffffefff -sha512sum0 x11, x10 -sw x11, 264(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_53: -// rs1_val == 18446744073709549567, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffff7ff; -li x10, 0xfffffffffffff7ff -sha512sum0 x11, x10 -sw x11, 272(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_54: -// rs1_val == 18446744073709550591, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffffbff; -li x10, 0xfffffffffffffbff -sha512sum0 x11, x10 -sw x11, 280(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_55: -// rs1_val == 18446744073709551103, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffffdff; -li x10, 0xfffffffffffffdff -sha512sum0 x11, x10 -sw x11, 288(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_56: -// rs1_val == 18446744073709551359, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffffeff; -li x10, 0xfffffffffffffeff -sha512sum0 x11, x10 -sw x11, 296(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_57: -// rs1_val == 18446744073709551487, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffffffff7f; -li x10, 0xffffffffffffff7f -sha512sum0 x11, x10 -sw x11, 304(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_58: -// rs1_val == 18446744073709551551, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffffffffbf; -li x10, 0xffffffffffffffbf -sha512sum0 x11, x10 -sw x11, 312(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_59: -// rs1_val == 18446744073709551583, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffffffffdf; -li x10, 0xffffffffffffffdf -sha512sum0 x11, x10 -sw x11, 320(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_60: -// rs1_val == 18446744073709551599, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xffffffffffffffef; -li x10, 0xffffffffffffffef -sha512sum0 x11, x10 -sw x11, 328(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_61: -// rs1_val == 18446744073709551607, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffffff7; -li x10, 0xfffffffffffffff7 -sha512sum0 x11, x10 -sw x11, 336(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_62: -// rs1_val == 18446744073709551611, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffb; -li x10, 0xfffffffffffffffb -sha512sum0 x11, x10 -sw x11, 344(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_63: -// rs1_val == 18446744073709551613, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; -li x10, 0xfffffffffffffffd -sha512sum0 x11, x10 -sw x11, 352(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_64: -// rs1_val == 18446744073709551614, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; -li x10, 0xfffffffffffffffe -sha512sum0 x11, x10 -sw x11, 360(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_65: -// rs1_val == 9223372036854775808, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x8000000000000000; -li x10, 0x8000000000000000 -sha512sum0 x11, x10 -sw x11, 368(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_66: -// rs1_val == 4611686018427387904, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x4000000000000000; -li x10, 0x4000000000000000 -sha512sum0 x11, x10 -sw x11, 376(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_67: -// rs1_val == 2305843009213693952, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x2000000000000000; -li x10, 0x2000000000000000 -sha512sum0 x11, x10 -sw x11, 384(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_68: -// rs1_val == 1152921504606846976, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x1000000000000000; -li x10, 0x1000000000000000 -sha512sum0 x11, x10 -sw x11, 392(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_69: -// rs1_val == 576460752303423488, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x800000000000000; -li x10, 0x800000000000000 -sha512sum0 x11, x10 -sw x11, 400(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_70: -// rs1_val == 288230376151711744, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x400000000000000; -li x10, 0x400000000000000 -sha512sum0 x11, x10 -sw x11, 408(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_71: -// rs1_val == 144115188075855872, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x200000000000000; -li x10, 0x200000000000000 -sha512sum0 x11, x10 -sw x11, 416(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_72: -// rs1_val == 72057594037927936, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x100000000000000; -li x10, 0x100000000000000 -sha512sum0 x11, x10 -sw x11, 424(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_73: -// rs1_val == 36028797018963968, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x80000000000000; -li x10, 0x80000000000000 -sha512sum0 x11, x10 -sw x11, 432(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_74: -// rs1_val == 18014398509481984, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x40000000000000; -li x10, 0x40000000000000 -sha512sum0 x11, x10 -sw x11, 440(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_75: -// rs1_val == 9007199254740992, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x20000000000000; -li x10, 0x20000000000000 -sha512sum0 x11, x10 -sw x11, 448(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_76: -// rs1_val == 4503599627370496, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x10000000000000; -li x10, 0x10000000000000 -sha512sum0 x11, x10 -sw x11, 456(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_77: -// rs1_val == 2251799813685248, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x8000000000000; -li x10, 0x8000000000000 -sha512sum0 x11, x10 -sw x11, 464(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_78: -// rs1_val == 1125899906842624, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x4000000000000; -li x10, 0x4000000000000 -sha512sum0 x11, x10 -sw x11, 472(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_79: -// rs1_val == 562949953421312, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x2000000000000; -li x10, 0x2000000000000 -sha512sum0 x11, x10 -sw x11, 480(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_80: -// rs1_val == 281474976710656, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x1000000000000; -li x10, 0x1000000000000 -sha512sum0 x11, x10 -sw x11, 488(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_81: -// rs1_val == 140737488355328, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x800000000000; -li x10, 0x800000000000 -sha512sum0 x11, x10 -sw x11, 496(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_82: -// rs1_val == 70368744177664, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x400000000000; -li x10, 0x400000000000 -sha512sum0 x11, x10 -sw x11, 504(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_83: -// rs1_val == 35184372088832, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x200000000000; -li x10, 0x200000000000 -sha512sum0 x11, x10 -sw x11, 512(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_84: -// rs1_val == 17592186044416, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x100000000000; -li x10, 0x100000000000 -sha512sum0 x11, x10 -sw x11, 520(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_85: -// rs1_val == 1, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x1; -li x10, 0x1 -sha512sum0 x11, x10 -sw x11, 528(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_86: -// rs1_val == 0xb6f9706fb4f741aa -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xb6f9706fb4f741aa; -li x10, 0xb6f9706fb4f741aa -sha512sum0 x11, x10 -sw x11, 536(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_87: -// rs1_val == 0x40a5ff526f38a9c7 -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x40a5ff526f38a9c7; -li x10, 0x40a5ff526f38a9c7 -sha512sum0 x11, x10 -sw x11, 544(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_88: -// rs1_val == 0xd05668ae0fdb82bc -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xd05668ae0fdb82bc; -li x10, 0xd05668ae0fdb82bc -sha512sum0 x11, x10 -sw x11, 552(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_89: -// rs1_val == 0x9bedfe390d6ddd9d -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x9bedfe390d6ddd9d; -li x10, 0x9bedfe390d6ddd9d -sha512sum0 x11, x10 -sw x11, 560(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_90: -// rs1_val == 0xaa6bb2bde9ed477d -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xaa6bb2bde9ed477d; -li x10, 0xaa6bb2bde9ed477d -sha512sum0 x11, x10 -sw x11, 568(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_91: -// rs1_val == 0xd75739f82ac177c6 -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xd75739f82ac177c6; -li x10, 0xd75739f82ac177c6 -sha512sum0 x11, x10 -sw x11, 576(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_92: -// rs1_val == 0x299c3bcf90efb625 -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x299c3bcf90efb625; -li x10, 0x299c3bcf90efb625 -sha512sum0 x11, x10 -sw x11, 584(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_93: -// rs1_val == 0x9a4e9ef10171f4df -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x9a4e9ef10171f4df; -li x10, 0x9a4e9ef10171f4df -sha512sum0 x11, x10 -sw x11, 592(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_94: -// rs1_val == 0x1fc493caa371db42 -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x1fc493caa371db42; -li x10, 0x1fc493caa371db42 -sha512sum0 x11, x10 -sw x11, 600(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_95: -// rs1_val == 0xd169a3f8cad5e297 -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xd169a3f8cad5e297; -li x10, 0xd169a3f8cad5e297 -sha512sum0 x11, x10 -sw x11, 608(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_96: -// rs1_val == 0xf4c30307672f666d -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xf4c30307672f666d; -li x10, 0xf4c30307672f666d -sha512sum0 x11, x10 -sw x11, 616(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_97: -// rs1_val == 0xd5b9fe5cf69bdcf3 -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xd5b9fe5cf69bdcf3; -li x10, 0xd5b9fe5cf69bdcf3 -sha512sum0 x11, x10 -sw x11, 624(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_98: -// rs1_val == 0xa0569d765ebc64cb -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xa0569d765ebc64cb; -li x10, 0xa0569d765ebc64cb -sha512sum0 x11, x10 -sw x11, 632(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_99: -// rs1_val == 0xe4921bf73047c198 -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xe4921bf73047c198; -li x10, 0xe4921bf73047c198 -sha512sum0 x11, x10 -sw x11, 640(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_100: -// rs1_val == 0x2daf9ac7f5faf207 -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x2daf9ac7f5faf207; -li x10, 0x2daf9ac7f5faf207 -sha512sum0 x11, x10 -sw x11, 648(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_101: -// rs1_val == 0xfcc1b543c49cd65b -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfcc1b543c49cd65b; -li x10, 0xfcc1b543c49cd65b -sha512sum0 x11, x10 -sw x11, 656(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_102: -// rs1_val == 0x3459294ef273b44c -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x3459294ef273b44c; -li x10, 0x3459294ef273b44c -sha512sum0 x11, x10 -sw x11, 664(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_103: -// rs1_val == 0x436f40f274b8de87 -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x436f40f274b8de87; -li x10, 0x436f40f274b8de87 -sha512sum0 x11, x10 -sw x11, 672(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_104: -// rs1_val == 0xc5521660f3a3c571 -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xc5521660f3a3c571; -li x10, 0xc5521660f3a3c571 -sha512sum0 x11, x10 -sw x11, 680(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_105: -// rs1_val == 8796093022208, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x80000000000; -li x10, 0x80000000000 -sha512sum0 x11, x10 -sw x11, 688(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_106: -// rs1_val == 4398046511104, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x40000000000; -li x10, 0x40000000000 -sha512sum0 x11, x10 -sw x11, 696(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_107: -// rs1_val == 2199023255552, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x20000000000; -li x10, 0x20000000000 -sha512sum0 x11, x10 -sw x11, 704(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_108: -// rs1_val == 1099511627776, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x10000000000; -li x10, 0x10000000000 -sha512sum0 x11, x10 -sw x11, 712(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_109: -// rs1_val == 549755813888, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x8000000000; -li x10, 0x8000000000 -sha512sum0 x11, x10 -sw x11, 720(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_110: -// rs1_val == 274877906944, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x4000000000; -li x10, 0x4000000000 -sha512sum0 x11, x10 -sw x11, 728(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_111: -// rs1_val == 137438953472, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x2000000000; -li x10, 0x2000000000 -sha512sum0 x11, x10 -sw x11, 736(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_112: -// rs1_val == 68719476736, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x1000000000; -li x10, 0x1000000000 -sha512sum0 x11, x10 -sw x11, 744(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_113: -// rs1_val == 34359738368, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x800000000; -li x10, 0x800000000 -sha512sum0 x11, x10 -sw x11, 752(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_114: -// rs1_val == 17179869184, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x400000000; -li x10, 0x400000000 -sha512sum0 x11, x10 -sw x11, 760(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_115: -// rs1_val == 8589934592, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x200000000; -li x10, 0x200000000 -sha512sum0 x11, x10 -sw x11, 768(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_116: -// rs1_val == 4294967296, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x100000000; -li x10, 0x100000000 -sha512sum0 x11, x10 -sw x11, 776(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_117: -// rs1_val == 2147483648, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x80000000; -li x10, 0x80000000 -sha512sum0 x11, x10 -sw x11, 784(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_118: -// rs1_val == 1073741824, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x40000000; -li x10, 0x40000000 -sha512sum0 x11, x10 -sw x11, 792(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_119: -// rs1_val == 536870912, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x20000000; -li x10, 0x20000000 -sha512sum0 x11, x10 -sw x11, 800(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_120: -// rs1_val == 268435456, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x10000000; -li x10, 0x10000000 -sha512sum0 x11, x10 -sw x11, 808(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_121: -// rs1_val == 134217728, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x8000000; -li x10, 0x8000000 -sha512sum0 x11, x10 -sw x11, 816(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_122: -// rs1_val == 67108864, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x4000000; -li x10, 0x4000000 -sha512sum0 x11, x10 -sw x11, 824(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_123: -// rs1_val == 33554432, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x2000000; -li x10, 0x2000000 -sha512sum0 x11, x10 -sw x11, 832(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_124: -// rs1_val == 16777216, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x1000000; -li x10, 0x1000000 -sha512sum0 x11, x10 -sw x11, 840(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_125: -// rs1_val == 8388608, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x800000; -li x10, 0x800000 -sha512sum0 x11, x10 -sw x11, 848(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_126: -// rs1_val == 4194304, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x400000; -li x10, 0x400000 -sha512sum0 x11, x10 -sw x11, 856(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_127: -// rs1_val == 2097152, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x200000; -li x10, 0x200000 -sha512sum0 x11, x10 -sw x11, 864(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_128: -// rs1_val == 1048576, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x100000; -li x10, 0x100000 -sha512sum0 x11, x10 -sw x11, 872(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_129: -// rs1_val == 524288, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x80000; -li x10, 0x80000 -sha512sum0 x11, x10 -sw x11, 880(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_130: -// rs1_val == 262144, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x40000; -li x10, 0x40000 -sha512sum0 x11, x10 -sw x11, 888(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_131: -// rs1_val == 131072, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x20000; -li x10, 0x20000 -sha512sum0 x11, x10 -sw x11, 896(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_132: -// rs1_val == 65536, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x10000; -li x10, 0x10000 -sha512sum0 x11, x10 -sw x11, 904(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_133: -// rs1_val == 32768, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x8000; -li x10, 0x8000 -sha512sum0 x11, x10 -sw x11, 912(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_134: -// rs1_val == 16384, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x4000; -li x10, 0x4000 -sha512sum0 x11, x10 -sw x11, 920(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_135: -// rs1_val == 8192, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x2000; -li x10, 0x2000 -sha512sum0 x11, x10 -sw x11, 928(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_136: -// rs1_val == 4096, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x1000; -li x10, 0x1000 -sha512sum0 x11, x10 -sw x11, 936(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_137: -// rs1_val == 2048, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x800; -li x10, 0x800 -sha512sum0 x11, x10 -sw x11, 944(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_138: -// rs1_val == 1024, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x400; -li x10, 0x400 -sha512sum0 x11, x10 -sw x11, 952(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_139: -// rs1_val == 512, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x200; -li x10, 0x200 -sha512sum0 x11, x10 -sw x11, 960(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_140: -// rs1_val == 256, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x100; -li x10, 0x100 -sha512sum0 x11, x10 -sw x11, 968(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_141: -// rs1_val == 128, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x80; -li x10, 0x80 -sha512sum0 x11, x10 -sw x11, 976(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_142: -// rs1_val == 64, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x40; -li x10, 0x40 -sha512sum0 x11, x10 -sw x11, 984(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_143: -// rs1_val == 32, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x20; -li x10, 0x20 -sha512sum0 x11, x10 -sw x11, 992(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_144: -// rs1_val == 16, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x10; -li x10, 0x10 -sha512sum0 x11, x10 -sw x11, 1000(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_145: -// rs1_val == 8, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x8; -li x10, 0x8 -sha512sum0 x11, x10 -sw x11, 1008(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_146: -// rs1_val == 4, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x4; -li x10, 0x4 -sha512sum0 x11, x10 -sw x11, 1016(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_147: -// rs1_val == 2, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0x2; -li x10, 0x2 -sha512sum0 x11, x10 -sw x11, 1024(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_148: -// rs1_val == 18158513697557839871, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfbffffffffffffff; -li x10, 0xfbffffffffffffff -sha512sum0 x11, x10 -sw x11, 1032(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_149: -// rs1_val == 18374686479671623679, -// opcode: sha512sum0 ; op1:x10; dest:x11; op1val:0xfeffffffffffffff; -li x10, 0xfeffffffffffffff -sha512sum0 x11, x10 -sw x11, 1040(x16) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x9_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x9_1: - .fill 19*(XLEN/32),4,0xdeadbeef - - -signature_x16_0: - .fill 131*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp1.S deleted file mode 100644 index 36cc0c412..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp1.S +++ /dev/null @@ -1,972 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha512sum0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha512sum0) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x3, x1, x2; -sha512sum0 x1, x3; -xor x4, x1, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x1,8); -RVTEST_SIGUPD(x31,x4,16); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -not x3, x2; -sha512sum0 x1, x3; -not x4, x1; -RVTEST_SIGUPD(x31,x3,24); -RVTEST_SIGUPD(x31,x1,32); -RVTEST_SIGUPD(x31,x4,40); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -add x3, x1, x2; -sha512sum0 x1, x3; -add x4, x1, x2; -RVTEST_SIGUPD(x31,x3,48); -RVTEST_SIGUPD(x31,x1,56); -RVTEST_SIGUPD(x31,x4,64); - -inst_1: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x4, x2, x3; -sha512sum0 x2, x4; -xor x5, x2, x3; -RVTEST_SIGUPD(x31,x4,72); -RVTEST_SIGUPD(x31,x2,80); -RVTEST_SIGUPD(x31,x5,88); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -not x4, x3; -sha512sum0 x2, x4; -not x5, x2; -RVTEST_SIGUPD(x31,x4,96); -RVTEST_SIGUPD(x31,x2,104); -RVTEST_SIGUPD(x31,x5,112); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -add x4, x2, x3; -sha512sum0 x2, x4; -add x5, x2, x3; -RVTEST_SIGUPD(x31,x4,120); -RVTEST_SIGUPD(x31,x2,128); -RVTEST_SIGUPD(x31,x5,136); - -inst_2: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x5, x3, x4; -sha512sum0 x3, x5; -xor x6, x3, x4; -RVTEST_SIGUPD(x31,x5,144); -RVTEST_SIGUPD(x31,x3,152); -RVTEST_SIGUPD(x31,x6,160); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -not x5, x4; -sha512sum0 x3, x5; -not x6, x3; -RVTEST_SIGUPD(x31,x5,168); -RVTEST_SIGUPD(x31,x3,176); -RVTEST_SIGUPD(x31,x6,184); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -add x5, x3, x4; -sha512sum0 x3, x5; -add x6, x3, x4; -RVTEST_SIGUPD(x31,x5,192); -RVTEST_SIGUPD(x31,x3,200); -RVTEST_SIGUPD(x31,x6,208); - -inst_3: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x6, x4, x5; -sha512sum0 x4, x6; -xor x7, x4, x5; -RVTEST_SIGUPD(x31,x6,216); -RVTEST_SIGUPD(x31,x4,224); -RVTEST_SIGUPD(x31,x7,232); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -not x6, x5; -sha512sum0 x4, x6; -not x7, x4; -RVTEST_SIGUPD(x31,x6,240); -RVTEST_SIGUPD(x31,x4,248); -RVTEST_SIGUPD(x31,x7,256); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -add x6, x4, x5; -sha512sum0 x4, x6; -add x7, x4, x5; -RVTEST_SIGUPD(x31,x6,264); -RVTEST_SIGUPD(x31,x4,272); -RVTEST_SIGUPD(x31,x7,280); - -inst_4: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x7, x5, x6; -sha512sum0 x5, x7; -xor x8, x5, x6; -RVTEST_SIGUPD(x31,x7,288); -RVTEST_SIGUPD(x31,x5,296); -RVTEST_SIGUPD(x31,x8,304); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -not x7, x6; -sha512sum0 x5, x7; -not x8, x5; -RVTEST_SIGUPD(x31,x7,312); -RVTEST_SIGUPD(x31,x5,320); -RVTEST_SIGUPD(x31,x8,328); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -add x7, x5, x6; -sha512sum0 x5, x7; -add x8, x5, x6; -RVTEST_SIGUPD(x31,x7,336); -RVTEST_SIGUPD(x31,x5,344); -RVTEST_SIGUPD(x31,x8,352); - -inst_5: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x8, x6, x7; -sha512sum0 x6, x8; -xor x9, x6, x7; -RVTEST_SIGUPD(x31,x8,360); -RVTEST_SIGUPD(x31,x6,368); -RVTEST_SIGUPD(x31,x9,376); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -not x8, x7; -sha512sum0 x6, x8; -not x9, x6; -RVTEST_SIGUPD(x31,x8,384); -RVTEST_SIGUPD(x31,x6,392); -RVTEST_SIGUPD(x31,x9,400); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -add x8, x6, x7; -sha512sum0 x6, x8; -add x9, x6, x7; -RVTEST_SIGUPD(x31,x8,408); -RVTEST_SIGUPD(x31,x6,416); -RVTEST_SIGUPD(x31,x9,424); - -inst_6: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x9, x7, x8; -sha512sum0 x7, x9; -xor x10, x7, x8; -RVTEST_SIGUPD(x31,x9,432); -RVTEST_SIGUPD(x31,x7,440); -RVTEST_SIGUPD(x31,x10,448); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -not x9, x8; -sha512sum0 x7, x9; -not x10, x7; -RVTEST_SIGUPD(x31,x9,456); -RVTEST_SIGUPD(x31,x7,464); -RVTEST_SIGUPD(x31,x10,472); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -add x9, x7, x8; -sha512sum0 x7, x9; -add x10, x7, x8; -RVTEST_SIGUPD(x31,x9,480); -RVTEST_SIGUPD(x31,x7,488); -RVTEST_SIGUPD(x31,x10,496); - -inst_7: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x10, x8, x9; -sha512sum0 x8, x10; -xor x11, x8, x9; -RVTEST_SIGUPD(x31,x10,504); -RVTEST_SIGUPD(x31,x8,512); -RVTEST_SIGUPD(x31,x11,520); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -not x10, x9; -sha512sum0 x8, x10; -not x11, x8; -RVTEST_SIGUPD(x31,x10,528); -RVTEST_SIGUPD(x31,x8,536); -RVTEST_SIGUPD(x31,x11,544); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -add x10, x8, x9; -sha512sum0 x8, x10; -add x11, x8, x9; -RVTEST_SIGUPD(x31,x10,552); -RVTEST_SIGUPD(x31,x8,560); -RVTEST_SIGUPD(x31,x11,568); - -inst_8: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x11, x9, x10; -sha512sum0 x9, x11; -xor x12, x9, x10; -RVTEST_SIGUPD(x31,x11,576); -RVTEST_SIGUPD(x31,x9,584); -RVTEST_SIGUPD(x31,x12,592); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -not x11, x10; -sha512sum0 x9, x11; -not x12, x9; -RVTEST_SIGUPD(x31,x11,600); -RVTEST_SIGUPD(x31,x9,608); -RVTEST_SIGUPD(x31,x12,616); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -add x11, x9, x10; -sha512sum0 x9, x11; -add x12, x9, x10; -RVTEST_SIGUPD(x31,x11,624); -RVTEST_SIGUPD(x31,x9,632); -RVTEST_SIGUPD(x31,x12,640); - -inst_9: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x12, x10, x11; -sha512sum0 x10, x12; -xor x13, x10, x11; -RVTEST_SIGUPD(x31,x12,648); -RVTEST_SIGUPD(x31,x10,656); -RVTEST_SIGUPD(x31,x13,664); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -not x12, x11; -sha512sum0 x10, x12; -not x13, x10; -RVTEST_SIGUPD(x31,x12,672); -RVTEST_SIGUPD(x31,x10,680); -RVTEST_SIGUPD(x31,x13,688); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -add x12, x10, x11; -sha512sum0 x10, x12; -add x13, x10, x11; -RVTEST_SIGUPD(x31,x12,696); -RVTEST_SIGUPD(x31,x10,704); -RVTEST_SIGUPD(x31,x13,712); - -inst_10: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x13, x11, x12; -sha512sum0 x11, x13; -xor x14, x11, x12; -RVTEST_SIGUPD(x31,x13,720); -RVTEST_SIGUPD(x31,x11,728); -RVTEST_SIGUPD(x31,x14,736); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -not x13, x12; -sha512sum0 x11, x13; -not x14, x11; -RVTEST_SIGUPD(x31,x13,744); -RVTEST_SIGUPD(x31,x11,752); -RVTEST_SIGUPD(x31,x14,760); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -add x13, x11, x12; -sha512sum0 x11, x13; -add x14, x11, x12; -RVTEST_SIGUPD(x31,x13,768); -RVTEST_SIGUPD(x31,x11,776); -RVTEST_SIGUPD(x31,x14,784); - -inst_11: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x14, x12, x13; -sha512sum0 x12, x14; -xor x15, x12, x13; -RVTEST_SIGUPD(x31,x14,792); -RVTEST_SIGUPD(x31,x12,800); -RVTEST_SIGUPD(x31,x15,808); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -not x14, x13; -sha512sum0 x12, x14; -not x15, x12; -RVTEST_SIGUPD(x31,x14,816); -RVTEST_SIGUPD(x31,x12,824); -RVTEST_SIGUPD(x31,x15,832); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -add x14, x12, x13; -sha512sum0 x12, x14; -add x15, x12, x13; -RVTEST_SIGUPD(x31,x14,840); -RVTEST_SIGUPD(x31,x12,848); -RVTEST_SIGUPD(x31,x15,856); - -inst_12: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x15, x13, x14; -sha512sum0 x13, x15; -xor x16, x13, x14; -RVTEST_SIGUPD(x31,x15,864); -RVTEST_SIGUPD(x31,x13,872); -RVTEST_SIGUPD(x31,x16,880); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -not x15, x14; -sha512sum0 x13, x15; -not x16, x13; -RVTEST_SIGUPD(x31,x15,888); -RVTEST_SIGUPD(x31,x13,896); -RVTEST_SIGUPD(x31,x16,904); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -add x15, x13, x14; -sha512sum0 x13, x15; -add x16, x13, x14; -RVTEST_SIGUPD(x31,x15,912); -RVTEST_SIGUPD(x31,x13,920); -RVTEST_SIGUPD(x31,x16,928); - -inst_13: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x16, x14, x15; -sha512sum0 x14, x16; -xor x17, x14, x15; -RVTEST_SIGUPD(x31,x16,936); -RVTEST_SIGUPD(x31,x14,944); -RVTEST_SIGUPD(x31,x17,952); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -not x16, x15; -sha512sum0 x14, x16; -not x17, x14; -RVTEST_SIGUPD(x31,x16,960); -RVTEST_SIGUPD(x31,x14,968); -RVTEST_SIGUPD(x31,x17,976); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -add x16, x14, x15; -sha512sum0 x14, x16; -add x17, x14, x15; -RVTEST_SIGUPD(x31,x16,984); -RVTEST_SIGUPD(x31,x14,992); -RVTEST_SIGUPD(x31,x17,1000); - -inst_14: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x17, x15, x16; -sha512sum0 x15, x17; -xor x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1008); -RVTEST_SIGUPD(x31,x15,1016); -RVTEST_SIGUPD(x31,x18,1024); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -not x17, x16; -sha512sum0 x15, x17; -not x18, x15; -RVTEST_SIGUPD(x31,x17,1032); -RVTEST_SIGUPD(x31,x15,1040); -RVTEST_SIGUPD(x31,x18,1048); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -add x17, x15, x16; -sha512sum0 x15, x17; -add x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1056); -RVTEST_SIGUPD(x31,x15,1064); -RVTEST_SIGUPD(x31,x18,1072); - -inst_15: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x18, x16, x17; -sha512sum0 x16, x18; -xor x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1080); -RVTEST_SIGUPD(x31,x16,1088); -RVTEST_SIGUPD(x31,x19,1096); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -not x18, x17; -sha512sum0 x16, x18; -not x19, x16; -RVTEST_SIGUPD(x31,x18,1104); -RVTEST_SIGUPD(x31,x16,1112); -RVTEST_SIGUPD(x31,x19,1120); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -add x18, x16, x17; -sha512sum0 x16, x18; -add x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1128); -RVTEST_SIGUPD(x31,x16,1136); -RVTEST_SIGUPD(x31,x19,1144); - -inst_16: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x19, x17, x18; -sha512sum0 x17, x19; -xor x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1152); -RVTEST_SIGUPD(x31,x17,1160); -RVTEST_SIGUPD(x31,x20,1168); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -not x19, x18; -sha512sum0 x17, x19; -not x20, x17; -RVTEST_SIGUPD(x31,x19,1176); -RVTEST_SIGUPD(x31,x17,1184); -RVTEST_SIGUPD(x31,x20,1192); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -add x19, x17, x18; -sha512sum0 x17, x19; -add x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1200); -RVTEST_SIGUPD(x31,x17,1208); -RVTEST_SIGUPD(x31,x20,1216); - -inst_17: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x20, x18, x19; -sha512sum0 x18, x20; -xor x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1224); -RVTEST_SIGUPD(x31,x18,1232); -RVTEST_SIGUPD(x31,x21,1240); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -not x20, x19; -sha512sum0 x18, x20; -not x21, x18; -RVTEST_SIGUPD(x31,x20,1248); -RVTEST_SIGUPD(x31,x18,1256); -RVTEST_SIGUPD(x31,x21,1264); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -add x20, x18, x19; -sha512sum0 x18, x20; -add x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1272); -RVTEST_SIGUPD(x31,x18,1280); -RVTEST_SIGUPD(x31,x21,1288); - -inst_18: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x21, x19, x20; -sha512sum0 x19, x21; -xor x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1296); -RVTEST_SIGUPD(x31,x19,1304); -RVTEST_SIGUPD(x31,x22,1312); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -not x21, x20; -sha512sum0 x19, x21; -not x22, x19; -RVTEST_SIGUPD(x31,x21,1320); -RVTEST_SIGUPD(x31,x19,1328); -RVTEST_SIGUPD(x31,x22,1336); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -add x21, x19, x20; -sha512sum0 x19, x21; -add x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1344); -RVTEST_SIGUPD(x31,x19,1352); -RVTEST_SIGUPD(x31,x22,1360); - -inst_19: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x22, x20, x21; -sha512sum0 x20, x22; -xor x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1368); -RVTEST_SIGUPD(x31,x20,1376); -RVTEST_SIGUPD(x31,x23,1384); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -not x22, x21; -sha512sum0 x20, x22; -not x23, x20; -RVTEST_SIGUPD(x31,x22,1392); -RVTEST_SIGUPD(x31,x20,1400); -RVTEST_SIGUPD(x31,x23,1408); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -add x22, x20, x21; -sha512sum0 x20, x22; -add x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1416); -RVTEST_SIGUPD(x31,x20,1424); -RVTEST_SIGUPD(x31,x23,1432); - -inst_20: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x23, x21, x22; -sha512sum0 x21, x23; -xor x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1440); -RVTEST_SIGUPD(x31,x21,1448); -RVTEST_SIGUPD(x31,x24,1456); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -not x23, x22; -sha512sum0 x21, x23; -not x24, x21; -RVTEST_SIGUPD(x31,x23,1464); -RVTEST_SIGUPD(x31,x21,1472); -RVTEST_SIGUPD(x31,x24,1480); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -add x23, x21, x22; -sha512sum0 x21, x23; -add x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1488); -RVTEST_SIGUPD(x31,x21,1496); -RVTEST_SIGUPD(x31,x24,1504); - -inst_21: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x24, x22, x23; -sha512sum0 x22, x24; -xor x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1512); -RVTEST_SIGUPD(x31,x22,1520); -RVTEST_SIGUPD(x31,x25,1528); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -not x24, x23; -sha512sum0 x22, x24; -not x25, x22; -RVTEST_SIGUPD(x31,x24,1536); -RVTEST_SIGUPD(x31,x22,1544); -RVTEST_SIGUPD(x31,x25,1552); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -add x24, x22, x23; -sha512sum0 x22, x24; -add x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1560); -RVTEST_SIGUPD(x31,x22,1568); -RVTEST_SIGUPD(x31,x25,1576); - -inst_22: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x25, x23, x24; -sha512sum0 x23, x25; -xor x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1584); -RVTEST_SIGUPD(x31,x23,1592); -RVTEST_SIGUPD(x31,x26,1600); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -not x25, x24; -sha512sum0 x23, x25; -not x26, x23; -RVTEST_SIGUPD(x31,x25,1608); -RVTEST_SIGUPD(x31,x23,1616); -RVTEST_SIGUPD(x31,x26,1624); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -add x25, x23, x24; -sha512sum0 x23, x25; -add x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1632); -RVTEST_SIGUPD(x31,x23,1640); -RVTEST_SIGUPD(x31,x26,1648); - -inst_23: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x26, x24, x25; -sha512sum0 x24, x26; -xor x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1656); -RVTEST_SIGUPD(x31,x24,1664); -RVTEST_SIGUPD(x31,x27,1672); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -not x26, x25; -sha512sum0 x24, x26; -not x27, x24; -RVTEST_SIGUPD(x31,x26,1680); -RVTEST_SIGUPD(x31,x24,1688); -RVTEST_SIGUPD(x31,x27,1696); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -add x26, x24, x25; -sha512sum0 x24, x26; -add x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1704); -RVTEST_SIGUPD(x31,x24,1712); -RVTEST_SIGUPD(x31,x27,1720); - -inst_24: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x27, x25, x26; -sha512sum0 x25, x27; -xor x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1728); -RVTEST_SIGUPD(x31,x25,1736); -RVTEST_SIGUPD(x31,x28,1744); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -not x27, x26; -sha512sum0 x25, x27; -not x28, x25; -RVTEST_SIGUPD(x31,x27,1752); -RVTEST_SIGUPD(x31,x25,1760); -RVTEST_SIGUPD(x31,x28,1768); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -add x27, x25, x26; -sha512sum0 x25, x27; -add x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1776); -RVTEST_SIGUPD(x31,x25,1784); -RVTEST_SIGUPD(x31,x28,1792); - -inst_25: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x28, x26, x27; -sha512sum0 x26, x28; -xor x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1800); -RVTEST_SIGUPD(x31,x26,1808); -RVTEST_SIGUPD(x31,x29,1816); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -not x28, x27; -sha512sum0 x26, x28; -not x29, x26; -RVTEST_SIGUPD(x31,x28,1824); -RVTEST_SIGUPD(x31,x26,1832); -RVTEST_SIGUPD(x31,x29,1840); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -add x28, x26, x27; -sha512sum0 x26, x28; -add x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1848); -RVTEST_SIGUPD(x31,x26,1856); -RVTEST_SIGUPD(x31,x29,1864); - -inst_26: -// Forwarded xor into sha512sum0 & the result back into xor -// opcode: sha512sum0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -xor x29, x27, x28; -sha512sum0 x27, x29; -xor x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1872); -RVTEST_SIGUPD(x31,x27,1880); -RVTEST_SIGUPD(x31,x30,1888); - -// Forwarded not into sha512sum0 & the result back into not -// opcode: sha512sum0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -not x29, x28; -sha512sum0 x27, x29; -not x30, x27; -RVTEST_SIGUPD(x31,x29,1896); -RVTEST_SIGUPD(x31,x27,1904); -RVTEST_SIGUPD(x31,x30,1912); - -// Forwarded add into sha512sum0 & the result back into add -// opcode: sha512sum0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -add x29, x27, x28; -sha512sum0 x27, x29; -add x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1920); -RVTEST_SIGUPD(x31,x27,1928); -RVTEST_SIGUPD(x31,x30,1936); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 243*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp2.S deleted file mode 100644 index 82a40511c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp2.S +++ /dev/null @@ -1,307 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha512sum0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha512sum0) - -RVTEST_SIGBASE( x31,signature_x31_1) - -la x1, rvtest_data - -inst_0: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x2; dest1:x3; -LREG x2, 0(x1); -sha512sum0 x3, x2; -RVTEST_SIGUPD(x31,x2,0); -RVTEST_SIGUPD(x31,x3,8); - -inst_1: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x3; dest1:x4; -LREG x3, 8(x1); -sha512sum0 x4, x3; -RVTEST_SIGUPD(x31,x3,16); -RVTEST_SIGUPD(x31,x4,24); - -inst_2: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x4; dest1:x5; -LREG x4, 16(x1); -sha512sum0 x5, x4; -RVTEST_SIGUPD(x31,x4,32); -RVTEST_SIGUPD(x31,x5,40); - -inst_3: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x5; dest1:x6; -LREG x5, 24(x1); -sha512sum0 x6, x5; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); - -inst_4: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x6; dest1:x7; -LREG x6, 32(x1); -sha512sum0 x7, x6; -RVTEST_SIGUPD(x31,x6,64); -RVTEST_SIGUPD(x31,x7,72); - -inst_5: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x7; dest1:x8; -LREG x7, 40(x1); -sha512sum0 x8, x7; -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_6: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x8; dest1:x9; -LREG x8, 48(x1); -sha512sum0 x9, x8; -RVTEST_SIGUPD(x31,x8,96); -RVTEST_SIGUPD(x31,x9,104); - -inst_7: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x9; dest1:x10; -LREG x9, 56(x1); -sha512sum0 x10, x9; -RVTEST_SIGUPD(x31,x9,112); -RVTEST_SIGUPD(x31,x10,120); - -inst_8: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x10; dest1:x11; -LREG x10, 64(x1); -sha512sum0 x11, x10; -RVTEST_SIGUPD(x31,x10,128); -RVTEST_SIGUPD(x31,x11,136); - -inst_9: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x11; dest1:x12; -LREG x11, 72(x1); -sha512sum0 x12, x11; -RVTEST_SIGUPD(x31,x11,144); -RVTEST_SIGUPD(x31,x12,152); - -inst_10: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x12; dest1:x13; -LREG x12, 80(x1); -sha512sum0 x13, x12; -RVTEST_SIGUPD(x31,x12,160); -RVTEST_SIGUPD(x31,x13,168); - -inst_11: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x13; dest1:x14; -LREG x13, 88(x1); -sha512sum0 x14, x13; -RVTEST_SIGUPD(x31,x13,176); -RVTEST_SIGUPD(x31,x14,184); - -inst_12: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x14; dest1:x15; -LREG x14, 96(x1); -sha512sum0 x15, x14; -RVTEST_SIGUPD(x31,x14,192); -RVTEST_SIGUPD(x31,x15,200); - -inst_13: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x15; dest1:x16; -LREG x15, 104(x1); -sha512sum0 x16, x15; -RVTEST_SIGUPD(x31,x15,208); -RVTEST_SIGUPD(x31,x16,216); - -inst_14: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x16; dest1:x17; -LREG x16, 112(x1); -sha512sum0 x17, x16; -RVTEST_SIGUPD(x31,x16,224); -RVTEST_SIGUPD(x31,x17,232); - -inst_15: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x17; dest1:x18; -LREG x17, 120(x1); -sha512sum0 x18, x17; -RVTEST_SIGUPD(x31,x17,240); -RVTEST_SIGUPD(x31,x18,248); - -inst_16: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x18; dest1:x19; -LREG x18, 128(x1); -sha512sum0 x19, x18; -RVTEST_SIGUPD(x31,x18,256); -RVTEST_SIGUPD(x31,x19,264); - -inst_17: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x19; dest1:x20; -LREG x19, 136(x1); -sha512sum0 x20, x19; -RVTEST_SIGUPD(x31,x19,272); -RVTEST_SIGUPD(x31,x20,280); - -inst_18: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x20; dest1:x21; -LREG x20, 144(x1); -sha512sum0 x21, x20; -RVTEST_SIGUPD(x31,x20,288); -RVTEST_SIGUPD(x31,x21,296); - -inst_19: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x21; dest1:x22; -LREG x21, 152(x1); -sha512sum0 x22, x21; -RVTEST_SIGUPD(x31,x21,304); -RVTEST_SIGUPD(x31,x22,312); - -inst_20: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x22; dest1:x23; -LREG x22, 160(x1); -sha512sum0 x23, x22; -RVTEST_SIGUPD(x31,x22,320); -RVTEST_SIGUPD(x31,x23,328); - -inst_21: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x23; dest1:x24; -LREG x23, 168(x1); -sha512sum0 x24, x23; -RVTEST_SIGUPD(x31,x23,336); -RVTEST_SIGUPD(x31,x24,344); - -inst_22: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x24; dest1:x25; -LREG x24, 176(x1); -sha512sum0 x25, x24; -RVTEST_SIGUPD(x31,x24,352); -RVTEST_SIGUPD(x31,x25,360); - -inst_23: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x25; dest1:x26; -LREG x25, 184(x1); -sha512sum0 x26, x25; -RVTEST_SIGUPD(x31,x25,368); -RVTEST_SIGUPD(x31,x26,376); - -inst_24: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x26; dest1:x27; -LREG x26, 192(x1); -sha512sum0 x27, x26; -RVTEST_SIGUPD(x31,x26,384); -RVTEST_SIGUPD(x31,x27,392); - -inst_25: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x27; dest1:x28; -LREG x27, 200(x1); -sha512sum0 x28, x27; -RVTEST_SIGUPD(x31,x27,400); -RVTEST_SIGUPD(x31,x28,408); - -inst_26: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x28; dest1:x29; -LREG x28, 208(x1); -sha512sum0 x29, x28; -RVTEST_SIGUPD(x31,x28,416); -RVTEST_SIGUPD(x31,x29,424); - -inst_27: -// Checking load-to-use hazard! -// opcode: sha512sum0; op1:x29; dest1:x30; -LREG x29, 216(x1); -sha512sum0 x30, x29; -RVTEST_SIGUPD(x31,x29,432); -RVTEST_SIGUPD(x31,x30,440); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.dword 0x08577eb1924770d3 -.dword 0x93fdcab87b89296c -.dword 0xd2d6b8777dc59a3a -.dword 0xcf84b683a749f9c5 -.dword 0x854a965708ceac39 -.dword 0x137a977753e8eb43 -.dword 0x5c74e45eff1e5bef -.dword 0xdc3383836b9f15c4 -.dword 0x5ae6a2289a6ab329 -.dword 0x432779eeacca7f0d -.dword 0xaf949e5e2cb7362c -.dword 0x5cd2875ea96ec2b3 -.dword 0x9d02fc90708cc1b6 -.dword 0x953b00b00b54aa22 -.dword 0x224c06013c53d0e3 -.dword 0xe8dac663f0e58650 -.dword 0x3d7c95f9e5f0307e -.dword 0x8c8a18b2aaac3142 -.dword 0x785036de6f9fb997 -.dword 0x95a4d257a7298c66 -.dword 0x807da245d814d575 -.dword 0x3d06143769b1dcbf -.dword 0x7f21682208208d09 -.dword 0x14b91c79dae98554 -.dword 0xc5ec6148c6880007 -.dword 0x7213516d6a013380 -.dword 0x4652f62dae4839a1 -.dword 0x85986adb9e044706 -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 56*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-01.S deleted file mode 100644 index bb011edc6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-01.S +++ /dev/null @@ -1,1282 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sha512sum1 instruction of the RISC-V extension for the sha512sum1 covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",sha512sum1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha512sum1) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKnh.*);def TEST_CASE_1=True;",sha512sum1) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x13, rd==x6, rs1_val == 0x75a3adb3254a9493 -// opcode: sha512sum1 ; op1:x13; dest:x6; op1val:0x75a3adb3254a9493; -li x13, 0x75a3adb3254a9493 -sha512sum1 x6, x13 -sw x6, 0(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x6, 0x0000000000000000) - -inst_1: -// rs1 == rd, rs1==x24, rd==x24, rs1_val == 9223372036854775807, -// opcode: sha512sum1 ; op1:x24; dest:x24; op1val:0x7fffffffffffffff; -li x24, 0x7fffffffffffffff -sha512sum1 x24, x24 -sw x24, 8(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x24, 0x0000000000000000) - -inst_2: -// rs1==x10, rd==x1, rs1_val == 13835058055282163711, -// opcode: sha512sum1 ; op1:x10; dest:x1; op1val:0xbfffffffffffffff; -li x10, 0xbfffffffffffffff -sha512sum1 x1, x10 -sw x1, 16(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x1, 0x0000000000000000) - -inst_3: -// rs1==x5, rd==x27, rs1_val == 16140901064495857663, -// opcode: sha512sum1 ; op1:x5; dest:x27; op1val:0xdfffffffffffffff; -li x5, 0xdfffffffffffffff -sha512sum1 x27, x5 -sw x27, 24(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x27, 0x0000000000000000) - -inst_4: -// rs1==x11, rd==x0, rs1_val == 17293822569102704639, -// opcode: sha512sum1 ; op1:x11; dest:x0; op1val:0xefffffffffffffff; -li x11, 0xefffffffffffffff -sha512sum1 x0, x11 -sw x0, 32(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x0, 0x0000000000000000) - -inst_5: -// rs1==x26, rd==x30, rs1_val == 17870283321406128127, -// opcode: sha512sum1 ; op1:x26; dest:x30; op1val:0xf7ffffffffffffff; -li x26, 0xf7ffffffffffffff -sha512sum1 x30, x26 -sw x30, 40(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x30, 0x0000000000000000) - -inst_6: -// rs1==x16, rd==x5, rs1_val == 18158513697557839871, -// opcode: sha512sum1 ; op1:x16; dest:x5; op1val:0xfbffffffffffffff; -li x16, 0xfbffffffffffffff -sha512sum1 x5, x16 -sw x5, 48(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x5, 0x0000000000000000) - -inst_7: -// rs1==x19, rd==x23, rs1_val == 18302628885633695743, -// opcode: sha512sum1 ; op1:x19; dest:x23; op1val:0xfdffffffffffffff; -li x19, 0xfdffffffffffffff -sha512sum1 x23, x19 -sw x23, 56(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x23, 0x0000000000000000) - -inst_8: -// rs1==x9, rd==x13, rs1_val == 18374686479671623679, -// opcode: sha512sum1 ; op1:x9; dest:x13; op1val:0xfeffffffffffffff; -li x9, 0xfeffffffffffffff -sha512sum1 x13, x9 -sw x13, 64(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x13, 0x0000000000000000) - -inst_9: -// rs1==x17, rd==x8, rs1_val == 18410715276690587647, -// opcode: sha512sum1 ; op1:x17; dest:x8; op1val:0xff7fffffffffffff; -li x17, 0xff7fffffffffffff -sha512sum1 x8, x17 -sw x8, 72(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x8, 0x0000000000000000) - -inst_10: -// rs1==x18, rd==x14, rs1_val == 18428729675200069631, -// opcode: sha512sum1 ; op1:x18; dest:x14; op1val:0xffbfffffffffffff; -li x18, 0xffbfffffffffffff -sha512sum1 x14, x18 -sw x14, 80(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x14, 0x0000000000000000) - -inst_11: -// rs1==x29, rd==x7, rs1_val == 18437736874454810623, -// opcode: sha512sum1 ; op1:x29; dest:x7; op1val:0xffdfffffffffffff; -li x29, 0xffdfffffffffffff -sha512sum1 x7, x29 -sw x7, 88(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x7, 0x0000000000000000) - -inst_12: -// rs1==x31, rd==x10, rs1_val == 18442240474082181119, -// opcode: sha512sum1 ; op1:x31; dest:x10; op1val:0xffefffffffffffff; -li x31, 0xffefffffffffffff -sha512sum1 x10, x31 -sw x10, 96(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x10, 0x0000000000000000) - -inst_13: -// rs1==x15, rd==x3, rs1_val == 18444492273895866367, -// opcode: sha512sum1 ; op1:x15; dest:x3; op1val:0xfff7ffffffffffff; -li x15, 0xfff7ffffffffffff -sha512sum1 x3, x15 -sw x3, 104(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x3, 0x0000000000000000) - -inst_14: -// rs1==x21, rd==x17, rs1_val == 18445618173802708991, -// opcode: sha512sum1 ; op1:x21; dest:x17; op1val:0xfffbffffffffffff; -li x21, 0xfffbffffffffffff -sha512sum1 x17, x21 -sw x17, 112(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x17, 0x0000000000000000) - -inst_15: -// rs1==x14, rd==x26, rs1_val == 18446181123756130303, -// opcode: sha512sum1 ; op1:x14; dest:x26; op1val:0xfffdffffffffffff; -li x14, 0xfffdffffffffffff -sha512sum1 x26, x14 -sw x26, 120(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x26, 0x0000000000000000) - -inst_16: -// rs1==x22, rd==x20, rs1_val == 18446462598732840959, -// opcode: sha512sum1 ; op1:x22; dest:x20; op1val:0xfffeffffffffffff; -li x22, 0xfffeffffffffffff -sha512sum1 x20, x22 -sw x20, 128(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x20, 0x0000000000000000) - -inst_17: -// rs1==x30, rd==x15, rs1_val == 18446603336221196287, -// opcode: sha512sum1 ; op1:x30; dest:x15; op1val:0xffff7fffffffffff; -li x30, 0xffff7fffffffffff -sha512sum1 x15, x30 -sw x15, 136(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x15, 0x0000000000000000) - -inst_18: -// rs1==x1, rd==x21, rs1_val == 18446673704965373951, -// opcode: sha512sum1 ; op1:x1; dest:x21; op1val:0xffffbfffffffffff; -li x1, 0xffffbfffffffffff -sha512sum1 x21, x1 -sw x21, 144(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x21, 0x0000000000000000) - -inst_19: -// rs1==x0, rd==x12, rs1_val == 18446708889337462783, -// opcode: sha512sum1 ; op1:x0; dest:x12; op1val:0x0; -li x0, 0x0 -sha512sum1 x12, x0 -sw x12, 152(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x2, x12, 0x0000000000000000) - -inst_20: -// rs1==x8, rd==x2, rs1_val == 18446726481523507199, -// opcode: sha512sum1 ; op1:x8; dest:x2; op1val:0xffffefffffffffff; -li x8, 0xffffefffffffffff -sha512sum1 x2, x8 -sw x2, 160(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x2, 0x0000000000000000) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_21: -// rs1==x2, rd==x29, rs1_val == 18446735277616529407, -// opcode: sha512sum1 ; op1:x2; dest:x29; op1val:0xfffff7ffffffffff; -li x2, 0xfffff7ffffffffff -sha512sum1 x29, x2 -sw x29, 0(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x29, 0x0000000000000000) - -inst_22: -// rs1==x3, rd==x31, rs1_val == 18446739675663040511, -// opcode: sha512sum1 ; op1:x3; dest:x31; op1val:0xfffffbffffffffff; -li x3, 0xfffffbffffffffff -sha512sum1 x31, x3 -sw x31, 8(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x31, 0x0000000000000000) - -inst_23: -// rs1==x25, rd==x28, rs1_val == 18446741874686296063, -// opcode: sha512sum1 ; op1:x25; dest:x28; op1val:0xfffffdffffffffff; -li x25, 0xfffffdffffffffff -sha512sum1 x28, x25 -sw x28, 16(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x28, 0x0000000000000000) - -inst_24: -// rs1==x7, rd==x4, rs1_val == 18446742974197923839, -// opcode: sha512sum1 ; op1:x7; dest:x4; op1val:0xfffffeffffffffff; -li x7, 0xfffffeffffffffff -sha512sum1 x4, x7 -sw x4, 24(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x4, 0x0000000000000000) - -inst_25: -// rs1==x20, rd==x25, rs1_val == 18446743523953737727, -// opcode: sha512sum1 ; op1:x20; dest:x25; op1val:0xffffff7fffffffff; -li x20, 0xffffff7fffffffff -sha512sum1 x25, x20 -sw x25, 32(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x25, 0x0000000000000000) - -inst_26: -// rs1==x6, rd==x11, rs1_val == 18446743798831644671, -// opcode: sha512sum1 ; op1:x6; dest:x11; op1val:0xffffffbfffffffff; -li x6, 0xffffffbfffffffff -sha512sum1 x11, x6 -sw x11, 40(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_27: -// rs1==x28, rd==x9, rs1_val == 18446743936270598143, -// opcode: sha512sum1 ; op1:x28; dest:x9; op1val:0xffffffdfffffffff; -li x28, 0xffffffdfffffffff -sha512sum1 x9, x28 -sw x9, 48(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x9, 0x0000000000000000) - -inst_28: -// rs1==x12, rd==x19, rs1_val == 18446744004990074879, -// opcode: sha512sum1 ; op1:x12; dest:x19; op1val:0xffffffefffffffff; -li x12, 0xffffffefffffffff -sha512sum1 x19, x12 -sw x19, 56(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x19, 0x0000000000000000) - -inst_29: -// rs1==x27, rd==x18, rs1_val == 18446744039349813247, -// opcode: sha512sum1 ; op1:x27; dest:x18; op1val:0xfffffff7ffffffff; -li x27, 0xfffffff7ffffffff -sha512sum1 x18, x27 -sw x18, 64(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x18, 0x0000000000000000) - -inst_30: -// rs1==x23, rd==x16, rs1_val == 18446744056529682431, -// opcode: sha512sum1 ; op1:x23; dest:x16; op1val:0xfffffffbffffffff; -li x23, 0xfffffffbffffffff -sha512sum1 x16, x23 -sw x16, 72(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x16, 0x0000000000000000) - -inst_31: -// rs1==x4, rd==x22, rs1_val == 18446744065119617023, -// opcode: sha512sum1 ; op1:x4; dest:x22; op1val:0xfffffffdffffffff; -li x4, 0xfffffffdffffffff -sha512sum1 x22, x4 -sw x22, 80(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x22, 0x0000000000000000) - -inst_32: -// rs1_val == 18446744069414584319, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffeffffffff; -li x10, 0xfffffffeffffffff -sha512sum1 x11, x10 -sw x11, 88(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_33: -// rs1_val == 18446744071562067967, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffff7fffffff; -li x10, 0xffffffff7fffffff -sha512sum1 x11, x10 -sw x11, 96(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_34: -// rs1_val == 18446744072635809791, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffbfffffff; -li x10, 0xffffffffbfffffff -sha512sum1 x11, x10 -sw x11, 104(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_35: -// rs1_val == 18446744073172680703, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffdfffffff; -li x10, 0xffffffffdfffffff -sha512sum1 x11, x10 -sw x11, 112(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_36: -// rs1_val == 18446744073441116159, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffefffffff; -li x10, 0xffffffffefffffff -sha512sum1 x11, x10 -sw x11, 120(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_37: -// rs1_val == 18446744073575333887, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffff7ffffff; -li x10, 0xfffffffff7ffffff -sha512sum1 x11, x10 -sw x11, 128(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_38: -// rs1_val == 18446744073642442751, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffbffffff; -li x10, 0xfffffffffbffffff -sha512sum1 x11, x10 -sw x11, 136(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_39: -// rs1_val == 18446744073675997183, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffdffffff; -li x10, 0xfffffffffdffffff -sha512sum1 x11, x10 -sw x11, 144(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_40: -// rs1_val == 18446744073692774399, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffeffffff; -li x10, 0xfffffffffeffffff -sha512sum1 x11, x10 -sw x11, 152(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_41: -// rs1_val == 18446744073701163007, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffff7fffff; -li x10, 0xffffffffff7fffff -sha512sum1 x11, x10 -sw x11, 160(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_42: -// rs1_val == 18446744073705357311, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffffbfffff; -li x10, 0xffffffffffbfffff -sha512sum1 x11, x10 -sw x11, 168(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_43: -// rs1_val == 18446744073707454463, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffffdfffff; -li x10, 0xffffffffffdfffff -sha512sum1 x11, x10 -sw x11, 176(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_44: -// rs1_val == 18446744073708503039, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffffefffff; -li x10, 0xffffffffffefffff -sha512sum1 x11, x10 -sw x11, 184(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_45: -// rs1_val == 18446744073709027327, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffff7ffff; -li x10, 0xfffffffffff7ffff -sha512sum1 x11, x10 -sw x11, 192(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_46: -// rs1_val == 18446744073709289471, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffbffff; -li x10, 0xfffffffffffbffff -sha512sum1 x11, x10 -sw x11, 200(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_47: -// rs1_val == 18446744073709420543, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffdffff; -li x10, 0xfffffffffffdffff -sha512sum1 x11, x10 -sw x11, 208(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_48: -// rs1_val == 18446744073709486079, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffeffff; -li x10, 0xfffffffffffeffff -sha512sum1 x11, x10 -sw x11, 216(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_49: -// rs1_val == 18446744073709518847, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffffff7fff; -li x10, 0xffffffffffff7fff -sha512sum1 x11, x10 -sw x11, 224(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_50: -// rs1_val == 18446744073709535231, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffffffbfff; -li x10, 0xffffffffffffbfff -sha512sum1 x11, x10 -sw x11, 232(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_51: -// rs1_val == 18446744073709543423, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffffffdfff; -li x10, 0xffffffffffffdfff -sha512sum1 x11, x10 -sw x11, 240(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_52: -// rs1_val == 18446744073709547519, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffffffefff; -li x10, 0xffffffffffffefff -sha512sum1 x11, x10 -sw x11, 248(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_53: -// rs1_val == 18446744073709549567, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffff7ff; -li x10, 0xfffffffffffff7ff -sha512sum1 x11, x10 -sw x11, 256(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_54: -// rs1_val == 18446744073709550591, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffffbff; -li x10, 0xfffffffffffffbff -sha512sum1 x11, x10 -sw x11, 264(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_55: -// rs1_val == 18446744073709551103, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffffdff; -li x10, 0xfffffffffffffdff -sha512sum1 x11, x10 -sw x11, 272(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_56: -// rs1_val == 18446744073709551359, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffffeff; -li x10, 0xfffffffffffffeff -sha512sum1 x11, x10 -sw x11, 280(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_57: -// rs1_val == 18446744073709551487, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffffffff7f; -li x10, 0xffffffffffffff7f -sha512sum1 x11, x10 -sw x11, 288(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_58: -// rs1_val == 18446744073709551551, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffffffffbf; -li x10, 0xffffffffffffffbf -sha512sum1 x11, x10 -sw x11, 296(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_59: -// rs1_val == 18446744073709551583, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffffffffdf; -li x10, 0xffffffffffffffdf -sha512sum1 x11, x10 -sw x11, 304(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_60: -// rs1_val == 18446744073709551599, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffffffffffffef; -li x10, 0xffffffffffffffef -sha512sum1 x11, x10 -sw x11, 312(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_61: -// rs1_val == 18446744073709551607, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffffff7; -li x10, 0xfffffffffffffff7 -sha512sum1 x11, x10 -sw x11, 320(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_62: -// rs1_val == 18446744073709551611, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffb; -li x10, 0xfffffffffffffffb -sha512sum1 x11, x10 -sw x11, 328(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_63: -// rs1_val == 18446744073709551613, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; -li x10, 0xfffffffffffffffd -sha512sum1 x11, x10 -sw x11, 336(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_64: -// rs1_val == 18446744073709551614, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; -li x10, 0xfffffffffffffffe -sha512sum1 x11, x10 -sw x11, 344(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_65: -// rs1_val == 9223372036854775808, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x8000000000000000; -li x10, 0x8000000000000000 -sha512sum1 x11, x10 -sw x11, 352(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_66: -// rs1_val == 4611686018427387904, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x4000000000000000; -li x10, 0x4000000000000000 -sha512sum1 x11, x10 -sw x11, 360(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_67: -// rs1_val == 2305843009213693952, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x2000000000000000; -li x10, 0x2000000000000000 -sha512sum1 x11, x10 -sw x11, 368(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_68: -// rs1_val == 1152921504606846976, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x1000000000000000; -li x10, 0x1000000000000000 -sha512sum1 x11, x10 -sw x11, 376(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_69: -// rs1_val == 576460752303423488, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x800000000000000; -li x10, 0x800000000000000 -sha512sum1 x11, x10 -sw x11, 384(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_70: -// rs1_val == 288230376151711744, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x400000000000000; -li x10, 0x400000000000000 -sha512sum1 x11, x10 -sw x11, 392(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_71: -// rs1_val == 144115188075855872, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x200000000000000; -li x10, 0x200000000000000 -sha512sum1 x11, x10 -sw x11, 400(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_72: -// rs1_val == 72057594037927936, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x100000000000000; -li x10, 0x100000000000000 -sha512sum1 x11, x10 -sw x11, 408(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_73: -// rs1_val == 36028797018963968, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x80000000000000; -li x10, 0x80000000000000 -sha512sum1 x11, x10 -sw x11, 416(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_74: -// rs1_val == 18014398509481984, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x40000000000000; -li x10, 0x40000000000000 -sha512sum1 x11, x10 -sw x11, 424(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_75: -// rs1_val == 9007199254740992, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x20000000000000; -li x10, 0x20000000000000 -sha512sum1 x11, x10 -sw x11, 432(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_76: -// rs1_val == 4503599627370496, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x10000000000000; -li x10, 0x10000000000000 -sha512sum1 x11, x10 -sw x11, 440(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_77: -// rs1_val == 2251799813685248, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x8000000000000; -li x10, 0x8000000000000 -sha512sum1 x11, x10 -sw x11, 448(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_78: -// rs1_val == 1125899906842624, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x4000000000000; -li x10, 0x4000000000000 -sha512sum1 x11, x10 -sw x11, 456(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_79: -// rs1_val == 562949953421312, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x2000000000000; -li x10, 0x2000000000000 -sha512sum1 x11, x10 -sw x11, 464(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_80: -// rs1_val == 281474976710656, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x1000000000000; -li x10, 0x1000000000000 -sha512sum1 x11, x10 -sw x11, 472(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_81: -// rs1_val == 140737488355328, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x800000000000; -li x10, 0x800000000000 -sha512sum1 x11, x10 -sw x11, 480(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_82: -// rs1_val == 70368744177664, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x400000000000; -li x10, 0x400000000000 -sha512sum1 x11, x10 -sw x11, 488(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_83: -// rs1_val == 35184372088832, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x200000000000; -li x10, 0x200000000000 -sha512sum1 x11, x10 -sw x11, 496(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_84: -// rs1_val == 17592186044416, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x100000000000; -li x10, 0x100000000000 -sha512sum1 x11, x10 -sw x11, 504(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_85: -// rs1_val == 1, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x1; -li x10, 0x1 -sha512sum1 x11, x10 -sw x11, 512(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_86: -// rs1_val == 0xb6f9706fb4f741aa -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xb6f9706fb4f741aa; -li x10, 0xb6f9706fb4f741aa -sha512sum1 x11, x10 -sw x11, 520(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_87: -// rs1_val == 0x40a5ff526f38a9c7 -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x40a5ff526f38a9c7; -li x10, 0x40a5ff526f38a9c7 -sha512sum1 x11, x10 -sw x11, 528(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_88: -// rs1_val == 0xd05668ae0fdb82bc -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xd05668ae0fdb82bc; -li x10, 0xd05668ae0fdb82bc -sha512sum1 x11, x10 -sw x11, 536(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_89: -// rs1_val == 0x9bedfe390d6ddd9d -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x9bedfe390d6ddd9d; -li x10, 0x9bedfe390d6ddd9d -sha512sum1 x11, x10 -sw x11, 544(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_90: -// rs1_val == 0xaa6bb2bde9ed477d -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xaa6bb2bde9ed477d; -li x10, 0xaa6bb2bde9ed477d -sha512sum1 x11, x10 -sw x11, 552(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_91: -// rs1_val == 0xd75739f82ac177c6 -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xd75739f82ac177c6; -li x10, 0xd75739f82ac177c6 -sha512sum1 x11, x10 -sw x11, 560(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_92: -// rs1_val == 0x299c3bcf90efb625 -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x299c3bcf90efb625; -li x10, 0x299c3bcf90efb625 -sha512sum1 x11, x10 -sw x11, 568(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_93: -// rs1_val == 0x9a4e9ef10171f4df -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x9a4e9ef10171f4df; -li x10, 0x9a4e9ef10171f4df -sha512sum1 x11, x10 -sw x11, 576(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_94: -// rs1_val == 0x1fc493caa371db42 -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x1fc493caa371db42; -li x10, 0x1fc493caa371db42 -sha512sum1 x11, x10 -sw x11, 584(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_95: -// rs1_val == 0xd169a3f8cad5e297 -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xd169a3f8cad5e297; -li x10, 0xd169a3f8cad5e297 -sha512sum1 x11, x10 -sw x11, 592(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_96: -// rs1_val == 0xf4c30307672f666d -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xf4c30307672f666d; -li x10, 0xf4c30307672f666d -sha512sum1 x11, x10 -sw x11, 600(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_97: -// rs1_val == 0xd5b9fe5cf69bdcf3 -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xd5b9fe5cf69bdcf3; -li x10, 0xd5b9fe5cf69bdcf3 -sha512sum1 x11, x10 -sw x11, 608(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_98: -// rs1_val == 0xa0569d765ebc64cb -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xa0569d765ebc64cb; -li x10, 0xa0569d765ebc64cb -sha512sum1 x11, x10 -sw x11, 616(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_99: -// rs1_val == 0xe4921bf73047c198 -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xe4921bf73047c198; -li x10, 0xe4921bf73047c198 -sha512sum1 x11, x10 -sw x11, 624(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_100: -// rs1_val == 0x2daf9ac7f5faf207 -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x2daf9ac7f5faf207; -li x10, 0x2daf9ac7f5faf207 -sha512sum1 x11, x10 -sw x11, 632(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_101: -// rs1_val == 0xfcc1b543c49cd65b -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xfcc1b543c49cd65b; -li x10, 0xfcc1b543c49cd65b -sha512sum1 x11, x10 -sw x11, 640(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_102: -// rs1_val == 0x3459294ef273b44c -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x3459294ef273b44c; -li x10, 0x3459294ef273b44c -sha512sum1 x11, x10 -sw x11, 648(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_103: -// rs1_val == 0x436f40f274b8de87 -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x436f40f274b8de87; -li x10, 0x436f40f274b8de87 -sha512sum1 x11, x10 -sw x11, 656(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_104: -// rs1_val == 0xc5521660f3a3c571 -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xc5521660f3a3c571; -li x10, 0xc5521660f3a3c571 -sha512sum1 x11, x10 -sw x11, 664(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_105: -// rs1_val == 8796093022208, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x80000000000; -li x10, 0x80000000000 -sha512sum1 x11, x10 -sw x11, 672(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_106: -// rs1_val == 4398046511104, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x40000000000; -li x10, 0x40000000000 -sha512sum1 x11, x10 -sw x11, 680(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_107: -// rs1_val == 2199023255552, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x20000000000; -li x10, 0x20000000000 -sha512sum1 x11, x10 -sw x11, 688(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_108: -// rs1_val == 1099511627776, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x10000000000; -li x10, 0x10000000000 -sha512sum1 x11, x10 -sw x11, 696(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_109: -// rs1_val == 549755813888, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x8000000000; -li x10, 0x8000000000 -sha512sum1 x11, x10 -sw x11, 704(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_110: -// rs1_val == 274877906944, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x4000000000; -li x10, 0x4000000000 -sha512sum1 x11, x10 -sw x11, 712(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_111: -// rs1_val == 137438953472, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x2000000000; -li x10, 0x2000000000 -sha512sum1 x11, x10 -sw x11, 720(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_112: -// rs1_val == 68719476736, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x1000000000; -li x10, 0x1000000000 -sha512sum1 x11, x10 -sw x11, 728(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_113: -// rs1_val == 34359738368, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x800000000; -li x10, 0x800000000 -sha512sum1 x11, x10 -sw x11, 736(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_114: -// rs1_val == 17179869184, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x400000000; -li x10, 0x400000000 -sha512sum1 x11, x10 -sw x11, 744(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_115: -// rs1_val == 8589934592, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x200000000; -li x10, 0x200000000 -sha512sum1 x11, x10 -sw x11, 752(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_116: -// rs1_val == 4294967296, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x100000000; -li x10, 0x100000000 -sha512sum1 x11, x10 -sw x11, 760(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_117: -// rs1_val == 2147483648, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x80000000; -li x10, 0x80000000 -sha512sum1 x11, x10 -sw x11, 768(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_118: -// rs1_val == 1073741824, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x40000000; -li x10, 0x40000000 -sha512sum1 x11, x10 -sw x11, 776(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_119: -// rs1_val == 536870912, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x20000000; -li x10, 0x20000000 -sha512sum1 x11, x10 -sw x11, 784(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_120: -// rs1_val == 268435456, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x10000000; -li x10, 0x10000000 -sha512sum1 x11, x10 -sw x11, 792(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_121: -// rs1_val == 134217728, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x8000000; -li x10, 0x8000000 -sha512sum1 x11, x10 -sw x11, 800(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_122: -// rs1_val == 67108864, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x4000000; -li x10, 0x4000000 -sha512sum1 x11, x10 -sw x11, 808(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_123: -// rs1_val == 33554432, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x2000000; -li x10, 0x2000000 -sha512sum1 x11, x10 -sw x11, 816(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_124: -// rs1_val == 16777216, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x1000000; -li x10, 0x1000000 -sha512sum1 x11, x10 -sw x11, 824(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_125: -// rs1_val == 8388608, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x800000; -li x10, 0x800000 -sha512sum1 x11, x10 -sw x11, 832(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_126: -// rs1_val == 4194304, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x400000; -li x10, 0x400000 -sha512sum1 x11, x10 -sw x11, 840(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_127: -// rs1_val == 2097152, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x200000; -li x10, 0x200000 -sha512sum1 x11, x10 -sw x11, 848(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_128: -// rs1_val == 1048576, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x100000; -li x10, 0x100000 -sha512sum1 x11, x10 -sw x11, 856(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_129: -// rs1_val == 524288, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x80000; -li x10, 0x80000 -sha512sum1 x11, x10 -sw x11, 864(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_130: -// rs1_val == 262144, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x40000; -li x10, 0x40000 -sha512sum1 x11, x10 -sw x11, 872(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_131: -// rs1_val == 131072, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x20000; -li x10, 0x20000 -sha512sum1 x11, x10 -sw x11, 880(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_132: -// rs1_val == 65536, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x10000; -li x10, 0x10000 -sha512sum1 x11, x10 -sw x11, 888(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_133: -// rs1_val == 32768, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x8000; -li x10, 0x8000 -sha512sum1 x11, x10 -sw x11, 896(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_134: -// rs1_val == 16384, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x4000; -li x10, 0x4000 -sha512sum1 x11, x10 -sw x11, 904(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_135: -// rs1_val == 8192, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x2000; -li x10, 0x2000 -sha512sum1 x11, x10 -sw x11, 912(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_136: -// rs1_val == 4096, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x1000; -li x10, 0x1000 -sha512sum1 x11, x10 -sw x11, 920(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_137: -// rs1_val == 2048, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x800; -li x10, 0x800 -sha512sum1 x11, x10 -sw x11, 928(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_138: -// rs1_val == 1024, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x400; -li x10, 0x400 -sha512sum1 x11, x10 -sw x11, 936(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_139: -// rs1_val == 512, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x200; -li x10, 0x200 -sha512sum1 x11, x10 -sw x11, 944(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_140: -// rs1_val == 256, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x100; -li x10, 0x100 -sha512sum1 x11, x10 -sw x11, 952(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_141: -// rs1_val == 128, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x80; -li x10, 0x80 -sha512sum1 x11, x10 -sw x11, 960(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_142: -// rs1_val == 64, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x40; -li x10, 0x40 -sha512sum1 x11, x10 -sw x11, 968(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_143: -// rs1_val == 32, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x20; -li x10, 0x20 -sha512sum1 x11, x10 -sw x11, 976(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_144: -// rs1_val == 16, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x10; -li x10, 0x10 -sha512sum1 x11, x10 -sw x11, 984(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_145: -// rs1_val == 8, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x8; -li x10, 0x8 -sha512sum1 x11, x10 -sw x11, 992(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_146: -// rs1_val == 4, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x4; -li x10, 0x4 -sha512sum1 x11, x10 -sw x11, 1000(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_147: -// rs1_val == 2, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0x2; -li x10, 0x2 -sha512sum1 x11, x10 -sw x11, 1008(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_148: -// rs1_val == 17293822569102704639, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xefffffffffffffff; -li x10, 0xefffffffffffffff -sha512sum1 x11, x10 -sw x11, 1016(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) - -inst_149: -// rs1_val == 18446708889337462783, -// opcode: sha512sum1 ; op1:x10; dest:x11; op1val:0xffffdfffffffffff; -li x10, 0xffffdfffffffffff -sha512sum1 x11, x10 -sw x11, 1024(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x5, x11, 0x0000000000000000) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 21*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 129*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp1.S deleted file mode 100644 index 97d184445..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp1.S +++ /dev/null @@ -1,972 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha512sum1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha512sum1) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x3, x1, x2; -sha512sum1 x1, x3; -xor x4, x1, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x1,8); -RVTEST_SIGUPD(x31,x4,16); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -not x3, x2; -sha512sum1 x1, x3; -not x4, x1; -RVTEST_SIGUPD(x31,x3,24); -RVTEST_SIGUPD(x31,x1,32); -RVTEST_SIGUPD(x31,x4,40); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -add x3, x1, x2; -sha512sum1 x1, x3; -add x4, x1, x2; -RVTEST_SIGUPD(x31,x3,48); -RVTEST_SIGUPD(x31,x1,56); -RVTEST_SIGUPD(x31,x4,64); - -inst_1: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x4, x2, x3; -sha512sum1 x2, x4; -xor x5, x2, x3; -RVTEST_SIGUPD(x31,x4,72); -RVTEST_SIGUPD(x31,x2,80); -RVTEST_SIGUPD(x31,x5,88); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -not x4, x3; -sha512sum1 x2, x4; -not x5, x2; -RVTEST_SIGUPD(x31,x4,96); -RVTEST_SIGUPD(x31,x2,104); -RVTEST_SIGUPD(x31,x5,112); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -add x4, x2, x3; -sha512sum1 x2, x4; -add x5, x2, x3; -RVTEST_SIGUPD(x31,x4,120); -RVTEST_SIGUPD(x31,x2,128); -RVTEST_SIGUPD(x31,x5,136); - -inst_2: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x5, x3, x4; -sha512sum1 x3, x5; -xor x6, x3, x4; -RVTEST_SIGUPD(x31,x5,144); -RVTEST_SIGUPD(x31,x3,152); -RVTEST_SIGUPD(x31,x6,160); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -not x5, x4; -sha512sum1 x3, x5; -not x6, x3; -RVTEST_SIGUPD(x31,x5,168); -RVTEST_SIGUPD(x31,x3,176); -RVTEST_SIGUPD(x31,x6,184); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -add x5, x3, x4; -sha512sum1 x3, x5; -add x6, x3, x4; -RVTEST_SIGUPD(x31,x5,192); -RVTEST_SIGUPD(x31,x3,200); -RVTEST_SIGUPD(x31,x6,208); - -inst_3: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x6, x4, x5; -sha512sum1 x4, x6; -xor x7, x4, x5; -RVTEST_SIGUPD(x31,x6,216); -RVTEST_SIGUPD(x31,x4,224); -RVTEST_SIGUPD(x31,x7,232); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -not x6, x5; -sha512sum1 x4, x6; -not x7, x4; -RVTEST_SIGUPD(x31,x6,240); -RVTEST_SIGUPD(x31,x4,248); -RVTEST_SIGUPD(x31,x7,256); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -add x6, x4, x5; -sha512sum1 x4, x6; -add x7, x4, x5; -RVTEST_SIGUPD(x31,x6,264); -RVTEST_SIGUPD(x31,x4,272); -RVTEST_SIGUPD(x31,x7,280); - -inst_4: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x7, x5, x6; -sha512sum1 x5, x7; -xor x8, x5, x6; -RVTEST_SIGUPD(x31,x7,288); -RVTEST_SIGUPD(x31,x5,296); -RVTEST_SIGUPD(x31,x8,304); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -not x7, x6; -sha512sum1 x5, x7; -not x8, x5; -RVTEST_SIGUPD(x31,x7,312); -RVTEST_SIGUPD(x31,x5,320); -RVTEST_SIGUPD(x31,x8,328); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -add x7, x5, x6; -sha512sum1 x5, x7; -add x8, x5, x6; -RVTEST_SIGUPD(x31,x7,336); -RVTEST_SIGUPD(x31,x5,344); -RVTEST_SIGUPD(x31,x8,352); - -inst_5: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x8, x6, x7; -sha512sum1 x6, x8; -xor x9, x6, x7; -RVTEST_SIGUPD(x31,x8,360); -RVTEST_SIGUPD(x31,x6,368); -RVTEST_SIGUPD(x31,x9,376); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -not x8, x7; -sha512sum1 x6, x8; -not x9, x6; -RVTEST_SIGUPD(x31,x8,384); -RVTEST_SIGUPD(x31,x6,392); -RVTEST_SIGUPD(x31,x9,400); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -add x8, x6, x7; -sha512sum1 x6, x8; -add x9, x6, x7; -RVTEST_SIGUPD(x31,x8,408); -RVTEST_SIGUPD(x31,x6,416); -RVTEST_SIGUPD(x31,x9,424); - -inst_6: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x9, x7, x8; -sha512sum1 x7, x9; -xor x10, x7, x8; -RVTEST_SIGUPD(x31,x9,432); -RVTEST_SIGUPD(x31,x7,440); -RVTEST_SIGUPD(x31,x10,448); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -not x9, x8; -sha512sum1 x7, x9; -not x10, x7; -RVTEST_SIGUPD(x31,x9,456); -RVTEST_SIGUPD(x31,x7,464); -RVTEST_SIGUPD(x31,x10,472); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -add x9, x7, x8; -sha512sum1 x7, x9; -add x10, x7, x8; -RVTEST_SIGUPD(x31,x9,480); -RVTEST_SIGUPD(x31,x7,488); -RVTEST_SIGUPD(x31,x10,496); - -inst_7: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x10, x8, x9; -sha512sum1 x8, x10; -xor x11, x8, x9; -RVTEST_SIGUPD(x31,x10,504); -RVTEST_SIGUPD(x31,x8,512); -RVTEST_SIGUPD(x31,x11,520); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -not x10, x9; -sha512sum1 x8, x10; -not x11, x8; -RVTEST_SIGUPD(x31,x10,528); -RVTEST_SIGUPD(x31,x8,536); -RVTEST_SIGUPD(x31,x11,544); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -add x10, x8, x9; -sha512sum1 x8, x10; -add x11, x8, x9; -RVTEST_SIGUPD(x31,x10,552); -RVTEST_SIGUPD(x31,x8,560); -RVTEST_SIGUPD(x31,x11,568); - -inst_8: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x11, x9, x10; -sha512sum1 x9, x11; -xor x12, x9, x10; -RVTEST_SIGUPD(x31,x11,576); -RVTEST_SIGUPD(x31,x9,584); -RVTEST_SIGUPD(x31,x12,592); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -not x11, x10; -sha512sum1 x9, x11; -not x12, x9; -RVTEST_SIGUPD(x31,x11,600); -RVTEST_SIGUPD(x31,x9,608); -RVTEST_SIGUPD(x31,x12,616); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -add x11, x9, x10; -sha512sum1 x9, x11; -add x12, x9, x10; -RVTEST_SIGUPD(x31,x11,624); -RVTEST_SIGUPD(x31,x9,632); -RVTEST_SIGUPD(x31,x12,640); - -inst_9: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x12, x10, x11; -sha512sum1 x10, x12; -xor x13, x10, x11; -RVTEST_SIGUPD(x31,x12,648); -RVTEST_SIGUPD(x31,x10,656); -RVTEST_SIGUPD(x31,x13,664); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -not x12, x11; -sha512sum1 x10, x12; -not x13, x10; -RVTEST_SIGUPD(x31,x12,672); -RVTEST_SIGUPD(x31,x10,680); -RVTEST_SIGUPD(x31,x13,688); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -add x12, x10, x11; -sha512sum1 x10, x12; -add x13, x10, x11; -RVTEST_SIGUPD(x31,x12,696); -RVTEST_SIGUPD(x31,x10,704); -RVTEST_SIGUPD(x31,x13,712); - -inst_10: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x13, x11, x12; -sha512sum1 x11, x13; -xor x14, x11, x12; -RVTEST_SIGUPD(x31,x13,720); -RVTEST_SIGUPD(x31,x11,728); -RVTEST_SIGUPD(x31,x14,736); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -not x13, x12; -sha512sum1 x11, x13; -not x14, x11; -RVTEST_SIGUPD(x31,x13,744); -RVTEST_SIGUPD(x31,x11,752); -RVTEST_SIGUPD(x31,x14,760); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -add x13, x11, x12; -sha512sum1 x11, x13; -add x14, x11, x12; -RVTEST_SIGUPD(x31,x13,768); -RVTEST_SIGUPD(x31,x11,776); -RVTEST_SIGUPD(x31,x14,784); - -inst_11: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x14, x12, x13; -sha512sum1 x12, x14; -xor x15, x12, x13; -RVTEST_SIGUPD(x31,x14,792); -RVTEST_SIGUPD(x31,x12,800); -RVTEST_SIGUPD(x31,x15,808); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -not x14, x13; -sha512sum1 x12, x14; -not x15, x12; -RVTEST_SIGUPD(x31,x14,816); -RVTEST_SIGUPD(x31,x12,824); -RVTEST_SIGUPD(x31,x15,832); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -add x14, x12, x13; -sha512sum1 x12, x14; -add x15, x12, x13; -RVTEST_SIGUPD(x31,x14,840); -RVTEST_SIGUPD(x31,x12,848); -RVTEST_SIGUPD(x31,x15,856); - -inst_12: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x15, x13, x14; -sha512sum1 x13, x15; -xor x16, x13, x14; -RVTEST_SIGUPD(x31,x15,864); -RVTEST_SIGUPD(x31,x13,872); -RVTEST_SIGUPD(x31,x16,880); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -not x15, x14; -sha512sum1 x13, x15; -not x16, x13; -RVTEST_SIGUPD(x31,x15,888); -RVTEST_SIGUPD(x31,x13,896); -RVTEST_SIGUPD(x31,x16,904); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -add x15, x13, x14; -sha512sum1 x13, x15; -add x16, x13, x14; -RVTEST_SIGUPD(x31,x15,912); -RVTEST_SIGUPD(x31,x13,920); -RVTEST_SIGUPD(x31,x16,928); - -inst_13: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x16, x14, x15; -sha512sum1 x14, x16; -xor x17, x14, x15; -RVTEST_SIGUPD(x31,x16,936); -RVTEST_SIGUPD(x31,x14,944); -RVTEST_SIGUPD(x31,x17,952); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -not x16, x15; -sha512sum1 x14, x16; -not x17, x14; -RVTEST_SIGUPD(x31,x16,960); -RVTEST_SIGUPD(x31,x14,968); -RVTEST_SIGUPD(x31,x17,976); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -add x16, x14, x15; -sha512sum1 x14, x16; -add x17, x14, x15; -RVTEST_SIGUPD(x31,x16,984); -RVTEST_SIGUPD(x31,x14,992); -RVTEST_SIGUPD(x31,x17,1000); - -inst_14: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x17, x15, x16; -sha512sum1 x15, x17; -xor x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1008); -RVTEST_SIGUPD(x31,x15,1016); -RVTEST_SIGUPD(x31,x18,1024); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -not x17, x16; -sha512sum1 x15, x17; -not x18, x15; -RVTEST_SIGUPD(x31,x17,1032); -RVTEST_SIGUPD(x31,x15,1040); -RVTEST_SIGUPD(x31,x18,1048); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -add x17, x15, x16; -sha512sum1 x15, x17; -add x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1056); -RVTEST_SIGUPD(x31,x15,1064); -RVTEST_SIGUPD(x31,x18,1072); - -inst_15: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x18, x16, x17; -sha512sum1 x16, x18; -xor x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1080); -RVTEST_SIGUPD(x31,x16,1088); -RVTEST_SIGUPD(x31,x19,1096); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -not x18, x17; -sha512sum1 x16, x18; -not x19, x16; -RVTEST_SIGUPD(x31,x18,1104); -RVTEST_SIGUPD(x31,x16,1112); -RVTEST_SIGUPD(x31,x19,1120); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -add x18, x16, x17; -sha512sum1 x16, x18; -add x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1128); -RVTEST_SIGUPD(x31,x16,1136); -RVTEST_SIGUPD(x31,x19,1144); - -inst_16: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x19, x17, x18; -sha512sum1 x17, x19; -xor x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1152); -RVTEST_SIGUPD(x31,x17,1160); -RVTEST_SIGUPD(x31,x20,1168); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -not x19, x18; -sha512sum1 x17, x19; -not x20, x17; -RVTEST_SIGUPD(x31,x19,1176); -RVTEST_SIGUPD(x31,x17,1184); -RVTEST_SIGUPD(x31,x20,1192); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -add x19, x17, x18; -sha512sum1 x17, x19; -add x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1200); -RVTEST_SIGUPD(x31,x17,1208); -RVTEST_SIGUPD(x31,x20,1216); - -inst_17: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x20, x18, x19; -sha512sum1 x18, x20; -xor x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1224); -RVTEST_SIGUPD(x31,x18,1232); -RVTEST_SIGUPD(x31,x21,1240); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -not x20, x19; -sha512sum1 x18, x20; -not x21, x18; -RVTEST_SIGUPD(x31,x20,1248); -RVTEST_SIGUPD(x31,x18,1256); -RVTEST_SIGUPD(x31,x21,1264); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -add x20, x18, x19; -sha512sum1 x18, x20; -add x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1272); -RVTEST_SIGUPD(x31,x18,1280); -RVTEST_SIGUPD(x31,x21,1288); - -inst_18: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x21, x19, x20; -sha512sum1 x19, x21; -xor x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1296); -RVTEST_SIGUPD(x31,x19,1304); -RVTEST_SIGUPD(x31,x22,1312); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -not x21, x20; -sha512sum1 x19, x21; -not x22, x19; -RVTEST_SIGUPD(x31,x21,1320); -RVTEST_SIGUPD(x31,x19,1328); -RVTEST_SIGUPD(x31,x22,1336); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -add x21, x19, x20; -sha512sum1 x19, x21; -add x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1344); -RVTEST_SIGUPD(x31,x19,1352); -RVTEST_SIGUPD(x31,x22,1360); - -inst_19: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x22, x20, x21; -sha512sum1 x20, x22; -xor x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1368); -RVTEST_SIGUPD(x31,x20,1376); -RVTEST_SIGUPD(x31,x23,1384); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -not x22, x21; -sha512sum1 x20, x22; -not x23, x20; -RVTEST_SIGUPD(x31,x22,1392); -RVTEST_SIGUPD(x31,x20,1400); -RVTEST_SIGUPD(x31,x23,1408); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -add x22, x20, x21; -sha512sum1 x20, x22; -add x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1416); -RVTEST_SIGUPD(x31,x20,1424); -RVTEST_SIGUPD(x31,x23,1432); - -inst_20: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x23, x21, x22; -sha512sum1 x21, x23; -xor x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1440); -RVTEST_SIGUPD(x31,x21,1448); -RVTEST_SIGUPD(x31,x24,1456); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -not x23, x22; -sha512sum1 x21, x23; -not x24, x21; -RVTEST_SIGUPD(x31,x23,1464); -RVTEST_SIGUPD(x31,x21,1472); -RVTEST_SIGUPD(x31,x24,1480); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -add x23, x21, x22; -sha512sum1 x21, x23; -add x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1488); -RVTEST_SIGUPD(x31,x21,1496); -RVTEST_SIGUPD(x31,x24,1504); - -inst_21: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x24, x22, x23; -sha512sum1 x22, x24; -xor x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1512); -RVTEST_SIGUPD(x31,x22,1520); -RVTEST_SIGUPD(x31,x25,1528); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -not x24, x23; -sha512sum1 x22, x24; -not x25, x22; -RVTEST_SIGUPD(x31,x24,1536); -RVTEST_SIGUPD(x31,x22,1544); -RVTEST_SIGUPD(x31,x25,1552); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -add x24, x22, x23; -sha512sum1 x22, x24; -add x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1560); -RVTEST_SIGUPD(x31,x22,1568); -RVTEST_SIGUPD(x31,x25,1576); - -inst_22: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x25, x23, x24; -sha512sum1 x23, x25; -xor x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1584); -RVTEST_SIGUPD(x31,x23,1592); -RVTEST_SIGUPD(x31,x26,1600); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -not x25, x24; -sha512sum1 x23, x25; -not x26, x23; -RVTEST_SIGUPD(x31,x25,1608); -RVTEST_SIGUPD(x31,x23,1616); -RVTEST_SIGUPD(x31,x26,1624); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -add x25, x23, x24; -sha512sum1 x23, x25; -add x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1632); -RVTEST_SIGUPD(x31,x23,1640); -RVTEST_SIGUPD(x31,x26,1648); - -inst_23: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x26, x24, x25; -sha512sum1 x24, x26; -xor x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1656); -RVTEST_SIGUPD(x31,x24,1664); -RVTEST_SIGUPD(x31,x27,1672); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -not x26, x25; -sha512sum1 x24, x26; -not x27, x24; -RVTEST_SIGUPD(x31,x26,1680); -RVTEST_SIGUPD(x31,x24,1688); -RVTEST_SIGUPD(x31,x27,1696); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -add x26, x24, x25; -sha512sum1 x24, x26; -add x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1704); -RVTEST_SIGUPD(x31,x24,1712); -RVTEST_SIGUPD(x31,x27,1720); - -inst_24: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x27, x25, x26; -sha512sum1 x25, x27; -xor x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1728); -RVTEST_SIGUPD(x31,x25,1736); -RVTEST_SIGUPD(x31,x28,1744); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -not x27, x26; -sha512sum1 x25, x27; -not x28, x25; -RVTEST_SIGUPD(x31,x27,1752); -RVTEST_SIGUPD(x31,x25,1760); -RVTEST_SIGUPD(x31,x28,1768); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -add x27, x25, x26; -sha512sum1 x25, x27; -add x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1776); -RVTEST_SIGUPD(x31,x25,1784); -RVTEST_SIGUPD(x31,x28,1792); - -inst_25: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x28, x26, x27; -sha512sum1 x26, x28; -xor x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1800); -RVTEST_SIGUPD(x31,x26,1808); -RVTEST_SIGUPD(x31,x29,1816); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -not x28, x27; -sha512sum1 x26, x28; -not x29, x26; -RVTEST_SIGUPD(x31,x28,1824); -RVTEST_SIGUPD(x31,x26,1832); -RVTEST_SIGUPD(x31,x29,1840); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -add x28, x26, x27; -sha512sum1 x26, x28; -add x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1848); -RVTEST_SIGUPD(x31,x26,1856); -RVTEST_SIGUPD(x31,x29,1864); - -inst_26: -// Forwarded xor into sha512sum1 & the result back into xor -// opcode: sha512sum1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -xor x29, x27, x28; -sha512sum1 x27, x29; -xor x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1872); -RVTEST_SIGUPD(x31,x27,1880); -RVTEST_SIGUPD(x31,x30,1888); - -// Forwarded not into sha512sum1 & the result back into not -// opcode: sha512sum1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -not x29, x28; -sha512sum1 x27, x29; -not x30, x27; -RVTEST_SIGUPD(x31,x29,1896); -RVTEST_SIGUPD(x31,x27,1904); -RVTEST_SIGUPD(x31,x30,1912); - -// Forwarded add into sha512sum1 & the result back into add -// opcode: sha512sum1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -add x29, x27, x28; -sha512sum1 x27, x29; -add x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1920); -RVTEST_SIGUPD(x31,x27,1928); -RVTEST_SIGUPD(x31,x30,1936); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 243*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp2.S deleted file mode 100644 index faf0a53cf..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp2.S +++ /dev/null @@ -1,307 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sha512sum1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",sha512sum1) - -RVTEST_SIGBASE( x31,signature_x31_1) - -la x1, rvtest_data - -inst_0: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x2; dest1:x3; -LREG x2, 0(x1); -sha512sum1 x3, x2; -RVTEST_SIGUPD(x31,x2,0); -RVTEST_SIGUPD(x31,x3,8); - -inst_1: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x3; dest1:x4; -LREG x3, 8(x1); -sha512sum1 x4, x3; -RVTEST_SIGUPD(x31,x3,16); -RVTEST_SIGUPD(x31,x4,24); - -inst_2: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x4; dest1:x5; -LREG x4, 16(x1); -sha512sum1 x5, x4; -RVTEST_SIGUPD(x31,x4,32); -RVTEST_SIGUPD(x31,x5,40); - -inst_3: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x5; dest1:x6; -LREG x5, 24(x1); -sha512sum1 x6, x5; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); - -inst_4: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x6; dest1:x7; -LREG x6, 32(x1); -sha512sum1 x7, x6; -RVTEST_SIGUPD(x31,x6,64); -RVTEST_SIGUPD(x31,x7,72); - -inst_5: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x7; dest1:x8; -LREG x7, 40(x1); -sha512sum1 x8, x7; -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_6: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x8; dest1:x9; -LREG x8, 48(x1); -sha512sum1 x9, x8; -RVTEST_SIGUPD(x31,x8,96); -RVTEST_SIGUPD(x31,x9,104); - -inst_7: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x9; dest1:x10; -LREG x9, 56(x1); -sha512sum1 x10, x9; -RVTEST_SIGUPD(x31,x9,112); -RVTEST_SIGUPD(x31,x10,120); - -inst_8: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x10; dest1:x11; -LREG x10, 64(x1); -sha512sum1 x11, x10; -RVTEST_SIGUPD(x31,x10,128); -RVTEST_SIGUPD(x31,x11,136); - -inst_9: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x11; dest1:x12; -LREG x11, 72(x1); -sha512sum1 x12, x11; -RVTEST_SIGUPD(x31,x11,144); -RVTEST_SIGUPD(x31,x12,152); - -inst_10: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x12; dest1:x13; -LREG x12, 80(x1); -sha512sum1 x13, x12; -RVTEST_SIGUPD(x31,x12,160); -RVTEST_SIGUPD(x31,x13,168); - -inst_11: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x13; dest1:x14; -LREG x13, 88(x1); -sha512sum1 x14, x13; -RVTEST_SIGUPD(x31,x13,176); -RVTEST_SIGUPD(x31,x14,184); - -inst_12: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x14; dest1:x15; -LREG x14, 96(x1); -sha512sum1 x15, x14; -RVTEST_SIGUPD(x31,x14,192); -RVTEST_SIGUPD(x31,x15,200); - -inst_13: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x15; dest1:x16; -LREG x15, 104(x1); -sha512sum1 x16, x15; -RVTEST_SIGUPD(x31,x15,208); -RVTEST_SIGUPD(x31,x16,216); - -inst_14: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x16; dest1:x17; -LREG x16, 112(x1); -sha512sum1 x17, x16; -RVTEST_SIGUPD(x31,x16,224); -RVTEST_SIGUPD(x31,x17,232); - -inst_15: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x17; dest1:x18; -LREG x17, 120(x1); -sha512sum1 x18, x17; -RVTEST_SIGUPD(x31,x17,240); -RVTEST_SIGUPD(x31,x18,248); - -inst_16: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x18; dest1:x19; -LREG x18, 128(x1); -sha512sum1 x19, x18; -RVTEST_SIGUPD(x31,x18,256); -RVTEST_SIGUPD(x31,x19,264); - -inst_17: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x19; dest1:x20; -LREG x19, 136(x1); -sha512sum1 x20, x19; -RVTEST_SIGUPD(x31,x19,272); -RVTEST_SIGUPD(x31,x20,280); - -inst_18: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x20; dest1:x21; -LREG x20, 144(x1); -sha512sum1 x21, x20; -RVTEST_SIGUPD(x31,x20,288); -RVTEST_SIGUPD(x31,x21,296); - -inst_19: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x21; dest1:x22; -LREG x21, 152(x1); -sha512sum1 x22, x21; -RVTEST_SIGUPD(x31,x21,304); -RVTEST_SIGUPD(x31,x22,312); - -inst_20: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x22; dest1:x23; -LREG x22, 160(x1); -sha512sum1 x23, x22; -RVTEST_SIGUPD(x31,x22,320); -RVTEST_SIGUPD(x31,x23,328); - -inst_21: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x23; dest1:x24; -LREG x23, 168(x1); -sha512sum1 x24, x23; -RVTEST_SIGUPD(x31,x23,336); -RVTEST_SIGUPD(x31,x24,344); - -inst_22: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x24; dest1:x25; -LREG x24, 176(x1); -sha512sum1 x25, x24; -RVTEST_SIGUPD(x31,x24,352); -RVTEST_SIGUPD(x31,x25,360); - -inst_23: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x25; dest1:x26; -LREG x25, 184(x1); -sha512sum1 x26, x25; -RVTEST_SIGUPD(x31,x25,368); -RVTEST_SIGUPD(x31,x26,376); - -inst_24: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x26; dest1:x27; -LREG x26, 192(x1); -sha512sum1 x27, x26; -RVTEST_SIGUPD(x31,x26,384); -RVTEST_SIGUPD(x31,x27,392); - -inst_25: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x27; dest1:x28; -LREG x27, 200(x1); -sha512sum1 x28, x27; -RVTEST_SIGUPD(x31,x27,400); -RVTEST_SIGUPD(x31,x28,408); - -inst_26: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x28; dest1:x29; -LREG x28, 208(x1); -sha512sum1 x29, x28; -RVTEST_SIGUPD(x31,x28,416); -RVTEST_SIGUPD(x31,x29,424); - -inst_27: -// Checking load-to-use hazard! -// opcode: sha512sum1; op1:x29; dest1:x30; -LREG x29, 216(x1); -sha512sum1 x30, x29; -RVTEST_SIGUPD(x31,x29,432); -RVTEST_SIGUPD(x31,x30,440); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.dword 0x08577eb1924770d3 -.dword 0x93fdcab87b89296c -.dword 0xd2d6b8777dc59a3a -.dword 0xcf84b683a749f9c5 -.dword 0x854a965708ceac39 -.dword 0x137a977753e8eb43 -.dword 0x5c74e45eff1e5bef -.dword 0xdc3383836b9f15c4 -.dword 0x5ae6a2289a6ab329 -.dword 0x432779eeacca7f0d -.dword 0xaf949e5e2cb7362c -.dword 0x5cd2875ea96ec2b3 -.dword 0x9d02fc90708cc1b6 -.dword 0x953b00b00b54aa22 -.dword 0x224c06013c53d0e3 -.dword 0xe8dac663f0e58650 -.dword 0x3d7c95f9e5f0307e -.dword 0x8c8a18b2aaac3142 -.dword 0x785036de6f9fb997 -.dword 0x95a4d257a7298c66 -.dword 0x807da245d814d575 -.dword 0x3d06143769b1dcbf -.dword 0x7f21682208208d09 -.dword 0x14b91c79dae98554 -.dword 0xc5ec6148c6880007 -.dword 0x7213516d6a013380 -.dword 0x4652f62dae4839a1 -.dword 0x85986adb9e044706 -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 56*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-01.S deleted file mode 100644 index 5fcf4fec6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-01.S +++ /dev/null @@ -1,1282 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sm3p0 instruction of the RISC-V extension for the sm3p0 covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I_Zks") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",sm3p0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",sm3p0) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKsh.*);def TEST_CASE_1=True;",sm3p0) - -RVTEST_SIGBASE( x17,signature_x17_1) - -inst_0: -// rs1 != rd, rs1==x4, rd==x25, rs1_val == 0x75a3adb3254a9493 -// opcode: sm3p0 ; op1:x4; dest:x25; op1val:0x75a3adb3254a9493; -li x4, 0x75a3adb3254a9493 -sm3p0 x25, x4 -sw x25, 0(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x25, 0x0000000000000000) - -inst_1: -// rs1 == rd, rs1==x16, rd==x16, rs1_val == 9223372036854775807, -// opcode: sm3p0 ; op1:x16; dest:x16; op1val:0x7fffffffffffffff; -li x16, 0x7fffffffffffffff -sm3p0 x16, x16 -sw x16, 8(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x16, 0x0000000000000000) - -inst_2: -// rs1==x27, rd==x22, rs1_val == 13835058055282163711, -// opcode: sm3p0 ; op1:x27; dest:x22; op1val:0xbfffffffffffffff; -li x27, 0xbfffffffffffffff -sm3p0 x22, x27 -sw x22, 16(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x22, 0x0000000000000000) - -inst_3: -// rs1==x13, rd==x29, rs1_val == 16140901064495857663, -// opcode: sm3p0 ; op1:x13; dest:x29; op1val:0xdfffffffffffffff; -li x13, 0xdfffffffffffffff -sm3p0 x29, x13 -sw x29, 24(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x29, 0x0000000000000000) - -inst_4: -// rs1==x20, rd==x15, rs1_val == 17293822569102704639, -// opcode: sm3p0 ; op1:x20; dest:x15; op1val:0xefffffffffffffff; -li x20, 0xefffffffffffffff -sm3p0 x15, x20 -sw x15, 32(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x15, 0x0000000000000000) - -inst_5: -// rs1==x19, rd==x21, rs1_val == 17870283321406128127, -// opcode: sm3p0 ; op1:x19; dest:x21; op1val:0xf7ffffffffffffff; -li x19, 0xf7ffffffffffffff -sm3p0 x21, x19 -sw x21, 40(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x21, 0x0000000000000000) - -inst_6: -// rs1==x30, rd==x2, rs1_val == 18158513697557839871, -// opcode: sm3p0 ; op1:x30; dest:x2; op1val:0xfbffffffffffffff; -li x30, 0xfbffffffffffffff -sm3p0 x2, x30 -sw x2, 48(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x2, 0x0000000000000000) - -inst_7: -// rs1==x21, rd==x14, rs1_val == 18302628885633695743, -// opcode: sm3p0 ; op1:x21; dest:x14; op1val:0xfdffffffffffffff; -li x21, 0xfdffffffffffffff -sm3p0 x14, x21 -sw x14, 56(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x14, 0x0000000000000000) - -inst_8: -// rs1==x7, rd==x0, rs1_val == 18374686479671623679, -// opcode: sm3p0 ; op1:x7; dest:x0; op1val:0xfeffffffffffffff; -li x7, 0xfeffffffffffffff -sm3p0 x0, x7 -sw x0, 64(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x0, 0x0000000000000000) - -inst_9: -// rs1==x3, rd==x12, rs1_val == 18410715276690587647, -// opcode: sm3p0 ; op1:x3; dest:x12; op1val:0xff7fffffffffffff; -li x3, 0xff7fffffffffffff -sm3p0 x12, x3 -sw x12, 72(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x12, 0x0000000000000000) - -inst_10: -// rs1==x31, rd==x9, rs1_val == 18428729675200069631, -// opcode: sm3p0 ; op1:x31; dest:x9; op1val:0xffbfffffffffffff; -li x31, 0xffbfffffffffffff -sm3p0 x9, x31 -sw x9, 80(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x9, 0x0000000000000000) - -inst_11: -// rs1==x29, rd==x11, rs1_val == 18437736874454810623, -// opcode: sm3p0 ; op1:x29; dest:x11; op1val:0xffdfffffffffffff; -li x29, 0xffdfffffffffffff -sm3p0 x11, x29 -sw x11, 88(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x11, 0x0000000000000000) - -inst_12: -// rs1==x10, rd==x23, rs1_val == 18442240474082181119, -// opcode: sm3p0 ; op1:x10; dest:x23; op1val:0xffefffffffffffff; -li x10, 0xffefffffffffffff -sm3p0 x23, x10 -sw x23, 96(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x23, 0x0000000000000000) - -inst_13: -// rs1==x0, rd==x1, rs1_val == 18444492273895866367, -// opcode: sm3p0 ; op1:x0; dest:x1; op1val:0x0; -li x0, 0x0 -sm3p0 x1, x0 -sw x1, 104(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x1, 0x0000000000000000) - -inst_14: -// rs1==x28, rd==x6, rs1_val == 18445618173802708991, -// opcode: sm3p0 ; op1:x28; dest:x6; op1val:0xfffbffffffffffff; -li x28, 0xfffbffffffffffff -sm3p0 x6, x28 -sw x6, 112(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x6, 0x0000000000000000) - -inst_15: -// rs1==x22, rd==x28, rs1_val == 18446181123756130303, -// opcode: sm3p0 ; op1:x22; dest:x28; op1val:0xfffdffffffffffff; -li x22, 0xfffdffffffffffff -sm3p0 x28, x22 -sw x28, 120(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x28, 0x0000000000000000) - -inst_16: -// rs1==x8, rd==x30, rs1_val == 18446462598732840959, -// opcode: sm3p0 ; op1:x8; dest:x30; op1val:0xfffeffffffffffff; -li x8, 0xfffeffffffffffff -sm3p0 x30, x8 -sw x30, 128(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x30, 0x0000000000000000) - -inst_17: -// rs1==x5, rd==x4, rs1_val == 18446603336221196287, -// opcode: sm3p0 ; op1:x5; dest:x4; op1val:0xffff7fffffffffff; -li x5, 0xffff7fffffffffff -sm3p0 x4, x5 -sw x4, 136(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x18, x4, 0x0000000000000000) - -inst_18: -// rs1==x11, rd==x5, rs1_val == 18446673704965373951, -// opcode: sm3p0 ; op1:x11; dest:x5; op1val:0xffffbfffffffffff; -li x11, 0xffffbfffffffffff -sm3p0 x5, x11 -sw x5, 144(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x5, 0x0000000000000000) - -inst_19: -// rs1==x24, rd==x10, rs1_val == 18446708889337462783, -// opcode: sm3p0 ; op1:x24; dest:x10; op1val:0xffffdfffffffffff; -li x24, 0xffffdfffffffffff -sm3p0 x10, x24 -sw x10, 152(x17) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x10, 0x0000000000000000) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_20: -// rs1==x17, rd==x20, rs1_val == 18446726481523507199, -// opcode: sm3p0 ; op1:x17; dest:x20; op1val:0xffffefffffffffff; -li x17, 0xffffefffffffffff -sm3p0 x20, x17 -sw x20, 0(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x20, 0x0000000000000000) - -inst_21: -// rs1==x2, rd==x19, rs1_val == 18446735277616529407, -// opcode: sm3p0 ; op1:x2; dest:x19; op1val:0xfffff7ffffffffff; -li x2, 0xfffff7ffffffffff -sm3p0 x19, x2 -sw x19, 8(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x19, 0x0000000000000000) - -inst_22: -// rs1==x1, rd==x24, rs1_val == 18446739675663040511, -// opcode: sm3p0 ; op1:x1; dest:x24; op1val:0xfffffbffffffffff; -li x1, 0xfffffbffffffffff -sm3p0 x24, x1 -sw x24, 16(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x24, 0x0000000000000000) - -inst_23: -// rs1==x12, rd==x31, rs1_val == 18446741874686296063, -// opcode: sm3p0 ; op1:x12; dest:x31; op1val:0xfffffdffffffffff; -li x12, 0xfffffdffffffffff -sm3p0 x31, x12 -sw x31, 24(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x31, 0x0000000000000000) - -inst_24: -// rs1==x26, rd==x7, rs1_val == 18446742974197923839, -// opcode: sm3p0 ; op1:x26; dest:x7; op1val:0xfffffeffffffffff; -li x26, 0xfffffeffffffffff -sm3p0 x7, x26 -sw x7, 32(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x7, 0x0000000000000000) - -inst_25: -// rs1==x15, rd==x18, rs1_val == 18446743523953737727, -// opcode: sm3p0 ; op1:x15; dest:x18; op1val:0xffffff7fffffffff; -li x15, 0xffffff7fffffffff -sm3p0 x18, x15 -sw x18, 40(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x18, 0x0000000000000000) - -inst_26: -// rs1==x6, rd==x26, rs1_val == 18446743798831644671, -// opcode: sm3p0 ; op1:x6; dest:x26; op1val:0xffffffbfffffffff; -li x6, 0xffffffbfffffffff -sm3p0 x26, x6 -sw x26, 48(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x26, 0x0000000000000000) - -inst_27: -// rs1==x25, rd==x13, rs1_val == 18446743936270598143, -// opcode: sm3p0 ; op1:x25; dest:x13; op1val:0xffffffdfffffffff; -li x25, 0xffffffdfffffffff -sm3p0 x13, x25 -sw x13, 56(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x13, 0x0000000000000000) - -inst_28: -// rs1==x9, rd==x27, rs1_val == 18446744004990074879, -// opcode: sm3p0 ; op1:x9; dest:x27; op1val:0xffffffefffffffff; -li x9, 0xffffffefffffffff -sm3p0 x27, x9 -sw x27, 64(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x27, 0x0000000000000000) - -inst_29: -// rs1==x23, rd==x8, rs1_val == 18446744039349813247, -// opcode: sm3p0 ; op1:x23; dest:x8; op1val:0xfffffff7ffffffff; -li x23, 0xfffffff7ffffffff -sm3p0 x8, x23 -sw x8, 72(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x8, 0x0000000000000000) - -inst_30: -// rs1==x18, rd==x17, rs1_val == 18446744056529682431, -// opcode: sm3p0 ; op1:x18; dest:x17; op1val:0xfffffffbffffffff; -li x18, 0xfffffffbffffffff -sm3p0 x17, x18 -sw x17, 80(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x17, 0x0000000000000000) - -inst_31: -// rs1==x14, rd==x3, rs1_val == 18446744065119617023, -// opcode: sm3p0 ; op1:x14; dest:x3; op1val:0xfffffffdffffffff; -li x14, 0xfffffffdffffffff -sm3p0 x3, x14 -sw x3, 88(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x3, 0x0000000000000000) - -inst_32: -// rs1_val == 18446744069414584319, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffeffffffff; -li x10, 0xfffffffeffffffff -sm3p0 x11, x10 -sw x11, 96(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_33: -// rs1_val == 18446744071562067967, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffff7fffffff; -li x10, 0xffffffff7fffffff -sm3p0 x11, x10 -sw x11, 104(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_34: -// rs1_val == 18446744072635809791, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffbfffffff; -li x10, 0xffffffffbfffffff -sm3p0 x11, x10 -sw x11, 112(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_35: -// rs1_val == 18446744073172680703, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffdfffffff; -li x10, 0xffffffffdfffffff -sm3p0 x11, x10 -sw x11, 120(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_36: -// rs1_val == 18446744073441116159, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffefffffff; -li x10, 0xffffffffefffffff -sm3p0 x11, x10 -sw x11, 128(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_37: -// rs1_val == 18446744073575333887, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffff7ffffff; -li x10, 0xfffffffff7ffffff -sm3p0 x11, x10 -sw x11, 136(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_38: -// rs1_val == 18446744073642442751, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffbffffff; -li x10, 0xfffffffffbffffff -sm3p0 x11, x10 -sw x11, 144(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_39: -// rs1_val == 18446744073675997183, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffdffffff; -li x10, 0xfffffffffdffffff -sm3p0 x11, x10 -sw x11, 152(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_40: -// rs1_val == 18446744073692774399, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffeffffff; -li x10, 0xfffffffffeffffff -sm3p0 x11, x10 -sw x11, 160(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_41: -// rs1_val == 18446744073701163007, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffff7fffff; -li x10, 0xffffffffff7fffff -sm3p0 x11, x10 -sw x11, 168(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_42: -// rs1_val == 18446744073705357311, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffffbfffff; -li x10, 0xffffffffffbfffff -sm3p0 x11, x10 -sw x11, 176(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_43: -// rs1_val == 18446744073707454463, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffffdfffff; -li x10, 0xffffffffffdfffff -sm3p0 x11, x10 -sw x11, 184(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_44: -// rs1_val == 18446744073708503039, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffffefffff; -li x10, 0xffffffffffefffff -sm3p0 x11, x10 -sw x11, 192(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_45: -// rs1_val == 18446744073709027327, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffff7ffff; -li x10, 0xfffffffffff7ffff -sm3p0 x11, x10 -sw x11, 200(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_46: -// rs1_val == 18446744073709289471, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffffbffff; -li x10, 0xfffffffffffbffff -sm3p0 x11, x10 -sw x11, 208(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_47: -// rs1_val == 18446744073709420543, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffffdffff; -li x10, 0xfffffffffffdffff -sm3p0 x11, x10 -sw x11, 216(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_48: -// rs1_val == 18446744073709486079, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffffeffff; -li x10, 0xfffffffffffeffff -sm3p0 x11, x10 -sw x11, 224(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_49: -// rs1_val == 18446744073709518847, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffffff7fff; -li x10, 0xffffffffffff7fff -sm3p0 x11, x10 -sw x11, 232(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_50: -// rs1_val == 18446744073709535231, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffffffbfff; -li x10, 0xffffffffffffbfff -sm3p0 x11, x10 -sw x11, 240(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_51: -// rs1_val == 18446744073709543423, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffffffdfff; -li x10, 0xffffffffffffdfff -sm3p0 x11, x10 -sw x11, 248(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_52: -// rs1_val == 18446744073709547519, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffffffefff; -li x10, 0xffffffffffffefff -sm3p0 x11, x10 -sw x11, 256(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_53: -// rs1_val == 18446744073709549567, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffffff7ff; -li x10, 0xfffffffffffff7ff -sm3p0 x11, x10 -sw x11, 264(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_54: -// rs1_val == 18446744073709550591, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffffffbff; -li x10, 0xfffffffffffffbff -sm3p0 x11, x10 -sw x11, 272(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_55: -// rs1_val == 18446744073709551103, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffffffdff; -li x10, 0xfffffffffffffdff -sm3p0 x11, x10 -sw x11, 280(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_56: -// rs1_val == 18446744073709551359, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffffffeff; -li x10, 0xfffffffffffffeff -sm3p0 x11, x10 -sw x11, 288(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_57: -// rs1_val == 18446744073709551487, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffffffff7f; -li x10, 0xffffffffffffff7f -sm3p0 x11, x10 -sw x11, 296(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_58: -// rs1_val == 18446744073709551551, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffffffffbf; -li x10, 0xffffffffffffffbf -sm3p0 x11, x10 -sw x11, 304(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_59: -// rs1_val == 18446744073709551583, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffffffffdf; -li x10, 0xffffffffffffffdf -sm3p0 x11, x10 -sw x11, 312(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_60: -// rs1_val == 18446744073709551599, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xffffffffffffffef; -li x10, 0xffffffffffffffef -sm3p0 x11, x10 -sw x11, 320(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_61: -// rs1_val == 18446744073709551607, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffffffff7; -li x10, 0xfffffffffffffff7 -sm3p0 x11, x10 -sw x11, 328(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_62: -// rs1_val == 18446744073709551611, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffb; -li x10, 0xfffffffffffffffb -sm3p0 x11, x10 -sw x11, 336(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_63: -// rs1_val == 18446744073709551613, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; -li x10, 0xfffffffffffffffd -sm3p0 x11, x10 -sw x11, 344(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_64: -// rs1_val == 18446744073709551614, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; -li x10, 0xfffffffffffffffe -sm3p0 x11, x10 -sw x11, 352(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_65: -// rs1_val == 9223372036854775808, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x8000000000000000; -li x10, 0x8000000000000000 -sm3p0 x11, x10 -sw x11, 360(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_66: -// rs1_val == 4611686018427387904, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x4000000000000000; -li x10, 0x4000000000000000 -sm3p0 x11, x10 -sw x11, 368(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_67: -// rs1_val == 2305843009213693952, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x2000000000000000; -li x10, 0x2000000000000000 -sm3p0 x11, x10 -sw x11, 376(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_68: -// rs1_val == 1152921504606846976, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x1000000000000000; -li x10, 0x1000000000000000 -sm3p0 x11, x10 -sw x11, 384(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_69: -// rs1_val == 576460752303423488, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x800000000000000; -li x10, 0x800000000000000 -sm3p0 x11, x10 -sw x11, 392(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_70: -// rs1_val == 288230376151711744, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x400000000000000; -li x10, 0x400000000000000 -sm3p0 x11, x10 -sw x11, 400(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_71: -// rs1_val == 144115188075855872, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x200000000000000; -li x10, 0x200000000000000 -sm3p0 x11, x10 -sw x11, 408(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_72: -// rs1_val == 72057594037927936, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x100000000000000; -li x10, 0x100000000000000 -sm3p0 x11, x10 -sw x11, 416(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_73: -// rs1_val == 36028797018963968, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x80000000000000; -li x10, 0x80000000000000 -sm3p0 x11, x10 -sw x11, 424(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_74: -// rs1_val == 18014398509481984, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x40000000000000; -li x10, 0x40000000000000 -sm3p0 x11, x10 -sw x11, 432(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_75: -// rs1_val == 9007199254740992, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x20000000000000; -li x10, 0x20000000000000 -sm3p0 x11, x10 -sw x11, 440(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_76: -// rs1_val == 4503599627370496, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x10000000000000; -li x10, 0x10000000000000 -sm3p0 x11, x10 -sw x11, 448(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_77: -// rs1_val == 2251799813685248, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x8000000000000; -li x10, 0x8000000000000 -sm3p0 x11, x10 -sw x11, 456(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_78: -// rs1_val == 1125899906842624, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x4000000000000; -li x10, 0x4000000000000 -sm3p0 x11, x10 -sw x11, 464(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_79: -// rs1_val == 562949953421312, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x2000000000000; -li x10, 0x2000000000000 -sm3p0 x11, x10 -sw x11, 472(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_80: -// rs1_val == 281474976710656, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x1000000000000; -li x10, 0x1000000000000 -sm3p0 x11, x10 -sw x11, 480(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_81: -// rs1_val == 140737488355328, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x800000000000; -li x10, 0x800000000000 -sm3p0 x11, x10 -sw x11, 488(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_82: -// rs1_val == 70368744177664, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x400000000000; -li x10, 0x400000000000 -sm3p0 x11, x10 -sw x11, 496(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_83: -// rs1_val == 35184372088832, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x200000000000; -li x10, 0x200000000000 -sm3p0 x11, x10 -sw x11, 504(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_84: -// rs1_val == 17592186044416, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x100000000000; -li x10, 0x100000000000 -sm3p0 x11, x10 -sw x11, 512(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_85: -// rs1_val == 1, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x1; -li x10, 0x1 -sm3p0 x11, x10 -sw x11, 520(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_86: -// rs1_val == 0xb6f9706fb4f741aa -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xb6f9706fb4f741aa; -li x10, 0xb6f9706fb4f741aa -sm3p0 x11, x10 -sw x11, 528(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_87: -// rs1_val == 0x40a5ff526f38a9c7 -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x40a5ff526f38a9c7; -li x10, 0x40a5ff526f38a9c7 -sm3p0 x11, x10 -sw x11, 536(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_88: -// rs1_val == 0xd05668ae0fdb82bc -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xd05668ae0fdb82bc; -li x10, 0xd05668ae0fdb82bc -sm3p0 x11, x10 -sw x11, 544(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_89: -// rs1_val == 0x9bedfe390d6ddd9d -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x9bedfe390d6ddd9d; -li x10, 0x9bedfe390d6ddd9d -sm3p0 x11, x10 -sw x11, 552(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_90: -// rs1_val == 0xaa6bb2bde9ed477d -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xaa6bb2bde9ed477d; -li x10, 0xaa6bb2bde9ed477d -sm3p0 x11, x10 -sw x11, 560(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_91: -// rs1_val == 0xd75739f82ac177c6 -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xd75739f82ac177c6; -li x10, 0xd75739f82ac177c6 -sm3p0 x11, x10 -sw x11, 568(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_92: -// rs1_val == 0x299c3bcf90efb625 -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x299c3bcf90efb625; -li x10, 0x299c3bcf90efb625 -sm3p0 x11, x10 -sw x11, 576(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_93: -// rs1_val == 0x9a4e9ef10171f4df -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x9a4e9ef10171f4df; -li x10, 0x9a4e9ef10171f4df -sm3p0 x11, x10 -sw x11, 584(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_94: -// rs1_val == 0x1fc493caa371db42 -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x1fc493caa371db42; -li x10, 0x1fc493caa371db42 -sm3p0 x11, x10 -sw x11, 592(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_95: -// rs1_val == 0xd169a3f8cad5e297 -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xd169a3f8cad5e297; -li x10, 0xd169a3f8cad5e297 -sm3p0 x11, x10 -sw x11, 600(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_96: -// rs1_val == 0xf4c30307672f666d -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xf4c30307672f666d; -li x10, 0xf4c30307672f666d -sm3p0 x11, x10 -sw x11, 608(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_97: -// rs1_val == 0xd5b9fe5cf69bdcf3 -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xd5b9fe5cf69bdcf3; -li x10, 0xd5b9fe5cf69bdcf3 -sm3p0 x11, x10 -sw x11, 616(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_98: -// rs1_val == 0xa0569d765ebc64cb -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xa0569d765ebc64cb; -li x10, 0xa0569d765ebc64cb -sm3p0 x11, x10 -sw x11, 624(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_99: -// rs1_val == 0xe4921bf73047c198 -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xe4921bf73047c198; -li x10, 0xe4921bf73047c198 -sm3p0 x11, x10 -sw x11, 632(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_100: -// rs1_val == 0x2daf9ac7f5faf207 -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x2daf9ac7f5faf207; -li x10, 0x2daf9ac7f5faf207 -sm3p0 x11, x10 -sw x11, 640(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_101: -// rs1_val == 0xfcc1b543c49cd65b -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfcc1b543c49cd65b; -li x10, 0xfcc1b543c49cd65b -sm3p0 x11, x10 -sw x11, 648(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_102: -// rs1_val == 0x3459294ef273b44c -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x3459294ef273b44c; -li x10, 0x3459294ef273b44c -sm3p0 x11, x10 -sw x11, 656(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_103: -// rs1_val == 0x436f40f274b8de87 -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x436f40f274b8de87; -li x10, 0x436f40f274b8de87 -sm3p0 x11, x10 -sw x11, 664(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_104: -// rs1_val == 0xc5521660f3a3c571 -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xc5521660f3a3c571; -li x10, 0xc5521660f3a3c571 -sm3p0 x11, x10 -sw x11, 672(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_105: -// rs1_val == 8796093022208, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x80000000000; -li x10, 0x80000000000 -sm3p0 x11, x10 -sw x11, 680(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_106: -// rs1_val == 4398046511104, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x40000000000; -li x10, 0x40000000000 -sm3p0 x11, x10 -sw x11, 688(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_107: -// rs1_val == 2199023255552, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x20000000000; -li x10, 0x20000000000 -sm3p0 x11, x10 -sw x11, 696(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_108: -// rs1_val == 1099511627776, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x10000000000; -li x10, 0x10000000000 -sm3p0 x11, x10 -sw x11, 704(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_109: -// rs1_val == 549755813888, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x8000000000; -li x10, 0x8000000000 -sm3p0 x11, x10 -sw x11, 712(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_110: -// rs1_val == 274877906944, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x4000000000; -li x10, 0x4000000000 -sm3p0 x11, x10 -sw x11, 720(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_111: -// rs1_val == 137438953472, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x2000000000; -li x10, 0x2000000000 -sm3p0 x11, x10 -sw x11, 728(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_112: -// rs1_val == 68719476736, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x1000000000; -li x10, 0x1000000000 -sm3p0 x11, x10 -sw x11, 736(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_113: -// rs1_val == 34359738368, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x800000000; -li x10, 0x800000000 -sm3p0 x11, x10 -sw x11, 744(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_114: -// rs1_val == 17179869184, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x400000000; -li x10, 0x400000000 -sm3p0 x11, x10 -sw x11, 752(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_115: -// rs1_val == 8589934592, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x200000000; -li x10, 0x200000000 -sm3p0 x11, x10 -sw x11, 760(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_116: -// rs1_val == 4294967296, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x100000000; -li x10, 0x100000000 -sm3p0 x11, x10 -sw x11, 768(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_117: -// rs1_val == 2147483648, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x80000000; -li x10, 0x80000000 -sm3p0 x11, x10 -sw x11, 776(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_118: -// rs1_val == 1073741824, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x40000000; -li x10, 0x40000000 -sm3p0 x11, x10 -sw x11, 784(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_119: -// rs1_val == 536870912, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x20000000; -li x10, 0x20000000 -sm3p0 x11, x10 -sw x11, 792(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_120: -// rs1_val == 268435456, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x10000000; -li x10, 0x10000000 -sm3p0 x11, x10 -sw x11, 800(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_121: -// rs1_val == 134217728, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x8000000; -li x10, 0x8000000 -sm3p0 x11, x10 -sw x11, 808(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_122: -// rs1_val == 67108864, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x4000000; -li x10, 0x4000000 -sm3p0 x11, x10 -sw x11, 816(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_123: -// rs1_val == 33554432, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x2000000; -li x10, 0x2000000 -sm3p0 x11, x10 -sw x11, 824(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_124: -// rs1_val == 16777216, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x1000000; -li x10, 0x1000000 -sm3p0 x11, x10 -sw x11, 832(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_125: -// rs1_val == 8388608, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x800000; -li x10, 0x800000 -sm3p0 x11, x10 -sw x11, 840(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_126: -// rs1_val == 4194304, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x400000; -li x10, 0x400000 -sm3p0 x11, x10 -sw x11, 848(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_127: -// rs1_val == 2097152, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x200000; -li x10, 0x200000 -sm3p0 x11, x10 -sw x11, 856(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_128: -// rs1_val == 1048576, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x100000; -li x10, 0x100000 -sm3p0 x11, x10 -sw x11, 864(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_129: -// rs1_val == 524288, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x80000; -li x10, 0x80000 -sm3p0 x11, x10 -sw x11, 872(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_130: -// rs1_val == 262144, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x40000; -li x10, 0x40000 -sm3p0 x11, x10 -sw x11, 880(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_131: -// rs1_val == 131072, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x20000; -li x10, 0x20000 -sm3p0 x11, x10 -sw x11, 888(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_132: -// rs1_val == 65536, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x10000; -li x10, 0x10000 -sm3p0 x11, x10 -sw x11, 896(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_133: -// rs1_val == 32768, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x8000; -li x10, 0x8000 -sm3p0 x11, x10 -sw x11, 904(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_134: -// rs1_val == 16384, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x4000; -li x10, 0x4000 -sm3p0 x11, x10 -sw x11, 912(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_135: -// rs1_val == 8192, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x2000; -li x10, 0x2000 -sm3p0 x11, x10 -sw x11, 920(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_136: -// rs1_val == 4096, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x1000; -li x10, 0x1000 -sm3p0 x11, x10 -sw x11, 928(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_137: -// rs1_val == 2048, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x800; -li x10, 0x800 -sm3p0 x11, x10 -sw x11, 936(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_138: -// rs1_val == 1024, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x400; -li x10, 0x400 -sm3p0 x11, x10 -sw x11, 944(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_139: -// rs1_val == 512, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x200; -li x10, 0x200 -sm3p0 x11, x10 -sw x11, 952(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_140: -// rs1_val == 256, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x100; -li x10, 0x100 -sm3p0 x11, x10 -sw x11, 960(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_141: -// rs1_val == 128, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x80; -li x10, 0x80 -sm3p0 x11, x10 -sw x11, 968(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_142: -// rs1_val == 64, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x40; -li x10, 0x40 -sm3p0 x11, x10 -sw x11, 976(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_143: -// rs1_val == 32, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x20; -li x10, 0x20 -sm3p0 x11, x10 -sw x11, 984(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_144: -// rs1_val == 16, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x10; -li x10, 0x10 -sm3p0 x11, x10 -sw x11, 992(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_145: -// rs1_val == 8, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x8; -li x10, 0x8 -sm3p0 x11, x10 -sw x11, 1000(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_146: -// rs1_val == 4, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x4; -li x10, 0x4 -sm3p0 x11, x10 -sw x11, 1008(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_147: -// rs1_val == 2, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0x2; -li x10, 0x2 -sm3p0 x11, x10 -sw x11, 1016(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_148: -// rs1_val == 18374686479671623679, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfeffffffffffffff; -li x10, 0xfeffffffffffffff -sm3p0 x11, x10 -sw x11, 1024(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) - -inst_149: -// rs1_val == 18444492273895866367, -// opcode: sm3p0 ; op1:x10; dest:x11; op1val:0xfff7ffffffffffff; -li x10, 0xfff7ffffffffffff -sm3p0 x11, x10 -sw x11, 1032(x4) -RVMODEL_IO_ASSERT_GPR_EQ(x16, x11, 0x0000000000000000) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x17_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x17_1: - .fill 20*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 130*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp1.S deleted file mode 100644 index db0556e96..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp1.S +++ /dev/null @@ -1,972 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sm3p0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKs.*);def TEST_CASE_1=True;",sm3p0) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x3, x1, x2; -sm3p0 x1, x3; -xor x4, x1, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x1,8); -RVTEST_SIGUPD(x31,x4,16); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -not x3, x2; -sm3p0 x1, x3; -not x4, x1; -RVTEST_SIGUPD(x31,x3,24); -RVTEST_SIGUPD(x31,x1,32); -RVTEST_SIGUPD(x31,x4,40); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -add x3, x1, x2; -sm3p0 x1, x3; -add x4, x1, x2; -RVTEST_SIGUPD(x31,x3,48); -RVTEST_SIGUPD(x31,x1,56); -RVTEST_SIGUPD(x31,x4,64); - -inst_1: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x4, x2, x3; -sm3p0 x2, x4; -xor x5, x2, x3; -RVTEST_SIGUPD(x31,x4,72); -RVTEST_SIGUPD(x31,x2,80); -RVTEST_SIGUPD(x31,x5,88); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -not x4, x3; -sm3p0 x2, x4; -not x5, x2; -RVTEST_SIGUPD(x31,x4,96); -RVTEST_SIGUPD(x31,x2,104); -RVTEST_SIGUPD(x31,x5,112); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -add x4, x2, x3; -sm3p0 x2, x4; -add x5, x2, x3; -RVTEST_SIGUPD(x31,x4,120); -RVTEST_SIGUPD(x31,x2,128); -RVTEST_SIGUPD(x31,x5,136); - -inst_2: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x5, x3, x4; -sm3p0 x3, x5; -xor x6, x3, x4; -RVTEST_SIGUPD(x31,x5,144); -RVTEST_SIGUPD(x31,x3,152); -RVTEST_SIGUPD(x31,x6,160); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -not x5, x4; -sm3p0 x3, x5; -not x6, x3; -RVTEST_SIGUPD(x31,x5,168); -RVTEST_SIGUPD(x31,x3,176); -RVTEST_SIGUPD(x31,x6,184); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -add x5, x3, x4; -sm3p0 x3, x5; -add x6, x3, x4; -RVTEST_SIGUPD(x31,x5,192); -RVTEST_SIGUPD(x31,x3,200); -RVTEST_SIGUPD(x31,x6,208); - -inst_3: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x6, x4, x5; -sm3p0 x4, x6; -xor x7, x4, x5; -RVTEST_SIGUPD(x31,x6,216); -RVTEST_SIGUPD(x31,x4,224); -RVTEST_SIGUPD(x31,x7,232); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -not x6, x5; -sm3p0 x4, x6; -not x7, x4; -RVTEST_SIGUPD(x31,x6,240); -RVTEST_SIGUPD(x31,x4,248); -RVTEST_SIGUPD(x31,x7,256); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -add x6, x4, x5; -sm3p0 x4, x6; -add x7, x4, x5; -RVTEST_SIGUPD(x31,x6,264); -RVTEST_SIGUPD(x31,x4,272); -RVTEST_SIGUPD(x31,x7,280); - -inst_4: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x7, x5, x6; -sm3p0 x5, x7; -xor x8, x5, x6; -RVTEST_SIGUPD(x31,x7,288); -RVTEST_SIGUPD(x31,x5,296); -RVTEST_SIGUPD(x31,x8,304); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -not x7, x6; -sm3p0 x5, x7; -not x8, x5; -RVTEST_SIGUPD(x31,x7,312); -RVTEST_SIGUPD(x31,x5,320); -RVTEST_SIGUPD(x31,x8,328); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -add x7, x5, x6; -sm3p0 x5, x7; -add x8, x5, x6; -RVTEST_SIGUPD(x31,x7,336); -RVTEST_SIGUPD(x31,x5,344); -RVTEST_SIGUPD(x31,x8,352); - -inst_5: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x8, x6, x7; -sm3p0 x6, x8; -xor x9, x6, x7; -RVTEST_SIGUPD(x31,x8,360); -RVTEST_SIGUPD(x31,x6,368); -RVTEST_SIGUPD(x31,x9,376); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -not x8, x7; -sm3p0 x6, x8; -not x9, x6; -RVTEST_SIGUPD(x31,x8,384); -RVTEST_SIGUPD(x31,x6,392); -RVTEST_SIGUPD(x31,x9,400); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -add x8, x6, x7; -sm3p0 x6, x8; -add x9, x6, x7; -RVTEST_SIGUPD(x31,x8,408); -RVTEST_SIGUPD(x31,x6,416); -RVTEST_SIGUPD(x31,x9,424); - -inst_6: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x9, x7, x8; -sm3p0 x7, x9; -xor x10, x7, x8; -RVTEST_SIGUPD(x31,x9,432); -RVTEST_SIGUPD(x31,x7,440); -RVTEST_SIGUPD(x31,x10,448); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -not x9, x8; -sm3p0 x7, x9; -not x10, x7; -RVTEST_SIGUPD(x31,x9,456); -RVTEST_SIGUPD(x31,x7,464); -RVTEST_SIGUPD(x31,x10,472); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -add x9, x7, x8; -sm3p0 x7, x9; -add x10, x7, x8; -RVTEST_SIGUPD(x31,x9,480); -RVTEST_SIGUPD(x31,x7,488); -RVTEST_SIGUPD(x31,x10,496); - -inst_7: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x10, x8, x9; -sm3p0 x8, x10; -xor x11, x8, x9; -RVTEST_SIGUPD(x31,x10,504); -RVTEST_SIGUPD(x31,x8,512); -RVTEST_SIGUPD(x31,x11,520); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -not x10, x9; -sm3p0 x8, x10; -not x11, x8; -RVTEST_SIGUPD(x31,x10,528); -RVTEST_SIGUPD(x31,x8,536); -RVTEST_SIGUPD(x31,x11,544); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -add x10, x8, x9; -sm3p0 x8, x10; -add x11, x8, x9; -RVTEST_SIGUPD(x31,x10,552); -RVTEST_SIGUPD(x31,x8,560); -RVTEST_SIGUPD(x31,x11,568); - -inst_8: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x11, x9, x10; -sm3p0 x9, x11; -xor x12, x9, x10; -RVTEST_SIGUPD(x31,x11,576); -RVTEST_SIGUPD(x31,x9,584); -RVTEST_SIGUPD(x31,x12,592); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -not x11, x10; -sm3p0 x9, x11; -not x12, x9; -RVTEST_SIGUPD(x31,x11,600); -RVTEST_SIGUPD(x31,x9,608); -RVTEST_SIGUPD(x31,x12,616); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -add x11, x9, x10; -sm3p0 x9, x11; -add x12, x9, x10; -RVTEST_SIGUPD(x31,x11,624); -RVTEST_SIGUPD(x31,x9,632); -RVTEST_SIGUPD(x31,x12,640); - -inst_9: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x12, x10, x11; -sm3p0 x10, x12; -xor x13, x10, x11; -RVTEST_SIGUPD(x31,x12,648); -RVTEST_SIGUPD(x31,x10,656); -RVTEST_SIGUPD(x31,x13,664); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -not x12, x11; -sm3p0 x10, x12; -not x13, x10; -RVTEST_SIGUPD(x31,x12,672); -RVTEST_SIGUPD(x31,x10,680); -RVTEST_SIGUPD(x31,x13,688); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -add x12, x10, x11; -sm3p0 x10, x12; -add x13, x10, x11; -RVTEST_SIGUPD(x31,x12,696); -RVTEST_SIGUPD(x31,x10,704); -RVTEST_SIGUPD(x31,x13,712); - -inst_10: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x13, x11, x12; -sm3p0 x11, x13; -xor x14, x11, x12; -RVTEST_SIGUPD(x31,x13,720); -RVTEST_SIGUPD(x31,x11,728); -RVTEST_SIGUPD(x31,x14,736); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -not x13, x12; -sm3p0 x11, x13; -not x14, x11; -RVTEST_SIGUPD(x31,x13,744); -RVTEST_SIGUPD(x31,x11,752); -RVTEST_SIGUPD(x31,x14,760); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -add x13, x11, x12; -sm3p0 x11, x13; -add x14, x11, x12; -RVTEST_SIGUPD(x31,x13,768); -RVTEST_SIGUPD(x31,x11,776); -RVTEST_SIGUPD(x31,x14,784); - -inst_11: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x14, x12, x13; -sm3p0 x12, x14; -xor x15, x12, x13; -RVTEST_SIGUPD(x31,x14,792); -RVTEST_SIGUPD(x31,x12,800); -RVTEST_SIGUPD(x31,x15,808); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -not x14, x13; -sm3p0 x12, x14; -not x15, x12; -RVTEST_SIGUPD(x31,x14,816); -RVTEST_SIGUPD(x31,x12,824); -RVTEST_SIGUPD(x31,x15,832); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -add x14, x12, x13; -sm3p0 x12, x14; -add x15, x12, x13; -RVTEST_SIGUPD(x31,x14,840); -RVTEST_SIGUPD(x31,x12,848); -RVTEST_SIGUPD(x31,x15,856); - -inst_12: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x15, x13, x14; -sm3p0 x13, x15; -xor x16, x13, x14; -RVTEST_SIGUPD(x31,x15,864); -RVTEST_SIGUPD(x31,x13,872); -RVTEST_SIGUPD(x31,x16,880); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -not x15, x14; -sm3p0 x13, x15; -not x16, x13; -RVTEST_SIGUPD(x31,x15,888); -RVTEST_SIGUPD(x31,x13,896); -RVTEST_SIGUPD(x31,x16,904); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -add x15, x13, x14; -sm3p0 x13, x15; -add x16, x13, x14; -RVTEST_SIGUPD(x31,x15,912); -RVTEST_SIGUPD(x31,x13,920); -RVTEST_SIGUPD(x31,x16,928); - -inst_13: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x16, x14, x15; -sm3p0 x14, x16; -xor x17, x14, x15; -RVTEST_SIGUPD(x31,x16,936); -RVTEST_SIGUPD(x31,x14,944); -RVTEST_SIGUPD(x31,x17,952); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -not x16, x15; -sm3p0 x14, x16; -not x17, x14; -RVTEST_SIGUPD(x31,x16,960); -RVTEST_SIGUPD(x31,x14,968); -RVTEST_SIGUPD(x31,x17,976); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -add x16, x14, x15; -sm3p0 x14, x16; -add x17, x14, x15; -RVTEST_SIGUPD(x31,x16,984); -RVTEST_SIGUPD(x31,x14,992); -RVTEST_SIGUPD(x31,x17,1000); - -inst_14: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x17, x15, x16; -sm3p0 x15, x17; -xor x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1008); -RVTEST_SIGUPD(x31,x15,1016); -RVTEST_SIGUPD(x31,x18,1024); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -not x17, x16; -sm3p0 x15, x17; -not x18, x15; -RVTEST_SIGUPD(x31,x17,1032); -RVTEST_SIGUPD(x31,x15,1040); -RVTEST_SIGUPD(x31,x18,1048); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -add x17, x15, x16; -sm3p0 x15, x17; -add x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1056); -RVTEST_SIGUPD(x31,x15,1064); -RVTEST_SIGUPD(x31,x18,1072); - -inst_15: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x18, x16, x17; -sm3p0 x16, x18; -xor x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1080); -RVTEST_SIGUPD(x31,x16,1088); -RVTEST_SIGUPD(x31,x19,1096); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -not x18, x17; -sm3p0 x16, x18; -not x19, x16; -RVTEST_SIGUPD(x31,x18,1104); -RVTEST_SIGUPD(x31,x16,1112); -RVTEST_SIGUPD(x31,x19,1120); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -add x18, x16, x17; -sm3p0 x16, x18; -add x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1128); -RVTEST_SIGUPD(x31,x16,1136); -RVTEST_SIGUPD(x31,x19,1144); - -inst_16: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x19, x17, x18; -sm3p0 x17, x19; -xor x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1152); -RVTEST_SIGUPD(x31,x17,1160); -RVTEST_SIGUPD(x31,x20,1168); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -not x19, x18; -sm3p0 x17, x19; -not x20, x17; -RVTEST_SIGUPD(x31,x19,1176); -RVTEST_SIGUPD(x31,x17,1184); -RVTEST_SIGUPD(x31,x20,1192); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -add x19, x17, x18; -sm3p0 x17, x19; -add x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1200); -RVTEST_SIGUPD(x31,x17,1208); -RVTEST_SIGUPD(x31,x20,1216); - -inst_17: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x20, x18, x19; -sm3p0 x18, x20; -xor x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1224); -RVTEST_SIGUPD(x31,x18,1232); -RVTEST_SIGUPD(x31,x21,1240); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -not x20, x19; -sm3p0 x18, x20; -not x21, x18; -RVTEST_SIGUPD(x31,x20,1248); -RVTEST_SIGUPD(x31,x18,1256); -RVTEST_SIGUPD(x31,x21,1264); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -add x20, x18, x19; -sm3p0 x18, x20; -add x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1272); -RVTEST_SIGUPD(x31,x18,1280); -RVTEST_SIGUPD(x31,x21,1288); - -inst_18: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x21, x19, x20; -sm3p0 x19, x21; -xor x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1296); -RVTEST_SIGUPD(x31,x19,1304); -RVTEST_SIGUPD(x31,x22,1312); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -not x21, x20; -sm3p0 x19, x21; -not x22, x19; -RVTEST_SIGUPD(x31,x21,1320); -RVTEST_SIGUPD(x31,x19,1328); -RVTEST_SIGUPD(x31,x22,1336); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -add x21, x19, x20; -sm3p0 x19, x21; -add x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1344); -RVTEST_SIGUPD(x31,x19,1352); -RVTEST_SIGUPD(x31,x22,1360); - -inst_19: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x22, x20, x21; -sm3p0 x20, x22; -xor x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1368); -RVTEST_SIGUPD(x31,x20,1376); -RVTEST_SIGUPD(x31,x23,1384); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -not x22, x21; -sm3p0 x20, x22; -not x23, x20; -RVTEST_SIGUPD(x31,x22,1392); -RVTEST_SIGUPD(x31,x20,1400); -RVTEST_SIGUPD(x31,x23,1408); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -add x22, x20, x21; -sm3p0 x20, x22; -add x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1416); -RVTEST_SIGUPD(x31,x20,1424); -RVTEST_SIGUPD(x31,x23,1432); - -inst_20: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x23, x21, x22; -sm3p0 x21, x23; -xor x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1440); -RVTEST_SIGUPD(x31,x21,1448); -RVTEST_SIGUPD(x31,x24,1456); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -not x23, x22; -sm3p0 x21, x23; -not x24, x21; -RVTEST_SIGUPD(x31,x23,1464); -RVTEST_SIGUPD(x31,x21,1472); -RVTEST_SIGUPD(x31,x24,1480); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -add x23, x21, x22; -sm3p0 x21, x23; -add x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1488); -RVTEST_SIGUPD(x31,x21,1496); -RVTEST_SIGUPD(x31,x24,1504); - -inst_21: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x24, x22, x23; -sm3p0 x22, x24; -xor x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1512); -RVTEST_SIGUPD(x31,x22,1520); -RVTEST_SIGUPD(x31,x25,1528); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -not x24, x23; -sm3p0 x22, x24; -not x25, x22; -RVTEST_SIGUPD(x31,x24,1536); -RVTEST_SIGUPD(x31,x22,1544); -RVTEST_SIGUPD(x31,x25,1552); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -add x24, x22, x23; -sm3p0 x22, x24; -add x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1560); -RVTEST_SIGUPD(x31,x22,1568); -RVTEST_SIGUPD(x31,x25,1576); - -inst_22: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x25, x23, x24; -sm3p0 x23, x25; -xor x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1584); -RVTEST_SIGUPD(x31,x23,1592); -RVTEST_SIGUPD(x31,x26,1600); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -not x25, x24; -sm3p0 x23, x25; -not x26, x23; -RVTEST_SIGUPD(x31,x25,1608); -RVTEST_SIGUPD(x31,x23,1616); -RVTEST_SIGUPD(x31,x26,1624); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -add x25, x23, x24; -sm3p0 x23, x25; -add x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1632); -RVTEST_SIGUPD(x31,x23,1640); -RVTEST_SIGUPD(x31,x26,1648); - -inst_23: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x26, x24, x25; -sm3p0 x24, x26; -xor x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1656); -RVTEST_SIGUPD(x31,x24,1664); -RVTEST_SIGUPD(x31,x27,1672); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -not x26, x25; -sm3p0 x24, x26; -not x27, x24; -RVTEST_SIGUPD(x31,x26,1680); -RVTEST_SIGUPD(x31,x24,1688); -RVTEST_SIGUPD(x31,x27,1696); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -add x26, x24, x25; -sm3p0 x24, x26; -add x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1704); -RVTEST_SIGUPD(x31,x24,1712); -RVTEST_SIGUPD(x31,x27,1720); - -inst_24: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x27, x25, x26; -sm3p0 x25, x27; -xor x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1728); -RVTEST_SIGUPD(x31,x25,1736); -RVTEST_SIGUPD(x31,x28,1744); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -not x27, x26; -sm3p0 x25, x27; -not x28, x25; -RVTEST_SIGUPD(x31,x27,1752); -RVTEST_SIGUPD(x31,x25,1760); -RVTEST_SIGUPD(x31,x28,1768); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -add x27, x25, x26; -sm3p0 x25, x27; -add x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1776); -RVTEST_SIGUPD(x31,x25,1784); -RVTEST_SIGUPD(x31,x28,1792); - -inst_25: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x28, x26, x27; -sm3p0 x26, x28; -xor x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1800); -RVTEST_SIGUPD(x31,x26,1808); -RVTEST_SIGUPD(x31,x29,1816); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -not x28, x27; -sm3p0 x26, x28; -not x29, x26; -RVTEST_SIGUPD(x31,x28,1824); -RVTEST_SIGUPD(x31,x26,1832); -RVTEST_SIGUPD(x31,x29,1840); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -add x28, x26, x27; -sm3p0 x26, x28; -add x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1848); -RVTEST_SIGUPD(x31,x26,1856); -RVTEST_SIGUPD(x31,x29,1864); - -inst_26: -// Forwarded xor into sm3p0 & the result back into xor -// opcode: sm3p0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -xor x29, x27, x28; -sm3p0 x27, x29; -xor x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1872); -RVTEST_SIGUPD(x31,x27,1880); -RVTEST_SIGUPD(x31,x30,1888); - -// Forwarded not into sm3p0 & the result back into not -// opcode: sm3p0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -not x29, x28; -sm3p0 x27, x29; -not x30, x27; -RVTEST_SIGUPD(x31,x29,1896); -RVTEST_SIGUPD(x31,x27,1904); -RVTEST_SIGUPD(x31,x30,1912); - -// Forwarded add into sm3p0 & the result back into add -// opcode: sm3p0; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -add x29, x27, x28; -sm3p0 x27, x29; -add x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1920); -RVTEST_SIGUPD(x31,x27,1928); -RVTEST_SIGUPD(x31,x30,1936); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 243*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp2.S deleted file mode 100644 index 6975f3f44..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp2.S +++ /dev/null @@ -1,307 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sm3p0) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKs.*);def TEST_CASE_1=True;",sm3p0) - -RVTEST_SIGBASE( x31,signature_x31_1) - -la x1, rvtest_data - -inst_0: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x2; dest1:x3; -LREG x2, 0(x1); -sm3p0 x3, x2; -RVTEST_SIGUPD(x31,x2,0); -RVTEST_SIGUPD(x31,x3,8); - -inst_1: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x3; dest1:x4; -LREG x3, 8(x1); -sm3p0 x4, x3; -RVTEST_SIGUPD(x31,x3,16); -RVTEST_SIGUPD(x31,x4,24); - -inst_2: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x4; dest1:x5; -LREG x4, 16(x1); -sm3p0 x5, x4; -RVTEST_SIGUPD(x31,x4,32); -RVTEST_SIGUPD(x31,x5,40); - -inst_3: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x5; dest1:x6; -LREG x5, 24(x1); -sm3p0 x6, x5; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); - -inst_4: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x6; dest1:x7; -LREG x6, 32(x1); -sm3p0 x7, x6; -RVTEST_SIGUPD(x31,x6,64); -RVTEST_SIGUPD(x31,x7,72); - -inst_5: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x7; dest1:x8; -LREG x7, 40(x1); -sm3p0 x8, x7; -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_6: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x8; dest1:x9; -LREG x8, 48(x1); -sm3p0 x9, x8; -RVTEST_SIGUPD(x31,x8,96); -RVTEST_SIGUPD(x31,x9,104); - -inst_7: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x9; dest1:x10; -LREG x9, 56(x1); -sm3p0 x10, x9; -RVTEST_SIGUPD(x31,x9,112); -RVTEST_SIGUPD(x31,x10,120); - -inst_8: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x10; dest1:x11; -LREG x10, 64(x1); -sm3p0 x11, x10; -RVTEST_SIGUPD(x31,x10,128); -RVTEST_SIGUPD(x31,x11,136); - -inst_9: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x11; dest1:x12; -LREG x11, 72(x1); -sm3p0 x12, x11; -RVTEST_SIGUPD(x31,x11,144); -RVTEST_SIGUPD(x31,x12,152); - -inst_10: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x12; dest1:x13; -LREG x12, 80(x1); -sm3p0 x13, x12; -RVTEST_SIGUPD(x31,x12,160); -RVTEST_SIGUPD(x31,x13,168); - -inst_11: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x13; dest1:x14; -LREG x13, 88(x1); -sm3p0 x14, x13; -RVTEST_SIGUPD(x31,x13,176); -RVTEST_SIGUPD(x31,x14,184); - -inst_12: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x14; dest1:x15; -LREG x14, 96(x1); -sm3p0 x15, x14; -RVTEST_SIGUPD(x31,x14,192); -RVTEST_SIGUPD(x31,x15,200); - -inst_13: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x15; dest1:x16; -LREG x15, 104(x1); -sm3p0 x16, x15; -RVTEST_SIGUPD(x31,x15,208); -RVTEST_SIGUPD(x31,x16,216); - -inst_14: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x16; dest1:x17; -LREG x16, 112(x1); -sm3p0 x17, x16; -RVTEST_SIGUPD(x31,x16,224); -RVTEST_SIGUPD(x31,x17,232); - -inst_15: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x17; dest1:x18; -LREG x17, 120(x1); -sm3p0 x18, x17; -RVTEST_SIGUPD(x31,x17,240); -RVTEST_SIGUPD(x31,x18,248); - -inst_16: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x18; dest1:x19; -LREG x18, 128(x1); -sm3p0 x19, x18; -RVTEST_SIGUPD(x31,x18,256); -RVTEST_SIGUPD(x31,x19,264); - -inst_17: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x19; dest1:x20; -LREG x19, 136(x1); -sm3p0 x20, x19; -RVTEST_SIGUPD(x31,x19,272); -RVTEST_SIGUPD(x31,x20,280); - -inst_18: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x20; dest1:x21; -LREG x20, 144(x1); -sm3p0 x21, x20; -RVTEST_SIGUPD(x31,x20,288); -RVTEST_SIGUPD(x31,x21,296); - -inst_19: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x21; dest1:x22; -LREG x21, 152(x1); -sm3p0 x22, x21; -RVTEST_SIGUPD(x31,x21,304); -RVTEST_SIGUPD(x31,x22,312); - -inst_20: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x22; dest1:x23; -LREG x22, 160(x1); -sm3p0 x23, x22; -RVTEST_SIGUPD(x31,x22,320); -RVTEST_SIGUPD(x31,x23,328); - -inst_21: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x23; dest1:x24; -LREG x23, 168(x1); -sm3p0 x24, x23; -RVTEST_SIGUPD(x31,x23,336); -RVTEST_SIGUPD(x31,x24,344); - -inst_22: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x24; dest1:x25; -LREG x24, 176(x1); -sm3p0 x25, x24; -RVTEST_SIGUPD(x31,x24,352); -RVTEST_SIGUPD(x31,x25,360); - -inst_23: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x25; dest1:x26; -LREG x25, 184(x1); -sm3p0 x26, x25; -RVTEST_SIGUPD(x31,x25,368); -RVTEST_SIGUPD(x31,x26,376); - -inst_24: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x26; dest1:x27; -LREG x26, 192(x1); -sm3p0 x27, x26; -RVTEST_SIGUPD(x31,x26,384); -RVTEST_SIGUPD(x31,x27,392); - -inst_25: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x27; dest1:x28; -LREG x27, 200(x1); -sm3p0 x28, x27; -RVTEST_SIGUPD(x31,x27,400); -RVTEST_SIGUPD(x31,x28,408); - -inst_26: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x28; dest1:x29; -LREG x28, 208(x1); -sm3p0 x29, x28; -RVTEST_SIGUPD(x31,x28,416); -RVTEST_SIGUPD(x31,x29,424); - -inst_27: -// Checking load-to-use hazard! -// opcode: sm3p0; op1:x29; dest1:x30; -LREG x29, 216(x1); -sm3p0 x30, x29; -RVTEST_SIGUPD(x31,x29,432); -RVTEST_SIGUPD(x31,x30,440); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.dword 0x08577eb1924770d3 -.dword 0x93fdcab87b89296c -.dword 0xd2d6b8777dc59a3a -.dword 0xcf84b683a749f9c5 -.dword 0x854a965708ceac39 -.dword 0x137a977753e8eb43 -.dword 0x5c74e45eff1e5bef -.dword 0xdc3383836b9f15c4 -.dword 0x5ae6a2289a6ab329 -.dword 0x432779eeacca7f0d -.dword 0xaf949e5e2cb7362c -.dword 0x5cd2875ea96ec2b3 -.dword 0x9d02fc90708cc1b6 -.dword 0x953b00b00b54aa22 -.dword 0x224c06013c53d0e3 -.dword 0xe8dac663f0e58650 -.dword 0x3d7c95f9e5f0307e -.dword 0x8c8a18b2aaac3142 -.dword 0x785036de6f9fb997 -.dword 0x95a4d257a7298c66 -.dword 0x807da245d814d575 -.dword 0x3d06143769b1dcbf -.dword 0x7f21682208208d09 -.dword 0x14b91c79dae98554 -.dword 0xc5ec6148c6880007 -.dword 0x7213516d6a013380 -.dword 0x4652f62dae4839a1 -.dword 0x85986adb9e044706 -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 56*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-01.S deleted file mode 100644 index e2c42c26a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-01.S +++ /dev/null @@ -1,1282 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sm3p1 instruction of the RISC-V extension for the sm3p1 covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I_Zks") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",sm3p1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",sm3p1) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKsh.*);def TEST_CASE_1=True;",sm3p1) - -RVTEST_SIGBASE( x9,signature_x9_1) - -inst_0: -// rs1 != rd, rs1==x16, rd==x20, rs1_val == 0x75a3adb3254a9493 -// opcode: sm3p1 ; op1:x16; dest:x20; op1val:0x75a3adb3254a9493; -li x16, 0x75a3adb3254a9493 -sm3p1 x20, x16 -sw x20, 0(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x20, 0x0000000000000000) - -inst_1: -// rs1 == rd, rs1==x22, rd==x22, rs1_val == 9223372036854775807, -// opcode: sm3p1 ; op1:x22; dest:x22; op1val:0x7fffffffffffffff; -li x22, 0x7fffffffffffffff -sm3p1 x22, x22 -sw x22, 8(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x22, 0x0000000000000000) - -inst_2: -// rs1==x10, rd==x6, rs1_val == 13835058055282163711, -// opcode: sm3p1 ; op1:x10; dest:x6; op1val:0xbfffffffffffffff; -li x10, 0xbfffffffffffffff -sm3p1 x6, x10 -sw x6, 16(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x6, 0x0000000000000000) - -inst_3: -// rs1==x4, rd==x15, rs1_val == 16140901064495857663, -// opcode: sm3p1 ; op1:x4; dest:x15; op1val:0xdfffffffffffffff; -li x4, 0xdfffffffffffffff -sm3p1 x15, x4 -sw x15, 24(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x15, 0x0000000000000000) - -inst_4: -// rs1==x11, rd==x16, rs1_val == 17293822569102704639, -// opcode: sm3p1 ; op1:x11; dest:x16; op1val:0xefffffffffffffff; -li x11, 0xefffffffffffffff -sm3p1 x16, x11 -sw x16, 32(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x16, 0x0000000000000000) - -inst_5: -// rs1==x14, rd==x30, rs1_val == 17870283321406128127, -// opcode: sm3p1 ; op1:x14; dest:x30; op1val:0xf7ffffffffffffff; -li x14, 0xf7ffffffffffffff -sm3p1 x30, x14 -sw x30, 40(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x30, 0x0000000000000000) - -inst_6: -// rs1==x13, rd==x3, rs1_val == 18158513697557839871, -// opcode: sm3p1 ; op1:x13; dest:x3; op1val:0xfbffffffffffffff; -li x13, 0xfbffffffffffffff -sm3p1 x3, x13 -sw x3, 48(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x3, 0x0000000000000000) - -inst_7: -// rs1==x17, rd==x0, rs1_val == 18302628885633695743, -// opcode: sm3p1 ; op1:x17; dest:x0; op1val:0xfdffffffffffffff; -li x17, 0xfdffffffffffffff -sm3p1 x0, x17 -sw x0, 56(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x0, 0x0000000000000000) - -inst_8: -// rs1==x1, rd==x18, rs1_val == 18374686479671623679, -// opcode: sm3p1 ; op1:x1; dest:x18; op1val:0xfeffffffffffffff; -li x1, 0xfeffffffffffffff -sm3p1 x18, x1 -sw x18, 64(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x18, 0x0000000000000000) - -inst_9: -// rs1==x8, rd==x28, rs1_val == 18410715276690587647, -// opcode: sm3p1 ; op1:x8; dest:x28; op1val:0xff7fffffffffffff; -li x8, 0xff7fffffffffffff -sm3p1 x28, x8 -sw x28, 72(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x28, 0x0000000000000000) - -inst_10: -// rs1==x30, rd==x7, rs1_val == 18428729675200069631, -// opcode: sm3p1 ; op1:x30; dest:x7; op1val:0xffbfffffffffffff; -li x30, 0xffbfffffffffffff -sm3p1 x7, x30 -sw x7, 80(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x7, 0x0000000000000000) - -inst_11: -// rs1==x6, rd==x5, rs1_val == 18437736874454810623, -// opcode: sm3p1 ; op1:x6; dest:x5; op1val:0xffdfffffffffffff; -li x6, 0xffdfffffffffffff -sm3p1 x5, x6 -sw x5, 88(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x5, 0x0000000000000000) - -inst_12: -// rs1==x23, rd==x26, rs1_val == 18442240474082181119, -// opcode: sm3p1 ; op1:x23; dest:x26; op1val:0xffefffffffffffff; -li x23, 0xffefffffffffffff -sm3p1 x26, x23 -sw x26, 96(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x26, 0x0000000000000000) - -inst_13: -// rs1==x27, rd==x8, rs1_val == 18444492273895866367, -// opcode: sm3p1 ; op1:x27; dest:x8; op1val:0xfff7ffffffffffff; -li x27, 0xfff7ffffffffffff -sm3p1 x8, x27 -sw x8, 104(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x8, 0x0000000000000000) - -inst_14: -// rs1==x24, rd==x12, rs1_val == 18445618173802708991, -// opcode: sm3p1 ; op1:x24; dest:x12; op1val:0xfffbffffffffffff; -li x24, 0xfffbffffffffffff -sm3p1 x12, x24 -sw x12, 112(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x12, 0x0000000000000000) - -inst_15: -// rs1==x5, rd==x14, rs1_val == 18446181123756130303, -// opcode: sm3p1 ; op1:x5; dest:x14; op1val:0xfffdffffffffffff; -li x5, 0xfffdffffffffffff -sm3p1 x14, x5 -sw x14, 120(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x14, 0x0000000000000000) - -inst_16: -// rs1==x29, rd==x10, rs1_val == 18446462598732840959, -// opcode: sm3p1 ; op1:x29; dest:x10; op1val:0xfffeffffffffffff; -li x29, 0xfffeffffffffffff -sm3p1 x10, x29 -sw x10, 128(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x10, 0x0000000000000000) - -inst_17: -// rs1==x12, rd==x17, rs1_val == 18446603336221196287, -// opcode: sm3p1 ; op1:x12; dest:x17; op1val:0xffff7fffffffffff; -li x12, 0xffff7fffffffffff -sm3p1 x17, x12 -sw x17, 136(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x17, 0x0000000000000000) - -inst_18: -// rs1==x2, rd==x1, rs1_val == 18446673704965373951, -// opcode: sm3p1 ; op1:x2; dest:x1; op1val:0xffffbfffffffffff; -li x2, 0xffffbfffffffffff -sm3p1 x1, x2 -sw x1, 144(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x1, 0x0000000000000000) - -inst_19: -// rs1==x3, rd==x21, rs1_val == 18446708889337462783, -// opcode: sm3p1 ; op1:x3; dest:x21; op1val:0xffffdfffffffffff; -li x3, 0xffffdfffffffffff -sm3p1 x21, x3 -sw x21, 152(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x19, x21, 0x0000000000000000) - -inst_20: -// rs1==x26, rd==x13, rs1_val == 18446726481523507199, -// opcode: sm3p1 ; op1:x26; dest:x13; op1val:0xffffefffffffffff; -li x26, 0xffffefffffffffff -sm3p1 x13, x26 -sw x13, 160(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x13, 0x0000000000000000) - -inst_21: -// rs1==x7, rd==x25, rs1_val == 18446735277616529407, -// opcode: sm3p1 ; op1:x7; dest:x25; op1val:0xfffff7ffffffffff; -li x7, 0xfffff7ffffffffff -sm3p1 x25, x7 -sw x25, 168(x9) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x25, 0x0000000000000000) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_22: -// rs1==x19, rd==x29, rs1_val == 18446739675663040511, -// opcode: sm3p1 ; op1:x19; dest:x29; op1val:0xfffffbffffffffff; -li x19, 0xfffffbffffffffff -sm3p1 x29, x19 -sw x29, 0(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x29, 0x0000000000000000) - -inst_23: -// rs1==x9, rd==x31, rs1_val == 18446741874686296063, -// opcode: sm3p1 ; op1:x9; dest:x31; op1val:0xfffffdffffffffff; -li x9, 0xfffffdffffffffff -sm3p1 x31, x9 -sw x31, 8(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x31, 0x0000000000000000) - -inst_24: -// rs1==x28, rd==x11, rs1_val == 18446742974197923839, -// opcode: sm3p1 ; op1:x28; dest:x11; op1val:0xfffffeffffffffff; -li x28, 0xfffffeffffffffff -sm3p1 x11, x28 -sw x11, 16(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_25: -// rs1==x31, rd==x24, rs1_val == 18446743523953737727, -// opcode: sm3p1 ; op1:x31; dest:x24; op1val:0xffffff7fffffffff; -li x31, 0xffffff7fffffffff -sm3p1 x24, x31 -sw x24, 24(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x24, 0x0000000000000000) - -inst_26: -// rs1==x0, rd==x27, rs1_val == 18446743798831644671, -// opcode: sm3p1 ; op1:x0; dest:x27; op1val:0x0; -li x0, 0x0 -sm3p1 x27, x0 -sw x27, 32(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x27, 0x0000000000000000) - -inst_27: -// rs1==x15, rd==x19, rs1_val == 18446743936270598143, -// opcode: sm3p1 ; op1:x15; dest:x19; op1val:0xffffffdfffffffff; -li x15, 0xffffffdfffffffff -sm3p1 x19, x15 -sw x19, 40(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x19, 0x0000000000000000) - -inst_28: -// rs1==x25, rd==x23, rs1_val == 18446744004990074879, -// opcode: sm3p1 ; op1:x25; dest:x23; op1val:0xffffffefffffffff; -li x25, 0xffffffefffffffff -sm3p1 x23, x25 -sw x23, 48(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x23, 0x0000000000000000) - -inst_29: -// rs1==x18, rd==x4, rs1_val == 18446744039349813247, -// opcode: sm3p1 ; op1:x18; dest:x4; op1val:0xfffffff7ffffffff; -li x18, 0xfffffff7ffffffff -sm3p1 x4, x18 -sw x4, 56(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x4, 0x0000000000000000) - -inst_30: -// rs1==x20, rd==x9, rs1_val == 18446744056529682431, -// opcode: sm3p1 ; op1:x20; dest:x9; op1val:0xfffffffbffffffff; -li x20, 0xfffffffbffffffff -sm3p1 x9, x20 -sw x9, 64(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x9, 0x0000000000000000) - -inst_31: -// rs1==x21, rd==x2, rs1_val == 18446744065119617023, -// opcode: sm3p1 ; op1:x21; dest:x2; op1val:0xfffffffdffffffff; -li x21, 0xfffffffdffffffff -sm3p1 x2, x21 -sw x2, 72(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x2, 0x0000000000000000) - -inst_32: -// rs1_val == 18446744069414584319, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffeffffffff; -li x10, 0xfffffffeffffffff -sm3p1 x11, x10 -sw x11, 80(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_33: -// rs1_val == 18446744071562067967, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffff7fffffff; -li x10, 0xffffffff7fffffff -sm3p1 x11, x10 -sw x11, 88(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_34: -// rs1_val == 18446744072635809791, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffbfffffff; -li x10, 0xffffffffbfffffff -sm3p1 x11, x10 -sw x11, 96(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_35: -// rs1_val == 18446744073172680703, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffdfffffff; -li x10, 0xffffffffdfffffff -sm3p1 x11, x10 -sw x11, 104(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_36: -// rs1_val == 18446744073441116159, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffefffffff; -li x10, 0xffffffffefffffff -sm3p1 x11, x10 -sw x11, 112(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_37: -// rs1_val == 18446744073575333887, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffff7ffffff; -li x10, 0xfffffffff7ffffff -sm3p1 x11, x10 -sw x11, 120(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_38: -// rs1_val == 18446744073642442751, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffbffffff; -li x10, 0xfffffffffbffffff -sm3p1 x11, x10 -sw x11, 128(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_39: -// rs1_val == 18446744073675997183, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffdffffff; -li x10, 0xfffffffffdffffff -sm3p1 x11, x10 -sw x11, 136(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_40: -// rs1_val == 18446744073692774399, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffeffffff; -li x10, 0xfffffffffeffffff -sm3p1 x11, x10 -sw x11, 144(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_41: -// rs1_val == 18446744073701163007, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffff7fffff; -li x10, 0xffffffffff7fffff -sm3p1 x11, x10 -sw x11, 152(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_42: -// rs1_val == 18446744073705357311, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffffbfffff; -li x10, 0xffffffffffbfffff -sm3p1 x11, x10 -sw x11, 160(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_43: -// rs1_val == 18446744073707454463, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffffdfffff; -li x10, 0xffffffffffdfffff -sm3p1 x11, x10 -sw x11, 168(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_44: -// rs1_val == 18446744073708503039, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffffefffff; -li x10, 0xffffffffffefffff -sm3p1 x11, x10 -sw x11, 176(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_45: -// rs1_val == 18446744073709027327, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffff7ffff; -li x10, 0xfffffffffff7ffff -sm3p1 x11, x10 -sw x11, 184(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_46: -// rs1_val == 18446744073709289471, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffffbffff; -li x10, 0xfffffffffffbffff -sm3p1 x11, x10 -sw x11, 192(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_47: -// rs1_val == 18446744073709420543, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffffdffff; -li x10, 0xfffffffffffdffff -sm3p1 x11, x10 -sw x11, 200(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_48: -// rs1_val == 18446744073709486079, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffffeffff; -li x10, 0xfffffffffffeffff -sm3p1 x11, x10 -sw x11, 208(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_49: -// rs1_val == 18446744073709518847, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffffff7fff; -li x10, 0xffffffffffff7fff -sm3p1 x11, x10 -sw x11, 216(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_50: -// rs1_val == 18446744073709535231, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffffffbfff; -li x10, 0xffffffffffffbfff -sm3p1 x11, x10 -sw x11, 224(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_51: -// rs1_val == 18446744073709543423, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffffffdfff; -li x10, 0xffffffffffffdfff -sm3p1 x11, x10 -sw x11, 232(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_52: -// rs1_val == 18446744073709547519, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffffffefff; -li x10, 0xffffffffffffefff -sm3p1 x11, x10 -sw x11, 240(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_53: -// rs1_val == 18446744073709549567, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffffff7ff; -li x10, 0xfffffffffffff7ff -sm3p1 x11, x10 -sw x11, 248(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_54: -// rs1_val == 18446744073709550591, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffffffbff; -li x10, 0xfffffffffffffbff -sm3p1 x11, x10 -sw x11, 256(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_55: -// rs1_val == 18446744073709551103, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffffffdff; -li x10, 0xfffffffffffffdff -sm3p1 x11, x10 -sw x11, 264(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_56: -// rs1_val == 18446744073709551359, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffffffeff; -li x10, 0xfffffffffffffeff -sm3p1 x11, x10 -sw x11, 272(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_57: -// rs1_val == 18446744073709551487, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffffffff7f; -li x10, 0xffffffffffffff7f -sm3p1 x11, x10 -sw x11, 280(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_58: -// rs1_val == 18446744073709551551, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffffffffbf; -li x10, 0xffffffffffffffbf -sm3p1 x11, x10 -sw x11, 288(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_59: -// rs1_val == 18446744073709551583, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffffffffdf; -li x10, 0xffffffffffffffdf -sm3p1 x11, x10 -sw x11, 296(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_60: -// rs1_val == 18446744073709551599, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffffffffffef; -li x10, 0xffffffffffffffef -sm3p1 x11, x10 -sw x11, 304(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_61: -// rs1_val == 18446744073709551607, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffffffff7; -li x10, 0xfffffffffffffff7 -sm3p1 x11, x10 -sw x11, 312(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_62: -// rs1_val == 18446744073709551611, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffb; -li x10, 0xfffffffffffffffb -sm3p1 x11, x10 -sw x11, 320(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_63: -// rs1_val == 18446744073709551613, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffd; -li x10, 0xfffffffffffffffd -sm3p1 x11, x10 -sw x11, 328(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_64: -// rs1_val == 18446744073709551614, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfffffffffffffffe; -li x10, 0xfffffffffffffffe -sm3p1 x11, x10 -sw x11, 336(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_65: -// rs1_val == 9223372036854775808, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x8000000000000000; -li x10, 0x8000000000000000 -sm3p1 x11, x10 -sw x11, 344(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_66: -// rs1_val == 4611686018427387904, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x4000000000000000; -li x10, 0x4000000000000000 -sm3p1 x11, x10 -sw x11, 352(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_67: -// rs1_val == 2305843009213693952, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x2000000000000000; -li x10, 0x2000000000000000 -sm3p1 x11, x10 -sw x11, 360(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_68: -// rs1_val == 1152921504606846976, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x1000000000000000; -li x10, 0x1000000000000000 -sm3p1 x11, x10 -sw x11, 368(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_69: -// rs1_val == 576460752303423488, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x800000000000000; -li x10, 0x800000000000000 -sm3p1 x11, x10 -sw x11, 376(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_70: -// rs1_val == 288230376151711744, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x400000000000000; -li x10, 0x400000000000000 -sm3p1 x11, x10 -sw x11, 384(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_71: -// rs1_val == 144115188075855872, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x200000000000000; -li x10, 0x200000000000000 -sm3p1 x11, x10 -sw x11, 392(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_72: -// rs1_val == 72057594037927936, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x100000000000000; -li x10, 0x100000000000000 -sm3p1 x11, x10 -sw x11, 400(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_73: -// rs1_val == 36028797018963968, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x80000000000000; -li x10, 0x80000000000000 -sm3p1 x11, x10 -sw x11, 408(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_74: -// rs1_val == 18014398509481984, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x40000000000000; -li x10, 0x40000000000000 -sm3p1 x11, x10 -sw x11, 416(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_75: -// rs1_val == 9007199254740992, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x20000000000000; -li x10, 0x20000000000000 -sm3p1 x11, x10 -sw x11, 424(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_76: -// rs1_val == 4503599627370496, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x10000000000000; -li x10, 0x10000000000000 -sm3p1 x11, x10 -sw x11, 432(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_77: -// rs1_val == 2251799813685248, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x8000000000000; -li x10, 0x8000000000000 -sm3p1 x11, x10 -sw x11, 440(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_78: -// rs1_val == 1125899906842624, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x4000000000000; -li x10, 0x4000000000000 -sm3p1 x11, x10 -sw x11, 448(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_79: -// rs1_val == 562949953421312, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x2000000000000; -li x10, 0x2000000000000 -sm3p1 x11, x10 -sw x11, 456(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_80: -// rs1_val == 281474976710656, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x1000000000000; -li x10, 0x1000000000000 -sm3p1 x11, x10 -sw x11, 464(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_81: -// rs1_val == 140737488355328, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x800000000000; -li x10, 0x800000000000 -sm3p1 x11, x10 -sw x11, 472(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_82: -// rs1_val == 70368744177664, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x400000000000; -li x10, 0x400000000000 -sm3p1 x11, x10 -sw x11, 480(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_83: -// rs1_val == 35184372088832, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x200000000000; -li x10, 0x200000000000 -sm3p1 x11, x10 -sw x11, 488(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_84: -// rs1_val == 17592186044416, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x100000000000; -li x10, 0x100000000000 -sm3p1 x11, x10 -sw x11, 496(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_85: -// rs1_val == 1, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x1; -li x10, 0x1 -sm3p1 x11, x10 -sw x11, 504(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_86: -// rs1_val == 0xb6f9706fb4f741aa -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xb6f9706fb4f741aa; -li x10, 0xb6f9706fb4f741aa -sm3p1 x11, x10 -sw x11, 512(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_87: -// rs1_val == 0x40a5ff526f38a9c7 -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x40a5ff526f38a9c7; -li x10, 0x40a5ff526f38a9c7 -sm3p1 x11, x10 -sw x11, 520(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_88: -// rs1_val == 0xd05668ae0fdb82bc -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xd05668ae0fdb82bc; -li x10, 0xd05668ae0fdb82bc -sm3p1 x11, x10 -sw x11, 528(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_89: -// rs1_val == 0x9bedfe390d6ddd9d -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x9bedfe390d6ddd9d; -li x10, 0x9bedfe390d6ddd9d -sm3p1 x11, x10 -sw x11, 536(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_90: -// rs1_val == 0xaa6bb2bde9ed477d -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xaa6bb2bde9ed477d; -li x10, 0xaa6bb2bde9ed477d -sm3p1 x11, x10 -sw x11, 544(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_91: -// rs1_val == 0xd75739f82ac177c6 -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xd75739f82ac177c6; -li x10, 0xd75739f82ac177c6 -sm3p1 x11, x10 -sw x11, 552(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_92: -// rs1_val == 0x299c3bcf90efb625 -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x299c3bcf90efb625; -li x10, 0x299c3bcf90efb625 -sm3p1 x11, x10 -sw x11, 560(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_93: -// rs1_val == 0x9a4e9ef10171f4df -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x9a4e9ef10171f4df; -li x10, 0x9a4e9ef10171f4df -sm3p1 x11, x10 -sw x11, 568(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_94: -// rs1_val == 0x1fc493caa371db42 -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x1fc493caa371db42; -li x10, 0x1fc493caa371db42 -sm3p1 x11, x10 -sw x11, 576(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_95: -// rs1_val == 0xd169a3f8cad5e297 -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xd169a3f8cad5e297; -li x10, 0xd169a3f8cad5e297 -sm3p1 x11, x10 -sw x11, 584(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_96: -// rs1_val == 0xf4c30307672f666d -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xf4c30307672f666d; -li x10, 0xf4c30307672f666d -sm3p1 x11, x10 -sw x11, 592(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_97: -// rs1_val == 0xd5b9fe5cf69bdcf3 -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xd5b9fe5cf69bdcf3; -li x10, 0xd5b9fe5cf69bdcf3 -sm3p1 x11, x10 -sw x11, 600(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_98: -// rs1_val == 0xa0569d765ebc64cb -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xa0569d765ebc64cb; -li x10, 0xa0569d765ebc64cb -sm3p1 x11, x10 -sw x11, 608(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_99: -// rs1_val == 0xe4921bf73047c198 -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xe4921bf73047c198; -li x10, 0xe4921bf73047c198 -sm3p1 x11, x10 -sw x11, 616(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_100: -// rs1_val == 0x2daf9ac7f5faf207 -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x2daf9ac7f5faf207; -li x10, 0x2daf9ac7f5faf207 -sm3p1 x11, x10 -sw x11, 624(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_101: -// rs1_val == 0xfcc1b543c49cd65b -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfcc1b543c49cd65b; -li x10, 0xfcc1b543c49cd65b -sm3p1 x11, x10 -sw x11, 632(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_102: -// rs1_val == 0x3459294ef273b44c -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x3459294ef273b44c; -li x10, 0x3459294ef273b44c -sm3p1 x11, x10 -sw x11, 640(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_103: -// rs1_val == 0x436f40f274b8de87 -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x436f40f274b8de87; -li x10, 0x436f40f274b8de87 -sm3p1 x11, x10 -sw x11, 648(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_104: -// rs1_val == 0xc5521660f3a3c571 -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xc5521660f3a3c571; -li x10, 0xc5521660f3a3c571 -sm3p1 x11, x10 -sw x11, 656(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_105: -// rs1_val == 8796093022208, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x80000000000; -li x10, 0x80000000000 -sm3p1 x11, x10 -sw x11, 664(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_106: -// rs1_val == 4398046511104, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x40000000000; -li x10, 0x40000000000 -sm3p1 x11, x10 -sw x11, 672(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_107: -// rs1_val == 2199023255552, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x20000000000; -li x10, 0x20000000000 -sm3p1 x11, x10 -sw x11, 680(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_108: -// rs1_val == 1099511627776, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x10000000000; -li x10, 0x10000000000 -sm3p1 x11, x10 -sw x11, 688(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_109: -// rs1_val == 549755813888, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x8000000000; -li x10, 0x8000000000 -sm3p1 x11, x10 -sw x11, 696(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_110: -// rs1_val == 274877906944, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x4000000000; -li x10, 0x4000000000 -sm3p1 x11, x10 -sw x11, 704(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_111: -// rs1_val == 137438953472, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x2000000000; -li x10, 0x2000000000 -sm3p1 x11, x10 -sw x11, 712(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_112: -// rs1_val == 68719476736, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x1000000000; -li x10, 0x1000000000 -sm3p1 x11, x10 -sw x11, 720(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_113: -// rs1_val == 34359738368, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x800000000; -li x10, 0x800000000 -sm3p1 x11, x10 -sw x11, 728(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_114: -// rs1_val == 17179869184, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x400000000; -li x10, 0x400000000 -sm3p1 x11, x10 -sw x11, 736(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_115: -// rs1_val == 8589934592, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x200000000; -li x10, 0x200000000 -sm3p1 x11, x10 -sw x11, 744(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_116: -// rs1_val == 4294967296, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x100000000; -li x10, 0x100000000 -sm3p1 x11, x10 -sw x11, 752(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_117: -// rs1_val == 2147483648, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x80000000; -li x10, 0x80000000 -sm3p1 x11, x10 -sw x11, 760(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_118: -// rs1_val == 1073741824, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x40000000; -li x10, 0x40000000 -sm3p1 x11, x10 -sw x11, 768(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_119: -// rs1_val == 536870912, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x20000000; -li x10, 0x20000000 -sm3p1 x11, x10 -sw x11, 776(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_120: -// rs1_val == 268435456, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x10000000; -li x10, 0x10000000 -sm3p1 x11, x10 -sw x11, 784(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_121: -// rs1_val == 134217728, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x8000000; -li x10, 0x8000000 -sm3p1 x11, x10 -sw x11, 792(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_122: -// rs1_val == 67108864, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x4000000; -li x10, 0x4000000 -sm3p1 x11, x10 -sw x11, 800(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_123: -// rs1_val == 33554432, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x2000000; -li x10, 0x2000000 -sm3p1 x11, x10 -sw x11, 808(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_124: -// rs1_val == 16777216, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x1000000; -li x10, 0x1000000 -sm3p1 x11, x10 -sw x11, 816(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_125: -// rs1_val == 8388608, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x800000; -li x10, 0x800000 -sm3p1 x11, x10 -sw x11, 824(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_126: -// rs1_val == 4194304, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x400000; -li x10, 0x400000 -sm3p1 x11, x10 -sw x11, 832(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_127: -// rs1_val == 2097152, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x200000; -li x10, 0x200000 -sm3p1 x11, x10 -sw x11, 840(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_128: -// rs1_val == 1048576, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x100000; -li x10, 0x100000 -sm3p1 x11, x10 -sw x11, 848(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_129: -// rs1_val == 524288, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x80000; -li x10, 0x80000 -sm3p1 x11, x10 -sw x11, 856(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_130: -// rs1_val == 262144, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x40000; -li x10, 0x40000 -sm3p1 x11, x10 -sw x11, 864(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_131: -// rs1_val == 131072, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x20000; -li x10, 0x20000 -sm3p1 x11, x10 -sw x11, 872(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_132: -// rs1_val == 65536, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x10000; -li x10, 0x10000 -sm3p1 x11, x10 -sw x11, 880(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_133: -// rs1_val == 32768, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x8000; -li x10, 0x8000 -sm3p1 x11, x10 -sw x11, 888(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_134: -// rs1_val == 16384, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x4000; -li x10, 0x4000 -sm3p1 x11, x10 -sw x11, 896(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_135: -// rs1_val == 8192, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x2000; -li x10, 0x2000 -sm3p1 x11, x10 -sw x11, 904(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_136: -// rs1_val == 4096, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x1000; -li x10, 0x1000 -sm3p1 x11, x10 -sw x11, 912(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_137: -// rs1_val == 2048, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x800; -li x10, 0x800 -sm3p1 x11, x10 -sw x11, 920(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_138: -// rs1_val == 1024, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x400; -li x10, 0x400 -sm3p1 x11, x10 -sw x11, 928(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_139: -// rs1_val == 512, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x200; -li x10, 0x200 -sm3p1 x11, x10 -sw x11, 936(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_140: -// rs1_val == 256, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x100; -li x10, 0x100 -sm3p1 x11, x10 -sw x11, 944(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_141: -// rs1_val == 128, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x80; -li x10, 0x80 -sm3p1 x11, x10 -sw x11, 952(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_142: -// rs1_val == 64, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x40; -li x10, 0x40 -sm3p1 x11, x10 -sw x11, 960(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_143: -// rs1_val == 32, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x20; -li x10, 0x20 -sm3p1 x11, x10 -sw x11, 968(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_144: -// rs1_val == 16, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x10; -li x10, 0x10 -sm3p1 x11, x10 -sw x11, 976(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_145: -// rs1_val == 8, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x8; -li x10, 0x8 -sm3p1 x11, x10 -sw x11, 984(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_146: -// rs1_val == 4, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x4; -li x10, 0x4 -sm3p1 x11, x10 -sw x11, 992(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_147: -// rs1_val == 2, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0x2; -li x10, 0x2 -sm3p1 x11, x10 -sw x11, 1000(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_148: -// rs1_val == 18302628885633695743, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xfdffffffffffffff; -li x10, 0xfdffffffffffffff -sm3p1 x11, x10 -sw x11, 1008(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) - -inst_149: -// rs1_val == 18446743798831644671, -// opcode: sm3p1 ; op1:x10; dest:x11; op1val:0xffffffbfffffffff; -li x10, 0xffffffbfffffffff -sm3p1 x11, x10 -sw x11, 1016(x1) -RVMODEL_IO_ASSERT_GPR_EQ(x3, x11, 0x0000000000000000) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x9_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x9_1: - .fill 22*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 128*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp1.S deleted file mode 100644 index 34a1d2f6f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp1.S +++ /dev/null @@ -1,972 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sm3p1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKs.*);def TEST_CASE_1=True;",sm3p1) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -xor x3, x1, x2; -sm3p1 x1, x3; -xor x4, x1, x2; -RVTEST_SIGUPD(x31,x3,0); -RVTEST_SIGUPD(x31,x1,8); -RVTEST_SIGUPD(x31,x4,16); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -not x3, x2; -sm3p1 x1, x3; -not x4, x1; -RVTEST_SIGUPD(x31,x3,24); -RVTEST_SIGUPD(x31,x1,32); -RVTEST_SIGUPD(x31,x4,40); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x3; dest1:x1; op1val:0x08577eb1924770d3; op2val:0x93fdcab87b89296c -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -add x3, x1, x2; -sm3p1 x1, x3; -add x4, x1, x2; -RVTEST_SIGUPD(x31,x3,48); -RVTEST_SIGUPD(x31,x1,56); -RVTEST_SIGUPD(x31,x4,64); - -inst_1: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -xor x4, x2, x3; -sm3p1 x2, x4; -xor x5, x2, x3; -RVTEST_SIGUPD(x31,x4,72); -RVTEST_SIGUPD(x31,x2,80); -RVTEST_SIGUPD(x31,x5,88); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -not x4, x3; -sm3p1 x2, x4; -not x5, x2; -RVTEST_SIGUPD(x31,x4,96); -RVTEST_SIGUPD(x31,x2,104); -RVTEST_SIGUPD(x31,x5,112); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x4; dest1:x2; op1val:0x137a977753e8eb43; op2val:0x5c74e45eff1e5bef -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -add x4, x2, x3; -sm3p1 x2, x4; -add x5, x2, x3; -RVTEST_SIGUPD(x31,x4,120); -RVTEST_SIGUPD(x31,x2,128); -RVTEST_SIGUPD(x31,x5,136); - -inst_2: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -xor x5, x3, x4; -sm3p1 x3, x5; -xor x6, x3, x4; -RVTEST_SIGUPD(x31,x5,144); -RVTEST_SIGUPD(x31,x3,152); -RVTEST_SIGUPD(x31,x6,160); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -not x5, x4; -sm3p1 x3, x5; -not x6, x3; -RVTEST_SIGUPD(x31,x5,168); -RVTEST_SIGUPD(x31,x3,176); -RVTEST_SIGUPD(x31,x6,184); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x5; dest1:x3; op1val:0xaf949e5e2cb7362c; op2val:0x5cd2875ea96ec2b3 -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -add x5, x3, x4; -sm3p1 x3, x5; -add x6, x3, x4; -RVTEST_SIGUPD(x31,x5,192); -RVTEST_SIGUPD(x31,x3,200); -RVTEST_SIGUPD(x31,x6,208); - -inst_3: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -xor x6, x4, x5; -sm3p1 x4, x6; -xor x7, x4, x5; -RVTEST_SIGUPD(x31,x6,216); -RVTEST_SIGUPD(x31,x4,224); -RVTEST_SIGUPD(x31,x7,232); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -not x6, x5; -sm3p1 x4, x6; -not x7, x4; -RVTEST_SIGUPD(x31,x6,240); -RVTEST_SIGUPD(x31,x4,248); -RVTEST_SIGUPD(x31,x7,256); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x6; dest1:x4; op1val:0xe8dac663f0e58650; op2val:0x3d7c95f9e5f0307e -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -add x6, x4, x5; -sm3p1 x4, x6; -add x7, x4, x5; -RVTEST_SIGUPD(x31,x6,264); -RVTEST_SIGUPD(x31,x4,272); -RVTEST_SIGUPD(x31,x7,280); - -inst_4: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -xor x7, x5, x6; -sm3p1 x5, x7; -xor x8, x5, x6; -RVTEST_SIGUPD(x31,x7,288); -RVTEST_SIGUPD(x31,x5,296); -RVTEST_SIGUPD(x31,x8,304); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -not x7, x6; -sm3p1 x5, x7; -not x8, x5; -RVTEST_SIGUPD(x31,x7,312); -RVTEST_SIGUPD(x31,x5,320); -RVTEST_SIGUPD(x31,x8,328); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x7; dest1:x5; op1val:0x807da245d814d575; op2val:0x3d06143769b1dcbf -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -add x7, x5, x6; -sm3p1 x5, x7; -add x8, x5, x6; -RVTEST_SIGUPD(x31,x7,336); -RVTEST_SIGUPD(x31,x5,344); -RVTEST_SIGUPD(x31,x8,352); - -inst_5: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -xor x8, x6, x7; -sm3p1 x6, x8; -xor x9, x6, x7; -RVTEST_SIGUPD(x31,x8,360); -RVTEST_SIGUPD(x31,x6,368); -RVTEST_SIGUPD(x31,x9,376); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -not x8, x7; -sm3p1 x6, x8; -not x9, x6; -RVTEST_SIGUPD(x31,x8,384); -RVTEST_SIGUPD(x31,x6,392); -RVTEST_SIGUPD(x31,x9,400); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x8; dest1:x6; op1val:0x7213516d6a013380; op2val:0x4652f62dae4839a1 -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -add x8, x6, x7; -sm3p1 x6, x8; -add x9, x6, x7; -RVTEST_SIGUPD(x31,x8,408); -RVTEST_SIGUPD(x31,x6,416); -RVTEST_SIGUPD(x31,x9,424); - -inst_6: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -xor x9, x7, x8; -sm3p1 x7, x9; -xor x10, x7, x8; -RVTEST_SIGUPD(x31,x9,432); -RVTEST_SIGUPD(x31,x7,440); -RVTEST_SIGUPD(x31,x10,448); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -not x9, x8; -sm3p1 x7, x9; -not x10, x7; -RVTEST_SIGUPD(x31,x9,456); -RVTEST_SIGUPD(x31,x7,464); -RVTEST_SIGUPD(x31,x10,472); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x9; dest1:x7; op1val:0x53fbff6c58fa6e1c; op2val:0x0b2e2669b66b3284 -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -add x9, x7, x8; -sm3p1 x7, x9; -add x10, x7, x8; -RVTEST_SIGUPD(x31,x9,480); -RVTEST_SIGUPD(x31,x7,488); -RVTEST_SIGUPD(x31,x10,496); - -inst_7: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -xor x10, x8, x9; -sm3p1 x8, x10; -xor x11, x8, x9; -RVTEST_SIGUPD(x31,x10,504); -RVTEST_SIGUPD(x31,x8,512); -RVTEST_SIGUPD(x31,x11,520); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -not x10, x9; -sm3p1 x8, x10; -not x11, x8; -RVTEST_SIGUPD(x31,x10,528); -RVTEST_SIGUPD(x31,x8,536); -RVTEST_SIGUPD(x31,x11,544); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x10; dest1:x8; op1val:0xe918be9ffe057dc5; op2val:0x1011eeb47ff822ed -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -add x10, x8, x9; -sm3p1 x8, x10; -add x11, x8, x9; -RVTEST_SIGUPD(x31,x10,552); -RVTEST_SIGUPD(x31,x8,560); -RVTEST_SIGUPD(x31,x11,568); - -inst_8: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -xor x11, x9, x10; -sm3p1 x9, x11; -xor x12, x9, x10; -RVTEST_SIGUPD(x31,x11,576); -RVTEST_SIGUPD(x31,x9,584); -RVTEST_SIGUPD(x31,x12,592); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -not x11, x10; -sm3p1 x9, x11; -not x12, x9; -RVTEST_SIGUPD(x31,x11,600); -RVTEST_SIGUPD(x31,x9,608); -RVTEST_SIGUPD(x31,x12,616); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x11; dest1:x9; op1val:0xb3a63fa37d69ceef; op2val:0x70fc1afc8f0846a2 -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -add x11, x9, x10; -sm3p1 x9, x11; -add x12, x9, x10; -RVTEST_SIGUPD(x31,x11,624); -RVTEST_SIGUPD(x31,x9,632); -RVTEST_SIGUPD(x31,x12,640); - -inst_9: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -xor x12, x10, x11; -sm3p1 x10, x12; -xor x13, x10, x11; -RVTEST_SIGUPD(x31,x12,648); -RVTEST_SIGUPD(x31,x10,656); -RVTEST_SIGUPD(x31,x13,664); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -not x12, x11; -sm3p1 x10, x12; -not x13, x10; -RVTEST_SIGUPD(x31,x12,672); -RVTEST_SIGUPD(x31,x10,680); -RVTEST_SIGUPD(x31,x13,688); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x12; dest1:x10; op1val:0xccadb61ffe20ce1c; op2val:0x72745307ec325eec -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -add x12, x10, x11; -sm3p1 x10, x12; -add x13, x10, x11; -RVTEST_SIGUPD(x31,x12,696); -RVTEST_SIGUPD(x31,x10,704); -RVTEST_SIGUPD(x31,x13,712); - -inst_10: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -xor x13, x11, x12; -sm3p1 x11, x13; -xor x14, x11, x12; -RVTEST_SIGUPD(x31,x13,720); -RVTEST_SIGUPD(x31,x11,728); -RVTEST_SIGUPD(x31,x14,736); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -not x13, x12; -sm3p1 x11, x13; -not x14, x11; -RVTEST_SIGUPD(x31,x13,744); -RVTEST_SIGUPD(x31,x11,752); -RVTEST_SIGUPD(x31,x14,760); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x13; dest1:x11; op1val:0xa0e0bd86d4551408; op2val:0xcebe24d906600792 -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -add x13, x11, x12; -sm3p1 x11, x13; -add x14, x11, x12; -RVTEST_SIGUPD(x31,x13,768); -RVTEST_SIGUPD(x31,x11,776); -RVTEST_SIGUPD(x31,x14,784); - -inst_11: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -xor x14, x12, x13; -sm3p1 x12, x14; -xor x15, x12, x13; -RVTEST_SIGUPD(x31,x14,792); -RVTEST_SIGUPD(x31,x12,800); -RVTEST_SIGUPD(x31,x15,808); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -not x14, x13; -sm3p1 x12, x14; -not x15, x12; -RVTEST_SIGUPD(x31,x14,816); -RVTEST_SIGUPD(x31,x12,824); -RVTEST_SIGUPD(x31,x15,832); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x14; dest1:x12; op1val:0xd1142724fb37bec8; op2val:0xab8534c129a2575f -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -add x14, x12, x13; -sm3p1 x12, x14; -add x15, x12, x13; -RVTEST_SIGUPD(x31,x14,840); -RVTEST_SIGUPD(x31,x12,848); -RVTEST_SIGUPD(x31,x15,856); - -inst_12: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -xor x15, x13, x14; -sm3p1 x13, x15; -xor x16, x13, x14; -RVTEST_SIGUPD(x31,x15,864); -RVTEST_SIGUPD(x31,x13,872); -RVTEST_SIGUPD(x31,x16,880); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -not x15, x14; -sm3p1 x13, x15; -not x16, x13; -RVTEST_SIGUPD(x31,x15,888); -RVTEST_SIGUPD(x31,x13,896); -RVTEST_SIGUPD(x31,x16,904); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x15; dest1:x13; op1val:0x98f7c41c44115b04; op2val:0x01fbbe93a08b84f3 -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -add x15, x13, x14; -sm3p1 x13, x15; -add x16, x13, x14; -RVTEST_SIGUPD(x31,x15,912); -RVTEST_SIGUPD(x31,x13,920); -RVTEST_SIGUPD(x31,x16,928); - -inst_13: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -xor x16, x14, x15; -sm3p1 x14, x16; -xor x17, x14, x15; -RVTEST_SIGUPD(x31,x16,936); -RVTEST_SIGUPD(x31,x14,944); -RVTEST_SIGUPD(x31,x17,952); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -not x16, x15; -sm3p1 x14, x16; -not x17, x14; -RVTEST_SIGUPD(x31,x16,960); -RVTEST_SIGUPD(x31,x14,968); -RVTEST_SIGUPD(x31,x17,976); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x16; dest1:x14; op1val:0x5916d2810e24d9cc; op2val:0x74f5add55c37849a -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -add x16, x14, x15; -sm3p1 x14, x16; -add x17, x14, x15; -RVTEST_SIGUPD(x31,x16,984); -RVTEST_SIGUPD(x31,x14,992); -RVTEST_SIGUPD(x31,x17,1000); - -inst_14: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -xor x17, x15, x16; -sm3p1 x15, x17; -xor x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1008); -RVTEST_SIGUPD(x31,x15,1016); -RVTEST_SIGUPD(x31,x18,1024); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -not x17, x16; -sm3p1 x15, x17; -not x18, x15; -RVTEST_SIGUPD(x31,x17,1032); -RVTEST_SIGUPD(x31,x15,1040); -RVTEST_SIGUPD(x31,x18,1048); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x17; dest1:x15; op1val:0x46ed745fb9fef1d6; op2val:0x9651ee657a1d33ca -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -add x17, x15, x16; -sm3p1 x15, x17; -add x18, x15, x16; -RVTEST_SIGUPD(x31,x17,1056); -RVTEST_SIGUPD(x31,x15,1064); -RVTEST_SIGUPD(x31,x18,1072); - -inst_15: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -xor x18, x16, x17; -sm3p1 x16, x18; -xor x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1080); -RVTEST_SIGUPD(x31,x16,1088); -RVTEST_SIGUPD(x31,x19,1096); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -not x18, x17; -sm3p1 x16, x18; -not x19, x16; -RVTEST_SIGUPD(x31,x18,1104); -RVTEST_SIGUPD(x31,x16,1112); -RVTEST_SIGUPD(x31,x19,1120); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x18; dest1:x16; op1val:0x9c3d087c65835bdf; op2val:0x9b11d383484641f5 -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -add x18, x16, x17; -sm3p1 x16, x18; -add x19, x16, x17; -RVTEST_SIGUPD(x31,x18,1128); -RVTEST_SIGUPD(x31,x16,1136); -RVTEST_SIGUPD(x31,x19,1144); - -inst_16: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -xor x19, x17, x18; -sm3p1 x17, x19; -xor x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1152); -RVTEST_SIGUPD(x31,x17,1160); -RVTEST_SIGUPD(x31,x20,1168); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -not x19, x18; -sm3p1 x17, x19; -not x20, x17; -RVTEST_SIGUPD(x31,x19,1176); -RVTEST_SIGUPD(x31,x17,1184); -RVTEST_SIGUPD(x31,x20,1192); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x19; dest1:x17; op1val:0x041bbaab97eb7c0f; op2val:0x93017690e356529c -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -add x19, x17, x18; -sm3p1 x17, x19; -add x20, x17, x18; -RVTEST_SIGUPD(x31,x19,1200); -RVTEST_SIGUPD(x31,x17,1208); -RVTEST_SIGUPD(x31,x20,1216); - -inst_17: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -xor x20, x18, x19; -sm3p1 x18, x20; -xor x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1224); -RVTEST_SIGUPD(x31,x18,1232); -RVTEST_SIGUPD(x31,x21,1240); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -not x20, x19; -sm3p1 x18, x20; -not x21, x18; -RVTEST_SIGUPD(x31,x20,1248); -RVTEST_SIGUPD(x31,x18,1256); -RVTEST_SIGUPD(x31,x21,1264); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x20; dest1:x18; op1val:0xef677106dc17ae6e; op2val:0xc5d09b942be2d8c9 -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -add x20, x18, x19; -sm3p1 x18, x20; -add x21, x18, x19; -RVTEST_SIGUPD(x31,x20,1272); -RVTEST_SIGUPD(x31,x18,1280); -RVTEST_SIGUPD(x31,x21,1288); - -inst_18: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -xor x21, x19, x20; -sm3p1 x19, x21; -xor x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1296); -RVTEST_SIGUPD(x31,x19,1304); -RVTEST_SIGUPD(x31,x22,1312); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -not x21, x20; -sm3p1 x19, x21; -not x22, x19; -RVTEST_SIGUPD(x31,x21,1320); -RVTEST_SIGUPD(x31,x19,1328); -RVTEST_SIGUPD(x31,x22,1336); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x21; dest1:x19; op1val:0x755961a98347ddf5; op2val:0x18d71be431c00570 -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -add x21, x19, x20; -sm3p1 x19, x21; -add x22, x19, x20; -RVTEST_SIGUPD(x31,x21,1344); -RVTEST_SIGUPD(x31,x19,1352); -RVTEST_SIGUPD(x31,x22,1360); - -inst_19: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -xor x22, x20, x21; -sm3p1 x20, x22; -xor x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1368); -RVTEST_SIGUPD(x31,x20,1376); -RVTEST_SIGUPD(x31,x23,1384); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -not x22, x21; -sm3p1 x20, x22; -not x23, x20; -RVTEST_SIGUPD(x31,x22,1392); -RVTEST_SIGUPD(x31,x20,1400); -RVTEST_SIGUPD(x31,x23,1408); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x22; dest1:x20; op1val:0x8f2248c25c3577f5; op2val:0x2a5dc0a00d453cdf -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -add x22, x20, x21; -sm3p1 x20, x22; -add x23, x20, x21; -RVTEST_SIGUPD(x31,x22,1416); -RVTEST_SIGUPD(x31,x20,1424); -RVTEST_SIGUPD(x31,x23,1432); - -inst_20: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -xor x23, x21, x22; -sm3p1 x21, x23; -xor x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1440); -RVTEST_SIGUPD(x31,x21,1448); -RVTEST_SIGUPD(x31,x24,1456); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -not x23, x22; -sm3p1 x21, x23; -not x24, x21; -RVTEST_SIGUPD(x31,x23,1464); -RVTEST_SIGUPD(x31,x21,1472); -RVTEST_SIGUPD(x31,x24,1480); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x23; dest1:x21; op1val:0x6dc3cceec36ffd4b; op2val:0xc2713ce25868105b -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -add x23, x21, x22; -sm3p1 x21, x23; -add x24, x21, x22; -RVTEST_SIGUPD(x31,x23,1488); -RVTEST_SIGUPD(x31,x21,1496); -RVTEST_SIGUPD(x31,x24,1504); - -inst_21: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -xor x24, x22, x23; -sm3p1 x22, x24; -xor x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1512); -RVTEST_SIGUPD(x31,x22,1520); -RVTEST_SIGUPD(x31,x25,1528); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -not x24, x23; -sm3p1 x22, x24; -not x25, x22; -RVTEST_SIGUPD(x31,x24,1536); -RVTEST_SIGUPD(x31,x22,1544); -RVTEST_SIGUPD(x31,x25,1552); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x24; dest1:x22; op1val:0xe4367ccad9716f2c; op2val:0x9ea76f0885958881 -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -add x24, x22, x23; -sm3p1 x22, x24; -add x25, x22, x23; -RVTEST_SIGUPD(x31,x24,1560); -RVTEST_SIGUPD(x31,x22,1568); -RVTEST_SIGUPD(x31,x25,1576); - -inst_22: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -xor x25, x23, x24; -sm3p1 x23, x25; -xor x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1584); -RVTEST_SIGUPD(x31,x23,1592); -RVTEST_SIGUPD(x31,x26,1600); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -not x25, x24; -sm3p1 x23, x25; -not x26, x23; -RVTEST_SIGUPD(x31,x25,1608); -RVTEST_SIGUPD(x31,x23,1616); -RVTEST_SIGUPD(x31,x26,1624); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x25; dest1:x23; op1val:0x37c4c6b99530db93; op2val:0x132a717606aec150 -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -add x25, x23, x24; -sm3p1 x23, x25; -add x26, x23, x24; -RVTEST_SIGUPD(x31,x25,1632); -RVTEST_SIGUPD(x31,x23,1640); -RVTEST_SIGUPD(x31,x26,1648); - -inst_23: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -xor x26, x24, x25; -sm3p1 x24, x26; -xor x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1656); -RVTEST_SIGUPD(x31,x24,1664); -RVTEST_SIGUPD(x31,x27,1672); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -not x26, x25; -sm3p1 x24, x26; -not x27, x24; -RVTEST_SIGUPD(x31,x26,1680); -RVTEST_SIGUPD(x31,x24,1688); -RVTEST_SIGUPD(x31,x27,1696); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x26; dest1:x24; op1val:0x04d3e5f42bccd6b6; op2val:0x339863c4d216eea8 -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -add x26, x24, x25; -sm3p1 x24, x26; -add x27, x24, x25; -RVTEST_SIGUPD(x31,x26,1704); -RVTEST_SIGUPD(x31,x24,1712); -RVTEST_SIGUPD(x31,x27,1720); - -inst_24: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -xor x27, x25, x26; -sm3p1 x25, x27; -xor x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1728); -RVTEST_SIGUPD(x31,x25,1736); -RVTEST_SIGUPD(x31,x28,1744); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -not x27, x26; -sm3p1 x25, x27; -not x28, x25; -RVTEST_SIGUPD(x31,x27,1752); -RVTEST_SIGUPD(x31,x25,1760); -RVTEST_SIGUPD(x31,x28,1768); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x27; dest1:x25; op1val:0xd6ac851f07155a89; op2val:0x2d885062faa97965 -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -add x27, x25, x26; -sm3p1 x25, x27; -add x28, x25, x26; -RVTEST_SIGUPD(x31,x27,1776); -RVTEST_SIGUPD(x31,x25,1784); -RVTEST_SIGUPD(x31,x28,1792); - -inst_25: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -xor x28, x26, x27; -sm3p1 x26, x28; -xor x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1800); -RVTEST_SIGUPD(x31,x26,1808); -RVTEST_SIGUPD(x31,x29,1816); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -not x28, x27; -sm3p1 x26, x28; -not x29, x26; -RVTEST_SIGUPD(x31,x28,1824); -RVTEST_SIGUPD(x31,x26,1832); -RVTEST_SIGUPD(x31,x29,1840); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x28; dest1:x26; op1val:0x8d3ba7550c3c31a8; op2val:0xb3e73cbb0d8258c4 -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -add x28, x26, x27; -sm3p1 x26, x28; -add x29, x26, x27; -RVTEST_SIGUPD(x31,x28,1848); -RVTEST_SIGUPD(x31,x26,1856); -RVTEST_SIGUPD(x31,x29,1864); - -inst_26: -// Forwarded xor into sm3p1 & the result back into xor -// opcode: sm3p1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -xor x29, x27, x28; -sm3p1 x27, x29; -xor x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1872); -RVTEST_SIGUPD(x31,x27,1880); -RVTEST_SIGUPD(x31,x30,1888); - -// Forwarded not into sm3p1 & the result back into not -// opcode: sm3p1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -not x29, x28; -sm3p1 x27, x29; -not x30, x27; -RVTEST_SIGUPD(x31,x29,1896); -RVTEST_SIGUPD(x31,x27,1904); -RVTEST_SIGUPD(x31,x30,1912); - -// Forwarded add into sm3p1 & the result back into add -// opcode: sm3p1; op1:x29; dest1:x27; op1val:0x239853f9120ff957; op2val:0x2d13320e40d0e5e7 -li x27, 0x239853f9120ff957; -li x28, 0x2d13320e40d0e5e7; -add x29, x27, x28; -sm3p1 x27, x29; -add x30, x27, x28; -RVTEST_SIGUPD(x31,x29,1920); -RVTEST_SIGUPD(x31,x27,1928); -RVTEST_SIGUPD(x31,x30,1936); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 243*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp2.S deleted file mode 100644 index 62b003137..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp2.S +++ /dev/null @@ -1,307 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sm3p1) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKs.*);def TEST_CASE_1=True;",sm3p1) - -RVTEST_SIGBASE( x31,signature_x31_1) - -la x1, rvtest_data - -inst_0: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x2; dest1:x3; -LREG x2, 0(x1); -sm3p1 x3, x2; -RVTEST_SIGUPD(x31,x2,0); -RVTEST_SIGUPD(x31,x3,8); - -inst_1: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x3; dest1:x4; -LREG x3, 8(x1); -sm3p1 x4, x3; -RVTEST_SIGUPD(x31,x3,16); -RVTEST_SIGUPD(x31,x4,24); - -inst_2: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x4; dest1:x5; -LREG x4, 16(x1); -sm3p1 x5, x4; -RVTEST_SIGUPD(x31,x4,32); -RVTEST_SIGUPD(x31,x5,40); - -inst_3: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x5; dest1:x6; -LREG x5, 24(x1); -sm3p1 x6, x5; -RVTEST_SIGUPD(x31,x5,48); -RVTEST_SIGUPD(x31,x6,56); - -inst_4: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x6; dest1:x7; -LREG x6, 32(x1); -sm3p1 x7, x6; -RVTEST_SIGUPD(x31,x6,64); -RVTEST_SIGUPD(x31,x7,72); - -inst_5: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x7; dest1:x8; -LREG x7, 40(x1); -sm3p1 x8, x7; -RVTEST_SIGUPD(x31,x7,80); -RVTEST_SIGUPD(x31,x8,88); - -inst_6: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x8; dest1:x9; -LREG x8, 48(x1); -sm3p1 x9, x8; -RVTEST_SIGUPD(x31,x8,96); -RVTEST_SIGUPD(x31,x9,104); - -inst_7: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x9; dest1:x10; -LREG x9, 56(x1); -sm3p1 x10, x9; -RVTEST_SIGUPD(x31,x9,112); -RVTEST_SIGUPD(x31,x10,120); - -inst_8: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x10; dest1:x11; -LREG x10, 64(x1); -sm3p1 x11, x10; -RVTEST_SIGUPD(x31,x10,128); -RVTEST_SIGUPD(x31,x11,136); - -inst_9: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x11; dest1:x12; -LREG x11, 72(x1); -sm3p1 x12, x11; -RVTEST_SIGUPD(x31,x11,144); -RVTEST_SIGUPD(x31,x12,152); - -inst_10: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x12; dest1:x13; -LREG x12, 80(x1); -sm3p1 x13, x12; -RVTEST_SIGUPD(x31,x12,160); -RVTEST_SIGUPD(x31,x13,168); - -inst_11: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x13; dest1:x14; -LREG x13, 88(x1); -sm3p1 x14, x13; -RVTEST_SIGUPD(x31,x13,176); -RVTEST_SIGUPD(x31,x14,184); - -inst_12: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x14; dest1:x15; -LREG x14, 96(x1); -sm3p1 x15, x14; -RVTEST_SIGUPD(x31,x14,192); -RVTEST_SIGUPD(x31,x15,200); - -inst_13: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x15; dest1:x16; -LREG x15, 104(x1); -sm3p1 x16, x15; -RVTEST_SIGUPD(x31,x15,208); -RVTEST_SIGUPD(x31,x16,216); - -inst_14: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x16; dest1:x17; -LREG x16, 112(x1); -sm3p1 x17, x16; -RVTEST_SIGUPD(x31,x16,224); -RVTEST_SIGUPD(x31,x17,232); - -inst_15: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x17; dest1:x18; -LREG x17, 120(x1); -sm3p1 x18, x17; -RVTEST_SIGUPD(x31,x17,240); -RVTEST_SIGUPD(x31,x18,248); - -inst_16: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x18; dest1:x19; -LREG x18, 128(x1); -sm3p1 x19, x18; -RVTEST_SIGUPD(x31,x18,256); -RVTEST_SIGUPD(x31,x19,264); - -inst_17: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x19; dest1:x20; -LREG x19, 136(x1); -sm3p1 x20, x19; -RVTEST_SIGUPD(x31,x19,272); -RVTEST_SIGUPD(x31,x20,280); - -inst_18: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x20; dest1:x21; -LREG x20, 144(x1); -sm3p1 x21, x20; -RVTEST_SIGUPD(x31,x20,288); -RVTEST_SIGUPD(x31,x21,296); - -inst_19: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x21; dest1:x22; -LREG x21, 152(x1); -sm3p1 x22, x21; -RVTEST_SIGUPD(x31,x21,304); -RVTEST_SIGUPD(x31,x22,312); - -inst_20: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x22; dest1:x23; -LREG x22, 160(x1); -sm3p1 x23, x22; -RVTEST_SIGUPD(x31,x22,320); -RVTEST_SIGUPD(x31,x23,328); - -inst_21: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x23; dest1:x24; -LREG x23, 168(x1); -sm3p1 x24, x23; -RVTEST_SIGUPD(x31,x23,336); -RVTEST_SIGUPD(x31,x24,344); - -inst_22: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x24; dest1:x25; -LREG x24, 176(x1); -sm3p1 x25, x24; -RVTEST_SIGUPD(x31,x24,352); -RVTEST_SIGUPD(x31,x25,360); - -inst_23: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x25; dest1:x26; -LREG x25, 184(x1); -sm3p1 x26, x25; -RVTEST_SIGUPD(x31,x25,368); -RVTEST_SIGUPD(x31,x26,376); - -inst_24: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x26; dest1:x27; -LREG x26, 192(x1); -sm3p1 x27, x26; -RVTEST_SIGUPD(x31,x26,384); -RVTEST_SIGUPD(x31,x27,392); - -inst_25: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x27; dest1:x28; -LREG x27, 200(x1); -sm3p1 x28, x27; -RVTEST_SIGUPD(x31,x27,400); -RVTEST_SIGUPD(x31,x28,408); - -inst_26: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x28; dest1:x29; -LREG x28, 208(x1); -sm3p1 x29, x28; -RVTEST_SIGUPD(x31,x28,416); -RVTEST_SIGUPD(x31,x29,424); - -inst_27: -// Checking load-to-use hazard! -// opcode: sm3p1; op1:x29; dest1:x30; -LREG x29, 216(x1); -sm3p1 x30, x29; -RVTEST_SIGUPD(x31,x29,432); -RVTEST_SIGUPD(x31,x30,440); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.dword 0x08577eb1924770d3 -.dword 0x93fdcab87b89296c -.dword 0xd2d6b8777dc59a3a -.dword 0xcf84b683a749f9c5 -.dword 0x854a965708ceac39 -.dword 0x137a977753e8eb43 -.dword 0x5c74e45eff1e5bef -.dword 0xdc3383836b9f15c4 -.dword 0x5ae6a2289a6ab329 -.dword 0x432779eeacca7f0d -.dword 0xaf949e5e2cb7362c -.dword 0x5cd2875ea96ec2b3 -.dword 0x9d02fc90708cc1b6 -.dword 0x953b00b00b54aa22 -.dword 0x224c06013c53d0e3 -.dword 0xe8dac663f0e58650 -.dword 0x3d7c95f9e5f0307e -.dword 0x8c8a18b2aaac3142 -.dword 0x785036de6f9fb997 -.dword 0x95a4d257a7298c66 -.dword 0x807da245d814d575 -.dword 0x3d06143769b1dcbf -.dword 0x7f21682208208d09 -.dword 0x14b91c79dae98554 -.dword 0xc5ec6148c6880007 -.dword 0x7213516d6a013380 -.dword 0x4652f62dae4839a1 -.dword 0x85986adb9e044706 -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 56*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-01.S deleted file mode 100644 index b9b5b5d47..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-01.S +++ /dev/null @@ -1,1492 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Thu Aug 12 11:00:04 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sm4ed instruction of the RISC-V _Zks extension for the sm4ed covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I_Zks") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",sm4ed) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",sm4ed) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKsed.*);def TEST_CASE_1=True;",sm4ed) - -RVTEST_SIGBASE( x21,signature_x21_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x12, rs2==x16, rd==x2, rs1_val == 0x0706050403020100 and rs2_val == 0x0b0a090807060504 and imm_val == 0 -// opcode: sm4ed; rd: x2; op1:x12; op2:x16; op1val:0x706050403020100; op2val:0xb0a090807060504; immval:0x0 -TEST_RI_OP(sm4ed, x2, x12, x16, 0x0, 0x0000000000000000, 0x706050403020100, 0xb0a090807060504, x21, 0, x7) - -inst_1: -// rs2 == rd != rs1, rs1==x6, rs2==x15, rd==x15, rs1_val == 0xbc36b151aeb006fc and rs2_val == 0x2cab43d86576923c and imm_val == 0x3 -// opcode: sm4ed; rd: x15; op1:x6; op2:x15; op1val:0xbc36b151aeb006fc; op2val:0x2cab43d86576923c; immval:0x3 -TEST_RI_OP(sm4ed, x15, x6, x15, 0x3, 0x0000000000000000, 0xbc36b151aeb006fc, 0x2cab43d86576923c, x21, 8, x7) - -inst_2: -// rs1 == rs2 != rd, rs1==x28, rs2==x28, rd==x12, rs1_val == 0x156fe482f9fcd292 and rs2_val == 0x24c6435c8dca0621 and imm_val == 0x0 -// opcode: sm4ed; rd: x12; op1:x28; op2:x28; op1val:0x156fe482f9fcd292; op2val:0x156fe482f9fcd292; immval:0x0 -TEST_RI_OP(sm4ed, x12, x28, x28, 0x0, 0x0000000000000000, 0x156fe482f9fcd292, 0x156fe482f9fcd292, x21, 16, x7) - -inst_3: -// rs1 == rs2 == rd, rs1==x3, rs2==x3, rd==x3, rs1_val == 0xa757e91e027e5943 and rs2_val == 0xda73ec2605e6750f and imm_val == 0x2 -// opcode: sm4ed; rd: x3; op1:x3; op2:x3; op1val:0xa757e91e027e5943; op2val:0xa757e91e027e5943; immval:0x2 -TEST_RI_OP(sm4ed, x3, x3, x3, 0x2, 0x0000000000000000, 0xa757e91e027e5943, 0xa757e91e027e5943, x21, 24, x7) - -inst_4: -// rs1 == rd != rs2, rs1==x20, rs2==x12, rd==x20, rs1_val == 0xf452cbd863fa921d and rs2_val == 0x4b6587d88ef464d1 and imm_val == 0x3 -// opcode: sm4ed; rd: x20; op1:x20; op2:x12; op1val:0xf452cbd863fa921d; op2val:0x4b6587d88ef464d1; immval:0x3 -TEST_RI_OP(sm4ed, x20, x20, x12, 0x3, 0x0000000000000000, 0xf452cbd863fa921d, 0x4b6587d88ef464d1, x21, 32, x7) - -inst_5: -// rs1==x23, rs2==x11, rd==x1, rs1_val == 0xd40f46a4356c1dcc and rs2_val == 0x30c33d97184e0f23 and imm_val == 0x0 -// opcode: sm4ed; rd: x1; op1:x23; op2:x11; op1val:0xd40f46a4356c1dcc; op2val:0x30c33d97184e0f23; immval:0x0 -TEST_RI_OP(sm4ed, x1, x23, x11, 0x0, 0x0000000000000000, 0xd40f46a4356c1dcc, 0x30c33d97184e0f23, x21, 40, x7) - -inst_6: -// rs1==x17, rs2==x9, rd==x14, rs1_val == 0x6d23c0488a6019c1 and rs2_val == 0x860bdaad7447a088 and imm_val == 0x2 -// opcode: sm4ed; rd: x14; op1:x17; op2:x9; op1val:0x6d23c0488a6019c1; op2val:0x860bdaad7447a088; immval:0x2 -TEST_RI_OP(sm4ed, x14, x17, x9, 0x2, 0x0000000000000000, 0x6d23c0488a6019c1, 0x860bdaad7447a088, x21, 48, x7) - -inst_7: -// rs1==x27, rs2==x2, rd==x9, rs1_val == 0x17168ab3a4351379 and rs2_val == 0xbbb4f560f222070c and imm_val == 0x0 -// opcode: sm4ed; rd: x9; op1:x27; op2:x2; op1val:0x17168ab3a4351379; op2val:0xbbb4f560f222070c; immval:0x0 -TEST_RI_OP(sm4ed, x9, x27, x2, 0x0, 0x0000000000000000, 0x17168ab3a4351379, 0xbbb4f560f222070c, x21, 56, x7) - -inst_8: -// rs1==x16, rs2==x19, rd==x5, rs1_val == 0x3fb0fe60ef1d54db and rs2_val == 0x09ff42451826a804 and imm_val == 0x1 -// opcode: sm4ed; rd: x5; op1:x16; op2:x19; op1val:0x3fb0fe60ef1d54db; op2val:0x9ff42451826a804; immval:0x1 -TEST_RI_OP(sm4ed, x5, x16, x19, 0x1, 0x0000000000000000, 0x3fb0fe60ef1d54db, 0x9ff42451826a804, x21, 64, x7) - -inst_9: -// rs1==x4, rs2==x1, rd==x18, rs1_val == 0xcc7b22010ca3ef52 and rs2_val == 0xc150f4d3df74d068 and imm_val == 0x1 -// opcode: sm4ed; rd: x18; op1:x4; op2:x1; op1val:0xcc7b22010ca3ef52; op2val:0xc150f4d3df74d068; immval:0x1 -TEST_RI_OP(sm4ed, x18, x4, x1, 0x1, 0x0000000000000000, 0xcc7b22010ca3ef52, 0xc150f4d3df74d068, x21, 72, x7) - -inst_10: -// rs1==x24, rs2==x29, rd==x28, rs1_val == 0x358a9235987daa20 and rs2_val == 0xb369e10209f393d7 and imm_val == 0x1 -// opcode: sm4ed; rd: x28; op1:x24; op2:x29; op1val:0x358a9235987daa20; op2val:0xb369e10209f393d7; immval:0x1 -TEST_RI_OP(sm4ed, x28, x24, x29, 0x1, 0x0000000000000000, 0x358a9235987daa20, 0xb369e10209f393d7, x21, 80, x7) - -inst_11: -// rs1==x0, rs2==x25, rd==x11, rs1_val == 0x91766f62ba2be4d3 and rs2_val == 0x74a813d25570084b and imm_val == 0x0 -// opcode: sm4ed; rd: x11; op1:x0; op2:x25; op1val:0x0; op2val:0x74a813d25570084b; immval:0x0 -TEST_RI_OP(sm4ed, x11, x0, x25, 0x0, 0x0000000000000000, 0x0, 0x74a813d25570084b, x21, 88, x7) - -inst_12: -// rs1==x10, rs2==x31, rd==x30, rs1_val == 0xb7c1fc5f1efa1095 and rs2_val == 0xe2cbb9ab3819fe4d and imm_val == 0x1 -// opcode: sm4ed; rd: x30; op1:x10; op2:x31; op1val:0xb7c1fc5f1efa1095; op2val:0xe2cbb9ab3819fe4d; immval:0x1 -TEST_RI_OP(sm4ed, x30, x10, x31, 0x1, 0x0000000000000000, 0xb7c1fc5f1efa1095, 0xe2cbb9ab3819fe4d, x21, 96, x7) - -inst_13: -// rs1==x15, rs2==x18, rd==x27, rs1_val == 0xa6c9253a4cc6382e and rs2_val == 0x25ae27ee4113ee60 and imm_val == 0x2 -// opcode: sm4ed; rd: x27; op1:x15; op2:x18; op1val:0xa6c9253a4cc6382e; op2val:0x25ae27ee4113ee60; immval:0x2 -TEST_RI_OP(sm4ed, x27, x15, x18, 0x2, 0x0000000000000000, 0xa6c9253a4cc6382e, 0x25ae27ee4113ee60, x21, 104, x7) - -inst_14: -// rs1==x11, rs2==x8, rd==x13, rs1_val == 0xf17f6920daaafe5c and rs2_val == 0x7bcad7c4ff9a1b80 and imm_val == 0x0 -// opcode: sm4ed; rd: x13; op1:x11; op2:x8; op1val:0xf17f6920daaafe5c; op2val:0x7bcad7c4ff9a1b80; immval:0x0 -TEST_RI_OP(sm4ed, x13, x11, x8, 0x0, 0x0000000000000000, 0xf17f6920daaafe5c, 0x7bcad7c4ff9a1b80, x21, 112, x7) - -inst_15: -// rs1==x14, rs2==x6, rd==x0, rs1_val == 0x3150e5fa299c3bcf and rs2_val == 0xe6fff3d9ec1ce9d2 and imm_val == 0x1 -// opcode: sm4ed; rd: x0; op1:x14; op2:x6; op1val:0x3150e5fa299c3bcf; op2val:0xe6fff3d9ec1ce9d2; immval:0x1 -TEST_RI_OP(sm4ed, x0, x14, x6, 0x1, 0x0000000000000000, 0x3150e5fa299c3bcf, 0xe6fff3d9ec1ce9d2, x21, 120, x15) - -inst_16: -// rs1==x29, rs2==x27, rd==x16, rs1_val == 0x1fc493caa371db42 and rs2_val == 0x9a4e9ef10171f4df and imm_val == 0x1 -// opcode: sm4ed; rd: x16; op1:x29; op2:x27; op1val:0x1fc493caa371db42; op2val:0x9a4e9ef10171f4df; immval:0x1 -TEST_RI_OP(sm4ed, x16, x29, x27, 0x1, 0x0000000000000000, 0x1fc493caa371db42, 0x9a4e9ef10171f4df, x21, 128, x15) - -inst_17: -// rs1==x5, rs2==x17, rd==x6, rs1_val == 0xf5faf2073430cb17 and rs2_val == 0x8e2eac2a760b3c5e and imm_val == 0x3 -// opcode: sm4ed; rd: x6; op1:x5; op2:x17; op1val:0xf5faf2073430cb17; op2val:0x8e2eac2a760b3c5e; immval:0x3 -TEST_RI_OP(sm4ed, x6, x5, x17, 0x3, 0x0000000000000000, 0xf5faf2073430cb17, 0x8e2eac2a760b3c5e, x21, 136, x15) - -inst_18: -// rs1==x19, rs2==x13, rd==x7, rs1_val == 0xbc991c531484f407 and rs2_val == 0x55d98c6e3459294e and imm_val == 0x2 -// opcode: sm4ed; rd: x7; op1:x19; op2:x13; op1val:0xbc991c531484f407; op2val:0x55d98c6e3459294e; immval:0x2 -TEST_RI_OP(sm4ed, x7, x19, x13, 0x2, 0x0000000000000000, 0xbc991c531484f407, 0x55d98c6e3459294e, x21, 144, x15) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_19: -// rs1==x26, rs2==x22, rd==x23, rs1_val == 0x59885afcbb61a9cd and rs2_val == 0xccce240c81c1e7ff and imm_val == 0x0 -// opcode: sm4ed; rd: x23; op1:x26; op2:x22; op1val:0x59885afcbb61a9cd; op2val:0xccce240c81c1e7ff; immval:0x0 -TEST_RI_OP(sm4ed, x23, x26, x22, 0x0, 0x0000000000000000, 0x59885afcbb61a9cd, 0xccce240c81c1e7ff, x3, 0, x15) - -inst_20: -// rs1==x1, rs2==x21, rd==x4, rs1_val == 0x75a3adb3254a9493 and rs2_val == 0xc5521660f3a3c571 and imm_val == 0x3 -// opcode: sm4ed; rd: x4; op1:x1; op2:x21; op1val:0x75a3adb3254a9493; op2val:0xc5521660f3a3c571; immval:0x3 -TEST_RI_OP(sm4ed, x4, x1, x21, 0x3, 0x0000000000000000, 0x75a3adb3254a9493, 0xc5521660f3a3c571, x3, 8, x15) - -inst_21: -// rs1==x13, rs2==x30, rd==x31, rs1_val == 0x03020100fffefdfc and rs2_val == 0xfffefdfcfbfaf9f8 and imm_val == 3 -// opcode: sm4ed; rd: x31; op1:x13; op2:x30; op1val:0x3020100fffefdfc; op2val:0xfffefdfcfbfaf9f8; immval:0x3 -TEST_RI_OP(sm4ed, x31, x13, x30, 0x3, 0x0000000000000000, 0x3020100fffefdfc, 0xfffefdfcfbfaf9f8, x3, 16, x15) - -inst_22: -// rs1==x31, rs2==x20, rd==x22, rs1_val == 0x03020100fffefdfc and rs2_val == 0xfffefdfcfbfaf9f8 and imm_val == 2 -// opcode: sm4ed; rd: x22; op1:x31; op2:x20; op1val:0x3020100fffefdfc; op2val:0xfffefdfcfbfaf9f8; immval:0x2 -TEST_RI_OP(sm4ed, x22, x31, x20, 0x2, 0x0000000000000000, 0x3020100fffefdfc, 0xfffefdfcfbfaf9f8, x3, 24, x15) - -inst_23: -// rs1==x22, rs2==x23, rd==x29, rs1_val == 0x03020100fffefdfc and rs2_val == 0xfffefdfcfbfaf9f8 and imm_val == 1 -// opcode: sm4ed; rd: x29; op1:x22; op2:x23; op1val:0x3020100fffefdfc; op2val:0xfffefdfcfbfaf9f8; immval:0x1 -TEST_RI_OP(sm4ed, x29, x22, x23, 0x1, 0x0000000000000000, 0x3020100fffefdfc, 0xfffefdfcfbfaf9f8, x3, 32, x15) - -inst_24: -// rs1==x30, rs2==x26, rd==x10, rs1_val == 0x03020100fffefdfc and rs2_val == 0xfffefdfcfbfaf9f8 and imm_val == 0 -// opcode: sm4ed; rd: x10; op1:x30; op2:x26; op1val:0x3020100fffefdfc; op2val:0xfffefdfcfbfaf9f8; immval:0x0 -TEST_RI_OP(sm4ed, x10, x30, x26, 0x0, 0x0000000000000000, 0x3020100fffefdfc, 0xfffefdfcfbfaf9f8, x3, 40, x15) - -inst_25: -// rs1==x25, rs2==x7, rd==x19, rs1_val == 0xfffefdfcfbfaf9f8 and rs2_val == 0x03020100fffefdfc and imm_val == 3 -// opcode: sm4ed; rd: x19; op1:x25; op2:x7; op1val:0xfffefdfcfbfaf9f8; op2val:0x3020100fffefdfc; immval:0x3 -TEST_RI_OP(sm4ed, x19, x25, x7, 0x3, 0x0000000000000000, 0xfffefdfcfbfaf9f8, 0x3020100fffefdfc, x3, 48, x15) - -inst_26: -// rs1==x2, rs2==x4, rd==x26, rs1_val == 0xfffefdfcfbfaf9f8 and rs2_val == 0x03020100fffefdfc and imm_val == 2 -// opcode: sm4ed; rd: x26; op1:x2; op2:x4; op1val:0xfffefdfcfbfaf9f8; op2val:0x3020100fffefdfc; immval:0x2 -TEST_RI_OP(sm4ed, x26, x2, x4, 0x2, 0x0000000000000000, 0xfffefdfcfbfaf9f8, 0x3020100fffefdfc, x3, 56, x15) - -inst_27: -// rs1==x18, rs2==x14, rd==x24, rs1_val == 0xfffefdfcfbfaf9f8 and rs2_val == 0x03020100fffefdfc and imm_val == 1 -// opcode: sm4ed; rd: x24; op1:x18; op2:x14; op1val:0xfffefdfcfbfaf9f8; op2val:0x3020100fffefdfc; immval:0x1 -TEST_RI_OP(sm4ed, x24, x18, x14, 0x1, 0x0000000000000000, 0xfffefdfcfbfaf9f8, 0x3020100fffefdfc, x3, 64, x15) - -inst_28: -// rs1==x21, rs2==x10, rd==x17, rs1_val == 0xfffefdfcfbfaf9f8 and rs2_val == 0x03020100fffefdfc and imm_val == 0 -// opcode: sm4ed; rd: x17; op1:x21; op2:x10; op1val:0xfffefdfcfbfaf9f8; op2val:0x3020100fffefdfc; immval:0x0 -TEST_RI_OP(sm4ed, x17, x21, x10, 0x0, 0x0000000000000000, 0xfffefdfcfbfaf9f8, 0x3020100fffefdfc, x3, 72, x15) - -inst_29: -// rs1==x9, rs2==x24, rd==x25, rs1_val == 0xfbfaf9f8f7f6f5f4 and rs2_val == 0xf7f6f5f4f3f2f1f0 and imm_val == 3 -// opcode: sm4ed; rd: x25; op1:x9; op2:x24; op1val:0xfbfaf9f8f7f6f5f4; op2val:0xf7f6f5f4f3f2f1f0; immval:0x3 -TEST_RI_OP(sm4ed, x25, x9, x24, 0x3, 0x0000000000000000, 0xfbfaf9f8f7f6f5f4, 0xf7f6f5f4f3f2f1f0, x3, 80, x15) - -inst_30: -// rs1==x7, rs2==x5, rd==x8, rs1_val == 0xfbfaf9f8f7f6f5f4 and rs2_val == 0xf7f6f5f4f3f2f1f0 and imm_val == 2 -// opcode: sm4ed; rd: x8; op1:x7; op2:x5; op1val:0xfbfaf9f8f7f6f5f4; op2val:0xf7f6f5f4f3f2f1f0; immval:0x2 -TEST_RI_OP(sm4ed, x8, x7, x5, 0x2, 0x0000000000000000, 0xfbfaf9f8f7f6f5f4, 0xf7f6f5f4f3f2f1f0, x3, 88, x15) - -inst_31: -// rs1==x8, rs2==x0, rd==x21, rs1_val == 0xfbfaf9f8f7f6f5f4 and rs2_val == 0xf7f6f5f4f3f2f1f0 and imm_val == 1 -// opcode: sm4ed; rd: x21; op1:x8; op2:x0; op1val:0xfbfaf9f8f7f6f5f4; op2val:0x0; immval:0x1 -TEST_RI_OP(sm4ed, x21, x8, x0, 0x1, 0x0000000000000000, 0xfbfaf9f8f7f6f5f4, 0x0, x3, 96, x15) - -inst_32: -// rs1_val == 0xfbfaf9f8f7f6f5f4 and rs2_val == 0xf7f6f5f4f3f2f1f0 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xfbfaf9f8f7f6f5f4; op2val:0xf7f6f5f4f3f2f1f0; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xfbfaf9f8f7f6f5f4, 0xf7f6f5f4f3f2f1f0, x3, 104, x15) - -inst_33: -// rs1_val == 0xf7f6f5f4f3f2f1f0 and rs2_val == 0xfbfaf9f8f7f6f5f4 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xf7f6f5f4f3f2f1f0; op2val:0xfbfaf9f8f7f6f5f4; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xf7f6f5f4f3f2f1f0, 0xfbfaf9f8f7f6f5f4, x3, 112, x1) - -inst_34: -// rs1_val == 0xf7f6f5f4f3f2f1f0 and rs2_val == 0xfbfaf9f8f7f6f5f4 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xf7f6f5f4f3f2f1f0; op2val:0xfbfaf9f8f7f6f5f4; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xf7f6f5f4f3f2f1f0, 0xfbfaf9f8f7f6f5f4, x3, 120, x1) - -inst_35: -// rs1_val == 0xf7f6f5f4f3f2f1f0 and rs2_val == 0xfbfaf9f8f7f6f5f4 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xf7f6f5f4f3f2f1f0; op2val:0xfbfaf9f8f7f6f5f4; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xf7f6f5f4f3f2f1f0, 0xfbfaf9f8f7f6f5f4, x3, 128, x1) - -inst_36: -// rs1_val == 0xf7f6f5f4f3f2f1f0 and rs2_val == 0xfbfaf9f8f7f6f5f4 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xf7f6f5f4f3f2f1f0; op2val:0xfbfaf9f8f7f6f5f4; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xf7f6f5f4f3f2f1f0, 0xfbfaf9f8f7f6f5f4, x3, 136, x1) - -inst_37: -// rs1_val == 0xf3f2f1f0efeeedec and rs2_val == 0xefeeedecebeae9e8 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xf3f2f1f0efeeedec; op2val:0xefeeedecebeae9e8; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xf3f2f1f0efeeedec, 0xefeeedecebeae9e8, x3, 144, x1) - -inst_38: -// rs1_val == 0xf3f2f1f0efeeedec and rs2_val == 0xefeeedecebeae9e8 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xf3f2f1f0efeeedec; op2val:0xefeeedecebeae9e8; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xf3f2f1f0efeeedec, 0xefeeedecebeae9e8, x3, 152, x1) - -inst_39: -// rs1_val == 0xf3f2f1f0efeeedec and rs2_val == 0xefeeedecebeae9e8 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xf3f2f1f0efeeedec; op2val:0xefeeedecebeae9e8; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xf3f2f1f0efeeedec, 0xefeeedecebeae9e8, x3, 160, x1) - -inst_40: -// rs1_val == 0xf3f2f1f0efeeedec and rs2_val == 0xefeeedecebeae9e8 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xf3f2f1f0efeeedec; op2val:0xefeeedecebeae9e8; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xf3f2f1f0efeeedec, 0xefeeedecebeae9e8, x3, 168, x1) - -inst_41: -// rs1_val == 0xefeeedecebeae9e8 and rs2_val == 0xf3f2f1f0efeeedec and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xefeeedecebeae9e8; op2val:0xf3f2f1f0efeeedec; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xefeeedecebeae9e8, 0xf3f2f1f0efeeedec, x3, 176, x1) - -inst_42: -// rs1_val == 0xefeeedecebeae9e8 and rs2_val == 0xf3f2f1f0efeeedec and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xefeeedecebeae9e8; op2val:0xf3f2f1f0efeeedec; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xefeeedecebeae9e8, 0xf3f2f1f0efeeedec, x3, 184, x1) - -inst_43: -// rs1_val == 0xefeeedecebeae9e8 and rs2_val == 0xf3f2f1f0efeeedec and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xefeeedecebeae9e8; op2val:0xf3f2f1f0efeeedec; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xefeeedecebeae9e8, 0xf3f2f1f0efeeedec, x3, 192, x1) - -inst_44: -// rs1_val == 0xefeeedecebeae9e8 and rs2_val == 0xf3f2f1f0efeeedec and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xefeeedecebeae9e8; op2val:0xf3f2f1f0efeeedec; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xefeeedecebeae9e8, 0xf3f2f1f0efeeedec, x3, 200, x1) - -inst_45: -// rs1_val == 0xebeae9e8e7e6e5e4 and rs2_val == 0xe7e6e5e4e3e2e1e0 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xebeae9e8e7e6e5e4; op2val:0xe7e6e5e4e3e2e1e0; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xebeae9e8e7e6e5e4, 0xe7e6e5e4e3e2e1e0, x3, 208, x1) - -inst_46: -// rs1_val == 0xebeae9e8e7e6e5e4 and rs2_val == 0xe7e6e5e4e3e2e1e0 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xebeae9e8e7e6e5e4; op2val:0xe7e6e5e4e3e2e1e0; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xebeae9e8e7e6e5e4, 0xe7e6e5e4e3e2e1e0, x3, 216, x1) - -inst_47: -// rs1_val == 0xebeae9e8e7e6e5e4 and rs2_val == 0xe7e6e5e4e3e2e1e0 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xebeae9e8e7e6e5e4; op2val:0xe7e6e5e4e3e2e1e0; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xebeae9e8e7e6e5e4, 0xe7e6e5e4e3e2e1e0, x3, 224, x1) - -inst_48: -// rs1_val == 0xebeae9e8e7e6e5e4 and rs2_val == 0xe7e6e5e4e3e2e1e0 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xebeae9e8e7e6e5e4; op2val:0xe7e6e5e4e3e2e1e0; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xebeae9e8e7e6e5e4, 0xe7e6e5e4e3e2e1e0, x3, 232, x1) - -inst_49: -// rs1_val == 0xe7e6e5e4e3e2e1e0 and rs2_val == 0xebeae9e8e7e6e5e4 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xe7e6e5e4e3e2e1e0; op2val:0xebeae9e8e7e6e5e4; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xe7e6e5e4e3e2e1e0, 0xebeae9e8e7e6e5e4, x3, 240, x1) - -inst_50: -// rs1_val == 0xe7e6e5e4e3e2e1e0 and rs2_val == 0xebeae9e8e7e6e5e4 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xe7e6e5e4e3e2e1e0; op2val:0xebeae9e8e7e6e5e4; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xe7e6e5e4e3e2e1e0, 0xebeae9e8e7e6e5e4, x3, 248, x1) - -inst_51: -// rs1_val == 0xe7e6e5e4e3e2e1e0 and rs2_val == 0xebeae9e8e7e6e5e4 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xe7e6e5e4e3e2e1e0; op2val:0xebeae9e8e7e6e5e4; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xe7e6e5e4e3e2e1e0, 0xebeae9e8e7e6e5e4, x3, 256, x1) - -inst_52: -// rs1_val == 0xe7e6e5e4e3e2e1e0 and rs2_val == 0xebeae9e8e7e6e5e4 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xe7e6e5e4e3e2e1e0; op2val:0xebeae9e8e7e6e5e4; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xe7e6e5e4e3e2e1e0, 0xebeae9e8e7e6e5e4, x3, 264, x1) - -inst_53: -// rs1_val == 0xe3e2e1e0dfdedddc and rs2_val == 0xdfdedddcdbdad9d8 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xe3e2e1e0dfdedddc; op2val:0xdfdedddcdbdad9d8; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xe3e2e1e0dfdedddc, 0xdfdedddcdbdad9d8, x3, 272, x1) - -inst_54: -// rs1_val == 0xe3e2e1e0dfdedddc and rs2_val == 0xdfdedddcdbdad9d8 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xe3e2e1e0dfdedddc; op2val:0xdfdedddcdbdad9d8; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xe3e2e1e0dfdedddc, 0xdfdedddcdbdad9d8, x3, 280, x1) - -inst_55: -// rs1_val == 0xe3e2e1e0dfdedddc and rs2_val == 0xdfdedddcdbdad9d8 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xe3e2e1e0dfdedddc; op2val:0xdfdedddcdbdad9d8; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xe3e2e1e0dfdedddc, 0xdfdedddcdbdad9d8, x3, 288, x1) - -inst_56: -// rs1_val == 0xe3e2e1e0dfdedddc and rs2_val == 0xdfdedddcdbdad9d8 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xe3e2e1e0dfdedddc; op2val:0xdfdedddcdbdad9d8; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xe3e2e1e0dfdedddc, 0xdfdedddcdbdad9d8, x3, 296, x1) - -inst_57: -// rs1_val == 0xdfdedddcdbdad9d8 and rs2_val == 0xe3e2e1e0dfdedddc and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xdfdedddcdbdad9d8; op2val:0xe3e2e1e0dfdedddc; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xdfdedddcdbdad9d8, 0xe3e2e1e0dfdedddc, x3, 304, x1) - -inst_58: -// rs1_val == 0xdfdedddcdbdad9d8 and rs2_val == 0xe3e2e1e0dfdedddc and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xdfdedddcdbdad9d8; op2val:0xe3e2e1e0dfdedddc; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xdfdedddcdbdad9d8, 0xe3e2e1e0dfdedddc, x3, 312, x1) - -inst_59: -// rs1_val == 0xdfdedddcdbdad9d8 and rs2_val == 0xe3e2e1e0dfdedddc and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xdfdedddcdbdad9d8; op2val:0xe3e2e1e0dfdedddc; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xdfdedddcdbdad9d8, 0xe3e2e1e0dfdedddc, x3, 320, x1) - -inst_60: -// rs1_val == 0xdfdedddcdbdad9d8 and rs2_val == 0xe3e2e1e0dfdedddc and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xdfdedddcdbdad9d8; op2val:0xe3e2e1e0dfdedddc; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xdfdedddcdbdad9d8, 0xe3e2e1e0dfdedddc, x3, 328, x1) - -inst_61: -// rs1_val == 0xdbdad9d8d7d6d5d4 and rs2_val == 0xd7d6d5d4d3d2d1d0 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xdbdad9d8d7d6d5d4; op2val:0xd7d6d5d4d3d2d1d0; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xdbdad9d8d7d6d5d4, 0xd7d6d5d4d3d2d1d0, x3, 336, x1) - -inst_62: -// rs1_val == 0xdbdad9d8d7d6d5d4 and rs2_val == 0xd7d6d5d4d3d2d1d0 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xdbdad9d8d7d6d5d4; op2val:0xd7d6d5d4d3d2d1d0; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xdbdad9d8d7d6d5d4, 0xd7d6d5d4d3d2d1d0, x3, 344, x1) - -inst_63: -// rs1_val == 0xdbdad9d8d7d6d5d4 and rs2_val == 0xd7d6d5d4d3d2d1d0 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xdbdad9d8d7d6d5d4; op2val:0xd7d6d5d4d3d2d1d0; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xdbdad9d8d7d6d5d4, 0xd7d6d5d4d3d2d1d0, x3, 352, x1) - -inst_64: -// rs1_val == 0xdbdad9d8d7d6d5d4 and rs2_val == 0xd7d6d5d4d3d2d1d0 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xdbdad9d8d7d6d5d4; op2val:0xd7d6d5d4d3d2d1d0; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xdbdad9d8d7d6d5d4, 0xd7d6d5d4d3d2d1d0, x3, 360, x1) - -inst_65: -// rs1_val == 0xd7d6d5d4d3d2d1d0 and rs2_val == 0xdbdad9d8d7d6d5d4 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xd7d6d5d4d3d2d1d0; op2val:0xdbdad9d8d7d6d5d4; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xd7d6d5d4d3d2d1d0, 0xdbdad9d8d7d6d5d4, x3, 368, x1) - -inst_66: -// rs1_val == 0xd7d6d5d4d3d2d1d0 and rs2_val == 0xdbdad9d8d7d6d5d4 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xd7d6d5d4d3d2d1d0; op2val:0xdbdad9d8d7d6d5d4; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xd7d6d5d4d3d2d1d0, 0xdbdad9d8d7d6d5d4, x3, 376, x1) - -inst_67: -// rs1_val == 0xd7d6d5d4d3d2d1d0 and rs2_val == 0xdbdad9d8d7d6d5d4 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xd7d6d5d4d3d2d1d0; op2val:0xdbdad9d8d7d6d5d4; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xd7d6d5d4d3d2d1d0, 0xdbdad9d8d7d6d5d4, x3, 384, x1) - -inst_68: -// rs1_val == 0xd7d6d5d4d3d2d1d0 and rs2_val == 0xdbdad9d8d7d6d5d4 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xd7d6d5d4d3d2d1d0; op2val:0xdbdad9d8d7d6d5d4; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xd7d6d5d4d3d2d1d0, 0xdbdad9d8d7d6d5d4, x3, 392, x1) - -inst_69: -// rs1_val == 0xd3d2d1d0cfcecdcc and rs2_val == 0xcfcecdcccbcac9c8 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xd3d2d1d0cfcecdcc; op2val:0xcfcecdcccbcac9c8; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xd3d2d1d0cfcecdcc, 0xcfcecdcccbcac9c8, x3, 400, x1) - -inst_70: -// rs1_val == 0xd3d2d1d0cfcecdcc and rs2_val == 0xcfcecdcccbcac9c8 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xd3d2d1d0cfcecdcc; op2val:0xcfcecdcccbcac9c8; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xd3d2d1d0cfcecdcc, 0xcfcecdcccbcac9c8, x3, 408, x1) - -inst_71: -// rs1_val == 0xd3d2d1d0cfcecdcc and rs2_val == 0xcfcecdcccbcac9c8 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xd3d2d1d0cfcecdcc; op2val:0xcfcecdcccbcac9c8; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xd3d2d1d0cfcecdcc, 0xcfcecdcccbcac9c8, x3, 416, x1) - -inst_72: -// rs1_val == 0xd3d2d1d0cfcecdcc and rs2_val == 0xcfcecdcccbcac9c8 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xd3d2d1d0cfcecdcc; op2val:0xcfcecdcccbcac9c8; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xd3d2d1d0cfcecdcc, 0xcfcecdcccbcac9c8, x3, 424, x1) - -inst_73: -// rs1_val == 0xcfcecdcccbcac9c8 and rs2_val == 0xd3d2d1d0cfcecdcc and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xcfcecdcccbcac9c8; op2val:0xd3d2d1d0cfcecdcc; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xcfcecdcccbcac9c8, 0xd3d2d1d0cfcecdcc, x3, 432, x1) - -inst_74: -// rs1_val == 0xcfcecdcccbcac9c8 and rs2_val == 0xd3d2d1d0cfcecdcc and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xcfcecdcccbcac9c8; op2val:0xd3d2d1d0cfcecdcc; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xcfcecdcccbcac9c8, 0xd3d2d1d0cfcecdcc, x3, 440, x1) - -inst_75: -// rs1_val == 0xcfcecdcccbcac9c8 and rs2_val == 0xd3d2d1d0cfcecdcc and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xcfcecdcccbcac9c8; op2val:0xd3d2d1d0cfcecdcc; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xcfcecdcccbcac9c8, 0xd3d2d1d0cfcecdcc, x3, 448, x1) - -inst_76: -// rs1_val == 0xcfcecdcccbcac9c8 and rs2_val == 0xd3d2d1d0cfcecdcc and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xcfcecdcccbcac9c8; op2val:0xd3d2d1d0cfcecdcc; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xcfcecdcccbcac9c8, 0xd3d2d1d0cfcecdcc, x3, 456, x1) - -inst_77: -// rs1_val == 0xcbcac9c8c7c6c5c4 and rs2_val == 0xc7c6c5c4c3c2c1c0 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xcbcac9c8c7c6c5c4; op2val:0xc7c6c5c4c3c2c1c0; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xcbcac9c8c7c6c5c4, 0xc7c6c5c4c3c2c1c0, x3, 464, x1) - -inst_78: -// rs1_val == 0xcbcac9c8c7c6c5c4 and rs2_val == 0xc7c6c5c4c3c2c1c0 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xcbcac9c8c7c6c5c4; op2val:0xc7c6c5c4c3c2c1c0; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xcbcac9c8c7c6c5c4, 0xc7c6c5c4c3c2c1c0, x3, 472, x1) - -inst_79: -// rs1_val == 0xcbcac9c8c7c6c5c4 and rs2_val == 0xc7c6c5c4c3c2c1c0 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xcbcac9c8c7c6c5c4; op2val:0xc7c6c5c4c3c2c1c0; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xcbcac9c8c7c6c5c4, 0xc7c6c5c4c3c2c1c0, x3, 480, x1) - -inst_80: -// rs1_val == 0xcbcac9c8c7c6c5c4 and rs2_val == 0xc7c6c5c4c3c2c1c0 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xcbcac9c8c7c6c5c4; op2val:0xc7c6c5c4c3c2c1c0; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xcbcac9c8c7c6c5c4, 0xc7c6c5c4c3c2c1c0, x3, 488, x1) - -inst_81: -// rs1_val == 0xc7c6c5c4c3c2c1c0 and rs2_val == 0xcbcac9c8c7c6c5c4 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xc7c6c5c4c3c2c1c0; op2val:0xcbcac9c8c7c6c5c4; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xc7c6c5c4c3c2c1c0, 0xcbcac9c8c7c6c5c4, x3, 496, x1) - -inst_82: -// rs1_val == 0xc7c6c5c4c3c2c1c0 and rs2_val == 0xcbcac9c8c7c6c5c4 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xc7c6c5c4c3c2c1c0; op2val:0xcbcac9c8c7c6c5c4; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xc7c6c5c4c3c2c1c0, 0xcbcac9c8c7c6c5c4, x3, 504, x1) - -inst_83: -// rs1_val == 0xc7c6c5c4c3c2c1c0 and rs2_val == 0xcbcac9c8c7c6c5c4 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xc7c6c5c4c3c2c1c0; op2val:0xcbcac9c8c7c6c5c4; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xc7c6c5c4c3c2c1c0, 0xcbcac9c8c7c6c5c4, x3, 512, x1) - -inst_84: -// rs1_val == 0xc7c6c5c4c3c2c1c0 and rs2_val == 0xcbcac9c8c7c6c5c4 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xc7c6c5c4c3c2c1c0; op2val:0xcbcac9c8c7c6c5c4; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xc7c6c5c4c3c2c1c0, 0xcbcac9c8c7c6c5c4, x3, 520, x1) - -inst_85: -// rs1_val == 0xc3c2c1c0bfbebdbc and rs2_val == 0xbfbebdbcbbbab9b8 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xc3c2c1c0bfbebdbc; op2val:0xbfbebdbcbbbab9b8; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xc3c2c1c0bfbebdbc, 0xbfbebdbcbbbab9b8, x3, 528, x1) - -inst_86: -// rs1_val == 0xc3c2c1c0bfbebdbc and rs2_val == 0xbfbebdbcbbbab9b8 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xc3c2c1c0bfbebdbc; op2val:0xbfbebdbcbbbab9b8; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xc3c2c1c0bfbebdbc, 0xbfbebdbcbbbab9b8, x3, 536, x1) - -inst_87: -// rs1_val == 0xc3c2c1c0bfbebdbc and rs2_val == 0xbfbebdbcbbbab9b8 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xc3c2c1c0bfbebdbc; op2val:0xbfbebdbcbbbab9b8; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xc3c2c1c0bfbebdbc, 0xbfbebdbcbbbab9b8, x3, 544, x1) - -inst_88: -// rs1_val == 0xc3c2c1c0bfbebdbc and rs2_val == 0xbfbebdbcbbbab9b8 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xc3c2c1c0bfbebdbc; op2val:0xbfbebdbcbbbab9b8; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xc3c2c1c0bfbebdbc, 0xbfbebdbcbbbab9b8, x3, 552, x1) - -inst_89: -// rs1_val == 0xbfbebdbcbbbab9b8 and rs2_val == 0xc3c2c1c0bfbebdbc and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xbfbebdbcbbbab9b8; op2val:0xc3c2c1c0bfbebdbc; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xbfbebdbcbbbab9b8, 0xc3c2c1c0bfbebdbc, x3, 560, x1) - -inst_90: -// rs1_val == 0xbfbebdbcbbbab9b8 and rs2_val == 0xc3c2c1c0bfbebdbc and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xbfbebdbcbbbab9b8; op2val:0xc3c2c1c0bfbebdbc; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xbfbebdbcbbbab9b8, 0xc3c2c1c0bfbebdbc, x3, 568, x1) - -inst_91: -// rs1_val == 0xbfbebdbcbbbab9b8 and rs2_val == 0xc3c2c1c0bfbebdbc and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xbfbebdbcbbbab9b8; op2val:0xc3c2c1c0bfbebdbc; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xbfbebdbcbbbab9b8, 0xc3c2c1c0bfbebdbc, x3, 576, x1) - -inst_92: -// rs1_val == 0xbfbebdbcbbbab9b8 and rs2_val == 0xc3c2c1c0bfbebdbc and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xbfbebdbcbbbab9b8; op2val:0xc3c2c1c0bfbebdbc; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xbfbebdbcbbbab9b8, 0xc3c2c1c0bfbebdbc, x3, 584, x1) - -inst_93: -// rs1_val == 0xbbbab9b8b7b6b5b4 and rs2_val == 0xb7b6b5b4b3b2b1b0 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xbbbab9b8b7b6b5b4; op2val:0xb7b6b5b4b3b2b1b0; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xbbbab9b8b7b6b5b4, 0xb7b6b5b4b3b2b1b0, x3, 592, x1) - -inst_94: -// rs1_val == 0xbbbab9b8b7b6b5b4 and rs2_val == 0xb7b6b5b4b3b2b1b0 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xbbbab9b8b7b6b5b4; op2val:0xb7b6b5b4b3b2b1b0; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xbbbab9b8b7b6b5b4, 0xb7b6b5b4b3b2b1b0, x3, 600, x1) - -inst_95: -// rs1_val == 0xbbbab9b8b7b6b5b4 and rs2_val == 0xb7b6b5b4b3b2b1b0 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xbbbab9b8b7b6b5b4; op2val:0xb7b6b5b4b3b2b1b0; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xbbbab9b8b7b6b5b4, 0xb7b6b5b4b3b2b1b0, x3, 608, x1) - -inst_96: -// rs1_val == 0xbbbab9b8b7b6b5b4 and rs2_val == 0xb7b6b5b4b3b2b1b0 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xbbbab9b8b7b6b5b4; op2val:0xb7b6b5b4b3b2b1b0; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xbbbab9b8b7b6b5b4, 0xb7b6b5b4b3b2b1b0, x3, 616, x1) - -inst_97: -// rs1_val == 0xb7b6b5b4b3b2b1b0 and rs2_val == 0xbbbab9b8b7b6b5b4 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xb7b6b5b4b3b2b1b0; op2val:0xbbbab9b8b7b6b5b4; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xb7b6b5b4b3b2b1b0, 0xbbbab9b8b7b6b5b4, x3, 624, x1) - -inst_98: -// rs1_val == 0xb7b6b5b4b3b2b1b0 and rs2_val == 0xbbbab9b8b7b6b5b4 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xb7b6b5b4b3b2b1b0; op2val:0xbbbab9b8b7b6b5b4; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xb7b6b5b4b3b2b1b0, 0xbbbab9b8b7b6b5b4, x3, 632, x1) - -inst_99: -// rs1_val == 0xb7b6b5b4b3b2b1b0 and rs2_val == 0xbbbab9b8b7b6b5b4 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xb7b6b5b4b3b2b1b0; op2val:0xbbbab9b8b7b6b5b4; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xb7b6b5b4b3b2b1b0, 0xbbbab9b8b7b6b5b4, x3, 640, x1) - -inst_100: -// rs1_val == 0xb7b6b5b4b3b2b1b0 and rs2_val == 0xbbbab9b8b7b6b5b4 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xb7b6b5b4b3b2b1b0; op2val:0xbbbab9b8b7b6b5b4; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xb7b6b5b4b3b2b1b0, 0xbbbab9b8b7b6b5b4, x3, 648, x1) - -inst_101: -// rs1_val == 0xb3b2b1b0afaeadac and rs2_val == 0xafaeadacabaaa9a8 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xb3b2b1b0afaeadac; op2val:0xafaeadacabaaa9a8; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xb3b2b1b0afaeadac, 0xafaeadacabaaa9a8, x3, 656, x1) - -inst_102: -// rs1_val == 0xb3b2b1b0afaeadac and rs2_val == 0xafaeadacabaaa9a8 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xb3b2b1b0afaeadac; op2val:0xafaeadacabaaa9a8; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xb3b2b1b0afaeadac, 0xafaeadacabaaa9a8, x3, 664, x1) - -inst_103: -// rs1_val == 0xb3b2b1b0afaeadac and rs2_val == 0xafaeadacabaaa9a8 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xb3b2b1b0afaeadac; op2val:0xafaeadacabaaa9a8; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xb3b2b1b0afaeadac, 0xafaeadacabaaa9a8, x3, 672, x1) - -inst_104: -// rs1_val == 0xb3b2b1b0afaeadac and rs2_val == 0xafaeadacabaaa9a8 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xb3b2b1b0afaeadac; op2val:0xafaeadacabaaa9a8; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xb3b2b1b0afaeadac, 0xafaeadacabaaa9a8, x3, 680, x1) - -inst_105: -// rs1_val == 0xafaeadacabaaa9a8 and rs2_val == 0xb3b2b1b0afaeadac and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xafaeadacabaaa9a8; op2val:0xb3b2b1b0afaeadac; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xafaeadacabaaa9a8, 0xb3b2b1b0afaeadac, x3, 688, x1) - -inst_106: -// rs1_val == 0xafaeadacabaaa9a8 and rs2_val == 0xb3b2b1b0afaeadac and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xafaeadacabaaa9a8; op2val:0xb3b2b1b0afaeadac; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xafaeadacabaaa9a8, 0xb3b2b1b0afaeadac, x3, 696, x1) - -inst_107: -// rs1_val == 0xafaeadacabaaa9a8 and rs2_val == 0xb3b2b1b0afaeadac and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xafaeadacabaaa9a8; op2val:0xb3b2b1b0afaeadac; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xafaeadacabaaa9a8, 0xb3b2b1b0afaeadac, x3, 704, x1) - -inst_108: -// rs1_val == 0xafaeadacabaaa9a8 and rs2_val == 0xb3b2b1b0afaeadac and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xafaeadacabaaa9a8; op2val:0xb3b2b1b0afaeadac; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xafaeadacabaaa9a8, 0xb3b2b1b0afaeadac, x3, 712, x1) - -inst_109: -// rs1_val == 0xabaaa9a8a7a6a5a4 and rs2_val == 0xa7a6a5a4a3a2a1a0 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xabaaa9a8a7a6a5a4; op2val:0xa7a6a5a4a3a2a1a0; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xabaaa9a8a7a6a5a4, 0xa7a6a5a4a3a2a1a0, x3, 720, x1) - -inst_110: -// rs1_val == 0xabaaa9a8a7a6a5a4 and rs2_val == 0xa7a6a5a4a3a2a1a0 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xabaaa9a8a7a6a5a4; op2val:0xa7a6a5a4a3a2a1a0; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xabaaa9a8a7a6a5a4, 0xa7a6a5a4a3a2a1a0, x3, 728, x1) - -inst_111: -// rs1_val == 0xabaaa9a8a7a6a5a4 and rs2_val == 0xa7a6a5a4a3a2a1a0 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xabaaa9a8a7a6a5a4; op2val:0xa7a6a5a4a3a2a1a0; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xabaaa9a8a7a6a5a4, 0xa7a6a5a4a3a2a1a0, x3, 736, x1) - -inst_112: -// rs1_val == 0xabaaa9a8a7a6a5a4 and rs2_val == 0xa7a6a5a4a3a2a1a0 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xabaaa9a8a7a6a5a4; op2val:0xa7a6a5a4a3a2a1a0; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xabaaa9a8a7a6a5a4, 0xa7a6a5a4a3a2a1a0, x3, 744, x1) - -inst_113: -// rs1_val == 0xa7a6a5a4a3a2a1a0 and rs2_val == 0xabaaa9a8a7a6a5a4 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xa7a6a5a4a3a2a1a0; op2val:0xabaaa9a8a7a6a5a4; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xa7a6a5a4a3a2a1a0, 0xabaaa9a8a7a6a5a4, x3, 752, x1) - -inst_114: -// rs1_val == 0xa7a6a5a4a3a2a1a0 and rs2_val == 0xabaaa9a8a7a6a5a4 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xa7a6a5a4a3a2a1a0; op2val:0xabaaa9a8a7a6a5a4; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xa7a6a5a4a3a2a1a0, 0xabaaa9a8a7a6a5a4, x3, 760, x1) - -inst_115: -// rs1_val == 0xa7a6a5a4a3a2a1a0 and rs2_val == 0xabaaa9a8a7a6a5a4 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xa7a6a5a4a3a2a1a0; op2val:0xabaaa9a8a7a6a5a4; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xa7a6a5a4a3a2a1a0, 0xabaaa9a8a7a6a5a4, x3, 768, x1) - -inst_116: -// rs1_val == 0xa7a6a5a4a3a2a1a0 and rs2_val == 0xabaaa9a8a7a6a5a4 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xa7a6a5a4a3a2a1a0; op2val:0xabaaa9a8a7a6a5a4; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xa7a6a5a4a3a2a1a0, 0xabaaa9a8a7a6a5a4, x3, 776, x1) - -inst_117: -// rs1_val == 0xa3a2a1a09f9e9d9c and rs2_val == 0x9f9e9d9c9b9a9998 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xa3a2a1a09f9e9d9c; op2val:0x9f9e9d9c9b9a9998; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xa3a2a1a09f9e9d9c, 0x9f9e9d9c9b9a9998, x3, 784, x1) - -inst_118: -// rs1_val == 0xa3a2a1a09f9e9d9c and rs2_val == 0x9f9e9d9c9b9a9998 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xa3a2a1a09f9e9d9c; op2val:0x9f9e9d9c9b9a9998; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xa3a2a1a09f9e9d9c, 0x9f9e9d9c9b9a9998, x3, 792, x1) - -inst_119: -// rs1_val == 0xa3a2a1a09f9e9d9c and rs2_val == 0x9f9e9d9c9b9a9998 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xa3a2a1a09f9e9d9c; op2val:0x9f9e9d9c9b9a9998; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xa3a2a1a09f9e9d9c, 0x9f9e9d9c9b9a9998, x3, 800, x1) - -inst_120: -// rs1_val == 0xa3a2a1a09f9e9d9c and rs2_val == 0x9f9e9d9c9b9a9998 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xa3a2a1a09f9e9d9c; op2val:0x9f9e9d9c9b9a9998; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xa3a2a1a09f9e9d9c, 0x9f9e9d9c9b9a9998, x3, 808, x1) - -inst_121: -// rs1_val == 0x9f9e9d9c9b9a9998 and rs2_val == 0xa3a2a1a09f9e9d9c and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x9f9e9d9c9b9a9998; op2val:0xa3a2a1a09f9e9d9c; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x9f9e9d9c9b9a9998, 0xa3a2a1a09f9e9d9c, x3, 816, x1) - -inst_122: -// rs1_val == 0x9f9e9d9c9b9a9998 and rs2_val == 0xa3a2a1a09f9e9d9c and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x9f9e9d9c9b9a9998; op2val:0xa3a2a1a09f9e9d9c; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x9f9e9d9c9b9a9998, 0xa3a2a1a09f9e9d9c, x3, 824, x1) - -inst_123: -// rs1_val == 0x9f9e9d9c9b9a9998 and rs2_val == 0xa3a2a1a09f9e9d9c and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x9f9e9d9c9b9a9998; op2val:0xa3a2a1a09f9e9d9c; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x9f9e9d9c9b9a9998, 0xa3a2a1a09f9e9d9c, x3, 832, x1) - -inst_124: -// rs1_val == 0x9f9e9d9c9b9a9998 and rs2_val == 0xa3a2a1a09f9e9d9c and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x9f9e9d9c9b9a9998; op2val:0xa3a2a1a09f9e9d9c; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x9f9e9d9c9b9a9998, 0xa3a2a1a09f9e9d9c, x3, 840, x1) - -inst_125: -// rs1_val == 0x9b9a999897969594 and rs2_val == 0x9796959493929190 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x9b9a999897969594; op2val:0x9796959493929190; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x9b9a999897969594, 0x9796959493929190, x3, 848, x1) - -inst_126: -// rs1_val == 0x9b9a999897969594 and rs2_val == 0x9796959493929190 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x9b9a999897969594; op2val:0x9796959493929190; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x9b9a999897969594, 0x9796959493929190, x3, 856, x1) - -inst_127: -// rs1_val == 0x9b9a999897969594 and rs2_val == 0x9796959493929190 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x9b9a999897969594; op2val:0x9796959493929190; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x9b9a999897969594, 0x9796959493929190, x3, 864, x1) - -inst_128: -// rs1_val == 0x9b9a999897969594 and rs2_val == 0x9796959493929190 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x9b9a999897969594; op2val:0x9796959493929190; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x9b9a999897969594, 0x9796959493929190, x3, 872, x1) - -inst_129: -// rs1_val == 0x9796959493929190 and rs2_val == 0x9b9a999897969594 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x9796959493929190; op2val:0x9b9a999897969594; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x9796959493929190, 0x9b9a999897969594, x3, 880, x1) - -inst_130: -// rs1_val == 0x9796959493929190 and rs2_val == 0x9b9a999897969594 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x9796959493929190; op2val:0x9b9a999897969594; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x9796959493929190, 0x9b9a999897969594, x3, 888, x1) - -inst_131: -// rs1_val == 0x9796959493929190 and rs2_val == 0x9b9a999897969594 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x9796959493929190; op2val:0x9b9a999897969594; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x9796959493929190, 0x9b9a999897969594, x3, 896, x1) - -inst_132: -// rs1_val == 0x9796959493929190 and rs2_val == 0x9b9a999897969594 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x9796959493929190; op2val:0x9b9a999897969594; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x9796959493929190, 0x9b9a999897969594, x3, 904, x1) - -inst_133: -// rs1_val == 0x939291908f8e8d8c and rs2_val == 0x8f8e8d8c8b8a8988 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x939291908f8e8d8c; op2val:0x8f8e8d8c8b8a8988; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x939291908f8e8d8c, 0x8f8e8d8c8b8a8988, x3, 912, x1) - -inst_134: -// rs1_val == 0x939291908f8e8d8c and rs2_val == 0x8f8e8d8c8b8a8988 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x939291908f8e8d8c; op2val:0x8f8e8d8c8b8a8988; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x939291908f8e8d8c, 0x8f8e8d8c8b8a8988, x3, 920, x1) - -inst_135: -// rs1_val == 0x939291908f8e8d8c and rs2_val == 0x8f8e8d8c8b8a8988 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x939291908f8e8d8c; op2val:0x8f8e8d8c8b8a8988; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x939291908f8e8d8c, 0x8f8e8d8c8b8a8988, x3, 928, x1) - -inst_136: -// rs1_val == 0x939291908f8e8d8c and rs2_val == 0x8f8e8d8c8b8a8988 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x939291908f8e8d8c; op2val:0x8f8e8d8c8b8a8988; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x939291908f8e8d8c, 0x8f8e8d8c8b8a8988, x3, 936, x1) - -inst_137: -// rs1_val == 0x8f8e8d8c8b8a8988 and rs2_val == 0x939291908f8e8d8c and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x8f8e8d8c8b8a8988; op2val:0x939291908f8e8d8c; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x8f8e8d8c8b8a8988, 0x939291908f8e8d8c, x3, 944, x1) - -inst_138: -// rs1_val == 0x8f8e8d8c8b8a8988 and rs2_val == 0x939291908f8e8d8c and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x8f8e8d8c8b8a8988; op2val:0x939291908f8e8d8c; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x8f8e8d8c8b8a8988, 0x939291908f8e8d8c, x3, 952, x1) - -inst_139: -// rs1_val == 0x8f8e8d8c8b8a8988 and rs2_val == 0x939291908f8e8d8c and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x8f8e8d8c8b8a8988; op2val:0x939291908f8e8d8c; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x8f8e8d8c8b8a8988, 0x939291908f8e8d8c, x3, 960, x1) - -inst_140: -// rs1_val == 0x8f8e8d8c8b8a8988 and rs2_val == 0x939291908f8e8d8c and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x8f8e8d8c8b8a8988; op2val:0x939291908f8e8d8c; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x8f8e8d8c8b8a8988, 0x939291908f8e8d8c, x3, 968, x1) - -inst_141: -// rs1_val == 0x8b8a898887868584 and rs2_val == 0x8786858483828180 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x8b8a898887868584; op2val:0x8786858483828180; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x8b8a898887868584, 0x8786858483828180, x3, 976, x1) - -inst_142: -// rs1_val == 0x8b8a898887868584 and rs2_val == 0x8786858483828180 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x8b8a898887868584; op2val:0x8786858483828180; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x8b8a898887868584, 0x8786858483828180, x3, 984, x1) - -inst_143: -// rs1_val == 0x8b8a898887868584 and rs2_val == 0x8786858483828180 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x8b8a898887868584; op2val:0x8786858483828180; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x8b8a898887868584, 0x8786858483828180, x3, 992, x1) - -inst_144: -// rs1_val == 0x8b8a898887868584 and rs2_val == 0x8786858483828180 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x8b8a898887868584; op2val:0x8786858483828180; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x8b8a898887868584, 0x8786858483828180, x3, 1000, x1) - -inst_145: -// rs1_val == 0x8786858483828180 and rs2_val == 0x8b8a898887868584 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x8786858483828180; op2val:0x8b8a898887868584; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x8786858483828180, 0x8b8a898887868584, x3, 1008, x1) - -inst_146: -// rs1_val == 0x8786858483828180 and rs2_val == 0x8b8a898887868584 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x8786858483828180; op2val:0x8b8a898887868584; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x8786858483828180, 0x8b8a898887868584, x3, 1016, x1) - -inst_147: -// rs1_val == 0x8786858483828180 and rs2_val == 0x8b8a898887868584 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x8786858483828180; op2val:0x8b8a898887868584; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x8786858483828180, 0x8b8a898887868584, x3, 1024, x1) - -inst_148: -// rs1_val == 0x8786858483828180 and rs2_val == 0x8b8a898887868584 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x8786858483828180; op2val:0x8b8a898887868584; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x8786858483828180, 0x8b8a898887868584, x3, 1032, x1) - -inst_149: -// rs1_val == 0x838281807f7e7d7c and rs2_val == 0x7f7e7d7c7b7a7978 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x838281807f7e7d7c; op2val:0x7f7e7d7c7b7a7978; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x838281807f7e7d7c, 0x7f7e7d7c7b7a7978, x3, 1040, x1) - -inst_150: -// rs1_val == 0x838281807f7e7d7c and rs2_val == 0x7f7e7d7c7b7a7978 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x838281807f7e7d7c; op2val:0x7f7e7d7c7b7a7978; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x838281807f7e7d7c, 0x7f7e7d7c7b7a7978, x3, 1048, x1) - -inst_151: -// rs1_val == 0x838281807f7e7d7c and rs2_val == 0x7f7e7d7c7b7a7978 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x838281807f7e7d7c; op2val:0x7f7e7d7c7b7a7978; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x838281807f7e7d7c, 0x7f7e7d7c7b7a7978, x3, 1056, x1) - -inst_152: -// rs1_val == 0x838281807f7e7d7c and rs2_val == 0x7f7e7d7c7b7a7978 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x838281807f7e7d7c; op2val:0x7f7e7d7c7b7a7978; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x838281807f7e7d7c, 0x7f7e7d7c7b7a7978, x3, 1064, x1) - -inst_153: -// rs1_val == 0x7f7e7d7c7b7a7978 and rs2_val == 0x838281807f7e7d7c and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x7f7e7d7c7b7a7978; op2val:0x838281807f7e7d7c; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x7f7e7d7c7b7a7978, 0x838281807f7e7d7c, x3, 1072, x1) - -inst_154: -// rs1_val == 0x7f7e7d7c7b7a7978 and rs2_val == 0x838281807f7e7d7c and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x7f7e7d7c7b7a7978; op2val:0x838281807f7e7d7c; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x7f7e7d7c7b7a7978, 0x838281807f7e7d7c, x3, 1080, x1) - -inst_155: -// rs1_val == 0x7f7e7d7c7b7a7978 and rs2_val == 0x838281807f7e7d7c and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x7f7e7d7c7b7a7978; op2val:0x838281807f7e7d7c; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x7f7e7d7c7b7a7978, 0x838281807f7e7d7c, x3, 1088, x1) - -inst_156: -// rs1_val == 0x7f7e7d7c7b7a7978 and rs2_val == 0x838281807f7e7d7c and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x7f7e7d7c7b7a7978; op2val:0x838281807f7e7d7c; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x7f7e7d7c7b7a7978, 0x838281807f7e7d7c, x3, 1096, x1) - -inst_157: -// rs1_val == 0x7b7a797877767574 and rs2_val == 0x7776757473727170 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x7b7a797877767574; op2val:0x7776757473727170; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x7b7a797877767574, 0x7776757473727170, x3, 1104, x1) - -inst_158: -// rs1_val == 0x7b7a797877767574 and rs2_val == 0x7776757473727170 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x7b7a797877767574; op2val:0x7776757473727170; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x7b7a797877767574, 0x7776757473727170, x3, 1112, x1) - -inst_159: -// rs1_val == 0x7b7a797877767574 and rs2_val == 0x7776757473727170 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x7b7a797877767574; op2val:0x7776757473727170; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x7b7a797877767574, 0x7776757473727170, x3, 1120, x1) - -inst_160: -// rs1_val == 0x7b7a797877767574 and rs2_val == 0x7776757473727170 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x7b7a797877767574; op2val:0x7776757473727170; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x7b7a797877767574, 0x7776757473727170, x3, 1128, x1) - -inst_161: -// rs1_val == 0x7776757473727170 and rs2_val == 0x7b7a797877767574 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x7776757473727170; op2val:0x7b7a797877767574; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x7776757473727170, 0x7b7a797877767574, x3, 1136, x1) - -inst_162: -// rs1_val == 0x7776757473727170 and rs2_val == 0x7b7a797877767574 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x7776757473727170; op2val:0x7b7a797877767574; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x7776757473727170, 0x7b7a797877767574, x3, 1144, x1) - -inst_163: -// rs1_val == 0x7776757473727170 and rs2_val == 0x7b7a797877767574 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x7776757473727170; op2val:0x7b7a797877767574; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x7776757473727170, 0x7b7a797877767574, x3, 1152, x1) - -inst_164: -// rs1_val == 0x7776757473727170 and rs2_val == 0x7b7a797877767574 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x7776757473727170; op2val:0x7b7a797877767574; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x7776757473727170, 0x7b7a797877767574, x3, 1160, x1) - -inst_165: -// rs1_val == 0x737271706f6e6d6c and rs2_val == 0x6f6e6d6c6b6a6968 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x737271706f6e6d6c; op2val:0x6f6e6d6c6b6a6968; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x737271706f6e6d6c, 0x6f6e6d6c6b6a6968, x3, 1168, x1) - -inst_166: -// rs1_val == 0x737271706f6e6d6c and rs2_val == 0x6f6e6d6c6b6a6968 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x737271706f6e6d6c; op2val:0x6f6e6d6c6b6a6968; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x737271706f6e6d6c, 0x6f6e6d6c6b6a6968, x3, 1176, x1) - -inst_167: -// rs1_val == 0x737271706f6e6d6c and rs2_val == 0x6f6e6d6c6b6a6968 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x737271706f6e6d6c; op2val:0x6f6e6d6c6b6a6968; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x737271706f6e6d6c, 0x6f6e6d6c6b6a6968, x3, 1184, x1) - -inst_168: -// rs1_val == 0x737271706f6e6d6c and rs2_val == 0x6f6e6d6c6b6a6968 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x737271706f6e6d6c; op2val:0x6f6e6d6c6b6a6968; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x737271706f6e6d6c, 0x6f6e6d6c6b6a6968, x3, 1192, x1) - -inst_169: -// rs1_val == 0x6f6e6d6c6b6a6968 and rs2_val == 0x737271706f6e6d6c and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x6f6e6d6c6b6a6968; op2val:0x737271706f6e6d6c; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x6f6e6d6c6b6a6968, 0x737271706f6e6d6c, x3, 1200, x1) - -inst_170: -// rs1_val == 0x6f6e6d6c6b6a6968 and rs2_val == 0x737271706f6e6d6c and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x6f6e6d6c6b6a6968; op2val:0x737271706f6e6d6c; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x6f6e6d6c6b6a6968, 0x737271706f6e6d6c, x3, 1208, x1) - -inst_171: -// rs1_val == 0x6f6e6d6c6b6a6968 and rs2_val == 0x737271706f6e6d6c and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x6f6e6d6c6b6a6968; op2val:0x737271706f6e6d6c; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x6f6e6d6c6b6a6968, 0x737271706f6e6d6c, x3, 1216, x1) - -inst_172: -// rs1_val == 0x6f6e6d6c6b6a6968 and rs2_val == 0x737271706f6e6d6c and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x6f6e6d6c6b6a6968; op2val:0x737271706f6e6d6c; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x6f6e6d6c6b6a6968, 0x737271706f6e6d6c, x3, 1224, x1) - -inst_173: -// rs1_val == 0x6b6a696867666564 and rs2_val == 0x6766656463626160 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x6b6a696867666564; op2val:0x6766656463626160; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x6b6a696867666564, 0x6766656463626160, x3, 1232, x1) - -inst_174: -// rs1_val == 0x6b6a696867666564 and rs2_val == 0x6766656463626160 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x6b6a696867666564; op2val:0x6766656463626160; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x6b6a696867666564, 0x6766656463626160, x3, 1240, x1) - -inst_175: -// rs1_val == 0x6b6a696867666564 and rs2_val == 0x6766656463626160 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x6b6a696867666564; op2val:0x6766656463626160; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x6b6a696867666564, 0x6766656463626160, x3, 1248, x1) - -inst_176: -// rs1_val == 0x6b6a696867666564 and rs2_val == 0x6766656463626160 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x6b6a696867666564; op2val:0x6766656463626160; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x6b6a696867666564, 0x6766656463626160, x3, 1256, x1) - -inst_177: -// rs1_val == 0x6766656463626160 and rs2_val == 0x6b6a696867666564 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x6766656463626160; op2val:0x6b6a696867666564; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x6766656463626160, 0x6b6a696867666564, x3, 1264, x1) - -inst_178: -// rs1_val == 0x6766656463626160 and rs2_val == 0x6b6a696867666564 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x6766656463626160; op2val:0x6b6a696867666564; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x6766656463626160, 0x6b6a696867666564, x3, 1272, x1) - -inst_179: -// rs1_val == 0x6766656463626160 and rs2_val == 0x6b6a696867666564 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x6766656463626160; op2val:0x6b6a696867666564; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x6766656463626160, 0x6b6a696867666564, x3, 1280, x1) - -inst_180: -// rs1_val == 0x6766656463626160 and rs2_val == 0x6b6a696867666564 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x6766656463626160; op2val:0x6b6a696867666564; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x6766656463626160, 0x6b6a696867666564, x3, 1288, x1) - -inst_181: -// rs1_val == 0x636261605f5e5d5c and rs2_val == 0x5f5e5d5c5b5a5958 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x636261605f5e5d5c; op2val:0x5f5e5d5c5b5a5958; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x636261605f5e5d5c, 0x5f5e5d5c5b5a5958, x3, 1296, x1) - -inst_182: -// rs1_val == 0x636261605f5e5d5c and rs2_val == 0x5f5e5d5c5b5a5958 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x636261605f5e5d5c; op2val:0x5f5e5d5c5b5a5958; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x636261605f5e5d5c, 0x5f5e5d5c5b5a5958, x3, 1304, x1) - -inst_183: -// rs1_val == 0x636261605f5e5d5c and rs2_val == 0x5f5e5d5c5b5a5958 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x636261605f5e5d5c; op2val:0x5f5e5d5c5b5a5958; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x636261605f5e5d5c, 0x5f5e5d5c5b5a5958, x3, 1312, x1) - -inst_184: -// rs1_val == 0x636261605f5e5d5c and rs2_val == 0x5f5e5d5c5b5a5958 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x636261605f5e5d5c; op2val:0x5f5e5d5c5b5a5958; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x636261605f5e5d5c, 0x5f5e5d5c5b5a5958, x3, 1320, x1) - -inst_185: -// rs1_val == 0x5f5e5d5c5b5a5958 and rs2_val == 0x636261605f5e5d5c and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x5f5e5d5c5b5a5958; op2val:0x636261605f5e5d5c; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x5f5e5d5c5b5a5958, 0x636261605f5e5d5c, x3, 1328, x1) - -inst_186: -// rs1_val == 0x5f5e5d5c5b5a5958 and rs2_val == 0x636261605f5e5d5c and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x5f5e5d5c5b5a5958; op2val:0x636261605f5e5d5c; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x5f5e5d5c5b5a5958, 0x636261605f5e5d5c, x3, 1336, x1) - -inst_187: -// rs1_val == 0x5f5e5d5c5b5a5958 and rs2_val == 0x636261605f5e5d5c and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x5f5e5d5c5b5a5958; op2val:0x636261605f5e5d5c; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x5f5e5d5c5b5a5958, 0x636261605f5e5d5c, x3, 1344, x1) - -inst_188: -// rs1_val == 0x5f5e5d5c5b5a5958 and rs2_val == 0x636261605f5e5d5c and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x5f5e5d5c5b5a5958; op2val:0x636261605f5e5d5c; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x5f5e5d5c5b5a5958, 0x636261605f5e5d5c, x3, 1352, x1) - -inst_189: -// rs1_val == 0x5b5a595857565554 and rs2_val == 0x5756555453525150 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x5b5a595857565554; op2val:0x5756555453525150; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x5b5a595857565554, 0x5756555453525150, x3, 1360, x1) - -inst_190: -// rs1_val == 0x5b5a595857565554 and rs2_val == 0x5756555453525150 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x5b5a595857565554; op2val:0x5756555453525150; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x5b5a595857565554, 0x5756555453525150, x3, 1368, x1) - -inst_191: -// rs1_val == 0x5b5a595857565554 and rs2_val == 0x5756555453525150 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x5b5a595857565554; op2val:0x5756555453525150; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x5b5a595857565554, 0x5756555453525150, x3, 1376, x1) - -inst_192: -// rs1_val == 0x5b5a595857565554 and rs2_val == 0x5756555453525150 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x5b5a595857565554; op2val:0x5756555453525150; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x5b5a595857565554, 0x5756555453525150, x3, 1384, x1) - -inst_193: -// rs1_val == 0x5756555453525150 and rs2_val == 0x5b5a595857565554 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x5756555453525150; op2val:0x5b5a595857565554; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x5756555453525150, 0x5b5a595857565554, x3, 1392, x1) - -inst_194: -// rs1_val == 0x5756555453525150 and rs2_val == 0x5b5a595857565554 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x5756555453525150; op2val:0x5b5a595857565554; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x5756555453525150, 0x5b5a595857565554, x3, 1400, x1) - -inst_195: -// rs1_val == 0x5756555453525150 and rs2_val == 0x5b5a595857565554 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x5756555453525150; op2val:0x5b5a595857565554; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x5756555453525150, 0x5b5a595857565554, x3, 1408, x1) - -inst_196: -// rs1_val == 0x5756555453525150 and rs2_val == 0x5b5a595857565554 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x5756555453525150; op2val:0x5b5a595857565554; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x5756555453525150, 0x5b5a595857565554, x3, 1416, x1) - -inst_197: -// rs1_val == 0x535251504f4e4d4c and rs2_val == 0x4f4e4d4c4b4a4948 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x535251504f4e4d4c; op2val:0x4f4e4d4c4b4a4948; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x535251504f4e4d4c, 0x4f4e4d4c4b4a4948, x3, 1424, x1) - -inst_198: -// rs1_val == 0x535251504f4e4d4c and rs2_val == 0x4f4e4d4c4b4a4948 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x535251504f4e4d4c; op2val:0x4f4e4d4c4b4a4948; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x535251504f4e4d4c, 0x4f4e4d4c4b4a4948, x3, 1432, x1) - -inst_199: -// rs1_val == 0x535251504f4e4d4c and rs2_val == 0x4f4e4d4c4b4a4948 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x535251504f4e4d4c; op2val:0x4f4e4d4c4b4a4948; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x535251504f4e4d4c, 0x4f4e4d4c4b4a4948, x3, 1440, x1) - -inst_200: -// rs1_val == 0x535251504f4e4d4c and rs2_val == 0x4f4e4d4c4b4a4948 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x535251504f4e4d4c; op2val:0x4f4e4d4c4b4a4948; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x535251504f4e4d4c, 0x4f4e4d4c4b4a4948, x3, 1448, x1) - -inst_201: -// rs1_val == 0x4f4e4d4c4b4a4948 and rs2_val == 0x535251504f4e4d4c and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x4f4e4d4c4b4a4948; op2val:0x535251504f4e4d4c; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x4f4e4d4c4b4a4948, 0x535251504f4e4d4c, x3, 1456, x1) - -inst_202: -// rs1_val == 0x4f4e4d4c4b4a4948 and rs2_val == 0x535251504f4e4d4c and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x4f4e4d4c4b4a4948; op2val:0x535251504f4e4d4c; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x4f4e4d4c4b4a4948, 0x535251504f4e4d4c, x3, 1464, x1) - -inst_203: -// rs1_val == 0x4f4e4d4c4b4a4948 and rs2_val == 0x535251504f4e4d4c and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x4f4e4d4c4b4a4948; op2val:0x535251504f4e4d4c; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x4f4e4d4c4b4a4948, 0x535251504f4e4d4c, x3, 1472, x1) - -inst_204: -// rs1_val == 0x4f4e4d4c4b4a4948 and rs2_val == 0x535251504f4e4d4c and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x4f4e4d4c4b4a4948; op2val:0x535251504f4e4d4c; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x4f4e4d4c4b4a4948, 0x535251504f4e4d4c, x3, 1480, x1) - -inst_205: -// rs1_val == 0x4b4a494847464544 and rs2_val == 0x4746454443424140 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x4b4a494847464544; op2val:0x4746454443424140; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x4b4a494847464544, 0x4746454443424140, x3, 1488, x1) - -inst_206: -// rs1_val == 0x4b4a494847464544 and rs2_val == 0x4746454443424140 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x4b4a494847464544; op2val:0x4746454443424140; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x4b4a494847464544, 0x4746454443424140, x3, 1496, x1) - -inst_207: -// rs1_val == 0x4b4a494847464544 and rs2_val == 0x4746454443424140 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x4b4a494847464544; op2val:0x4746454443424140; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x4b4a494847464544, 0x4746454443424140, x3, 1504, x1) - -inst_208: -// rs1_val == 0x4b4a494847464544 and rs2_val == 0x4746454443424140 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x4b4a494847464544; op2val:0x4746454443424140; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x4b4a494847464544, 0x4746454443424140, x3, 1512, x1) - -inst_209: -// rs1_val == 0x4746454443424140 and rs2_val == 0x4b4a494847464544 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x4746454443424140; op2val:0x4b4a494847464544; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x4746454443424140, 0x4b4a494847464544, x3, 1520, x1) - -inst_210: -// rs1_val == 0x4746454443424140 and rs2_val == 0x4b4a494847464544 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x4746454443424140; op2val:0x4b4a494847464544; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x4746454443424140, 0x4b4a494847464544, x3, 1528, x1) - -inst_211: -// rs1_val == 0x4746454443424140 and rs2_val == 0x4b4a494847464544 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x4746454443424140; op2val:0x4b4a494847464544; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x4746454443424140, 0x4b4a494847464544, x3, 1536, x1) - -inst_212: -// rs1_val == 0x4746454443424140 and rs2_val == 0x4b4a494847464544 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x4746454443424140; op2val:0x4b4a494847464544; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x4746454443424140, 0x4b4a494847464544, x3, 1544, x1) - -inst_213: -// rs1_val == 0x434241403f3e3d3c and rs2_val == 0x3f3e3d3c3b3a3938 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x434241403f3e3d3c; op2val:0x3f3e3d3c3b3a3938; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x434241403f3e3d3c, 0x3f3e3d3c3b3a3938, x3, 1552, x1) - -inst_214: -// rs1_val == 0x434241403f3e3d3c and rs2_val == 0x3f3e3d3c3b3a3938 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x434241403f3e3d3c; op2val:0x3f3e3d3c3b3a3938; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x434241403f3e3d3c, 0x3f3e3d3c3b3a3938, x3, 1560, x1) - -inst_215: -// rs1_val == 0x434241403f3e3d3c and rs2_val == 0x3f3e3d3c3b3a3938 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x434241403f3e3d3c; op2val:0x3f3e3d3c3b3a3938; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x434241403f3e3d3c, 0x3f3e3d3c3b3a3938, x3, 1568, x1) - -inst_216: -// rs1_val == 0x434241403f3e3d3c and rs2_val == 0x3f3e3d3c3b3a3938 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x434241403f3e3d3c; op2val:0x3f3e3d3c3b3a3938; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x434241403f3e3d3c, 0x3f3e3d3c3b3a3938, x3, 1576, x1) - -inst_217: -// rs1_val == 0x3f3e3d3c3b3a3938 and rs2_val == 0x434241403f3e3d3c and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x3f3e3d3c3b3a3938; op2val:0x434241403f3e3d3c; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x3f3e3d3c3b3a3938, 0x434241403f3e3d3c, x3, 1584, x1) - -inst_218: -// rs1_val == 0x3f3e3d3c3b3a3938 and rs2_val == 0x434241403f3e3d3c and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x3f3e3d3c3b3a3938; op2val:0x434241403f3e3d3c; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x3f3e3d3c3b3a3938, 0x434241403f3e3d3c, x3, 1592, x1) - -inst_219: -// rs1_val == 0x3f3e3d3c3b3a3938 and rs2_val == 0x434241403f3e3d3c and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x3f3e3d3c3b3a3938; op2val:0x434241403f3e3d3c; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x3f3e3d3c3b3a3938, 0x434241403f3e3d3c, x3, 1600, x1) - -inst_220: -// rs1_val == 0x3f3e3d3c3b3a3938 and rs2_val == 0x434241403f3e3d3c and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x3f3e3d3c3b3a3938; op2val:0x434241403f3e3d3c; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x3f3e3d3c3b3a3938, 0x434241403f3e3d3c, x3, 1608, x1) - -inst_221: -// rs1_val == 0x3b3a393837363534 and rs2_val == 0x3736353433323130 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x3b3a393837363534; op2val:0x3736353433323130; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x3b3a393837363534, 0x3736353433323130, x3, 1616, x1) - -inst_222: -// rs1_val == 0x3b3a393837363534 and rs2_val == 0x3736353433323130 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x3b3a393837363534; op2val:0x3736353433323130; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x3b3a393837363534, 0x3736353433323130, x3, 1624, x1) - -inst_223: -// rs1_val == 0x3b3a393837363534 and rs2_val == 0x3736353433323130 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x3b3a393837363534; op2val:0x3736353433323130; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x3b3a393837363534, 0x3736353433323130, x3, 1632, x1) - -inst_224: -// rs1_val == 0x3b3a393837363534 and rs2_val == 0x3736353433323130 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x3b3a393837363534; op2val:0x3736353433323130; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x3b3a393837363534, 0x3736353433323130, x3, 1640, x1) - -inst_225: -// rs1_val == 0x3736353433323130 and rs2_val == 0x3b3a393837363534 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x3736353433323130; op2val:0x3b3a393837363534; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x3736353433323130, 0x3b3a393837363534, x3, 1648, x1) - -inst_226: -// rs1_val == 0x3736353433323130 and rs2_val == 0x3b3a393837363534 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x3736353433323130; op2val:0x3b3a393837363534; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x3736353433323130, 0x3b3a393837363534, x3, 1656, x1) - -inst_227: -// rs1_val == 0x3736353433323130 and rs2_val == 0x3b3a393837363534 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x3736353433323130; op2val:0x3b3a393837363534; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x3736353433323130, 0x3b3a393837363534, x3, 1664, x1) - -inst_228: -// rs1_val == 0x3736353433323130 and rs2_val == 0x3b3a393837363534 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x3736353433323130; op2val:0x3b3a393837363534; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x3736353433323130, 0x3b3a393837363534, x3, 1672, x1) - -inst_229: -// rs1_val == 0x333231302f2e2d2c and rs2_val == 0x2f2e2d2c2b2a2928 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x333231302f2e2d2c; op2val:0x2f2e2d2c2b2a2928; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x333231302f2e2d2c, 0x2f2e2d2c2b2a2928, x3, 1680, x1) - -inst_230: -// rs1_val == 0x333231302f2e2d2c and rs2_val == 0x2f2e2d2c2b2a2928 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x333231302f2e2d2c; op2val:0x2f2e2d2c2b2a2928; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x333231302f2e2d2c, 0x2f2e2d2c2b2a2928, x3, 1688, x1) - -inst_231: -// rs1_val == 0x333231302f2e2d2c and rs2_val == 0x2f2e2d2c2b2a2928 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x333231302f2e2d2c; op2val:0x2f2e2d2c2b2a2928; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x333231302f2e2d2c, 0x2f2e2d2c2b2a2928, x3, 1696, x1) - -inst_232: -// rs1_val == 0x333231302f2e2d2c and rs2_val == 0x2f2e2d2c2b2a2928 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x333231302f2e2d2c; op2val:0x2f2e2d2c2b2a2928; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x333231302f2e2d2c, 0x2f2e2d2c2b2a2928, x3, 1704, x1) - -inst_233: -// rs1_val == 0x2f2e2d2c2b2a2928 and rs2_val == 0x333231302f2e2d2c and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x2f2e2d2c2b2a2928; op2val:0x333231302f2e2d2c; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x2f2e2d2c2b2a2928, 0x333231302f2e2d2c, x3, 1712, x1) - -inst_234: -// rs1_val == 0x2f2e2d2c2b2a2928 and rs2_val == 0x333231302f2e2d2c and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x2f2e2d2c2b2a2928; op2val:0x333231302f2e2d2c; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x2f2e2d2c2b2a2928, 0x333231302f2e2d2c, x3, 1720, x1) - -inst_235: -// rs1_val == 0x2f2e2d2c2b2a2928 and rs2_val == 0x333231302f2e2d2c and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x2f2e2d2c2b2a2928; op2val:0x333231302f2e2d2c; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x2f2e2d2c2b2a2928, 0x333231302f2e2d2c, x3, 1728, x1) - -inst_236: -// rs1_val == 0x2f2e2d2c2b2a2928 and rs2_val == 0x333231302f2e2d2c and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x2f2e2d2c2b2a2928; op2val:0x333231302f2e2d2c; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x2f2e2d2c2b2a2928, 0x333231302f2e2d2c, x3, 1736, x1) - -inst_237: -// rs1_val == 0x2b2a292827262524 and rs2_val == 0x2726252423222120 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x2b2a292827262524; op2val:0x2726252423222120; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x2b2a292827262524, 0x2726252423222120, x3, 1744, x1) - -inst_238: -// rs1_val == 0x2b2a292827262524 and rs2_val == 0x2726252423222120 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x2b2a292827262524; op2val:0x2726252423222120; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x2b2a292827262524, 0x2726252423222120, x3, 1752, x1) - -inst_239: -// rs1_val == 0x2b2a292827262524 and rs2_val == 0x2726252423222120 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x2b2a292827262524; op2val:0x2726252423222120; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x2b2a292827262524, 0x2726252423222120, x3, 1760, x1) - -inst_240: -// rs1_val == 0x2b2a292827262524 and rs2_val == 0x2726252423222120 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x2b2a292827262524; op2val:0x2726252423222120; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x2b2a292827262524, 0x2726252423222120, x3, 1768, x1) - -inst_241: -// rs1_val == 0x2726252423222120 and rs2_val == 0x2b2a292827262524 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x2726252423222120; op2val:0x2b2a292827262524; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x2726252423222120, 0x2b2a292827262524, x3, 1776, x1) - -inst_242: -// rs1_val == 0x2726252423222120 and rs2_val == 0x2b2a292827262524 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x2726252423222120; op2val:0x2b2a292827262524; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x2726252423222120, 0x2b2a292827262524, x3, 1784, x1) - -inst_243: -// rs1_val == 0x2726252423222120 and rs2_val == 0x2b2a292827262524 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x2726252423222120; op2val:0x2b2a292827262524; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x2726252423222120, 0x2b2a292827262524, x3, 1792, x1) - -inst_244: -// rs1_val == 0x2726252423222120 and rs2_val == 0x2b2a292827262524 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x2726252423222120; op2val:0x2b2a292827262524; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x2726252423222120, 0x2b2a292827262524, x3, 1800, x1) - -inst_245: -// rs1_val == 0x232221201f1e1d1c and rs2_val == 0x1f1e1d1c1b1a1918 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x232221201f1e1d1c; op2val:0x1f1e1d1c1b1a1918; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x232221201f1e1d1c, 0x1f1e1d1c1b1a1918, x3, 1808, x1) - -inst_246: -// rs1_val == 0x232221201f1e1d1c and rs2_val == 0x1f1e1d1c1b1a1918 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x232221201f1e1d1c; op2val:0x1f1e1d1c1b1a1918; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x232221201f1e1d1c, 0x1f1e1d1c1b1a1918, x3, 1816, x1) - -inst_247: -// rs1_val == 0x232221201f1e1d1c and rs2_val == 0x1f1e1d1c1b1a1918 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x232221201f1e1d1c; op2val:0x1f1e1d1c1b1a1918; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x232221201f1e1d1c, 0x1f1e1d1c1b1a1918, x3, 1824, x1) - -inst_248: -// rs1_val == 0x232221201f1e1d1c and rs2_val == 0x1f1e1d1c1b1a1918 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x232221201f1e1d1c; op2val:0x1f1e1d1c1b1a1918; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x232221201f1e1d1c, 0x1f1e1d1c1b1a1918, x3, 1832, x1) - -inst_249: -// rs1_val == 0x1f1e1d1c1b1a1918 and rs2_val == 0x232221201f1e1d1c and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x1f1e1d1c1b1a1918; op2val:0x232221201f1e1d1c; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x1f1e1d1c1b1a1918, 0x232221201f1e1d1c, x3, 1840, x1) - -inst_250: -// rs1_val == 0x1f1e1d1c1b1a1918 and rs2_val == 0x232221201f1e1d1c and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x1f1e1d1c1b1a1918; op2val:0x232221201f1e1d1c; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x1f1e1d1c1b1a1918, 0x232221201f1e1d1c, x3, 1848, x1) - -inst_251: -// rs1_val == 0x1f1e1d1c1b1a1918 and rs2_val == 0x232221201f1e1d1c and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x1f1e1d1c1b1a1918; op2val:0x232221201f1e1d1c; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x1f1e1d1c1b1a1918, 0x232221201f1e1d1c, x3, 1856, x1) - -inst_252: -// rs1_val == 0x1f1e1d1c1b1a1918 and rs2_val == 0x232221201f1e1d1c and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x1f1e1d1c1b1a1918; op2val:0x232221201f1e1d1c; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x1f1e1d1c1b1a1918, 0x232221201f1e1d1c, x3, 1864, x1) - -inst_253: -// rs1_val == 0x1b1a191817161514 and rs2_val == 0x1716151413121110 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x1b1a191817161514; op2val:0x1716151413121110; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x1b1a191817161514, 0x1716151413121110, x3, 1872, x1) - -inst_254: -// rs1_val == 0x1b1a191817161514 and rs2_val == 0x1716151413121110 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x1b1a191817161514; op2val:0x1716151413121110; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x1b1a191817161514, 0x1716151413121110, x3, 1880, x1) - -inst_255: -// rs1_val == 0x1b1a191817161514 and rs2_val == 0x1716151413121110 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x1b1a191817161514; op2val:0x1716151413121110; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x1b1a191817161514, 0x1716151413121110, x3, 1888, x1) - -inst_256: -// rs1_val == 0x1b1a191817161514 and rs2_val == 0x1716151413121110 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x1b1a191817161514; op2val:0x1716151413121110; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x1b1a191817161514, 0x1716151413121110, x3, 1896, x1) - -inst_257: -// rs1_val == 0x1716151413121110 and rs2_val == 0x1b1a191817161514 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x1716151413121110; op2val:0x1b1a191817161514; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x1716151413121110, 0x1b1a191817161514, x3, 1904, x1) - -inst_258: -// rs1_val == 0x1716151413121110 and rs2_val == 0x1b1a191817161514 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x1716151413121110; op2val:0x1b1a191817161514; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x1716151413121110, 0x1b1a191817161514, x3, 1912, x1) - -inst_259: -// rs1_val == 0x1716151413121110 and rs2_val == 0x1b1a191817161514 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x1716151413121110; op2val:0x1b1a191817161514; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x1716151413121110, 0x1b1a191817161514, x3, 1920, x1) - -inst_260: -// rs1_val == 0x1716151413121110 and rs2_val == 0x1b1a191817161514 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x1716151413121110; op2val:0x1b1a191817161514; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x1716151413121110, 0x1b1a191817161514, x3, 1928, x1) - -inst_261: -// rs1_val == 0x131211100f0e0d0c and rs2_val == 0x0f0e0d0c0b0a0908 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x131211100f0e0d0c; op2val:0xf0e0d0c0b0a0908; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x131211100f0e0d0c, 0xf0e0d0c0b0a0908, x3, 1936, x1) - -inst_262: -// rs1_val == 0x131211100f0e0d0c and rs2_val == 0x0f0e0d0c0b0a0908 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x131211100f0e0d0c; op2val:0xf0e0d0c0b0a0908; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x131211100f0e0d0c, 0xf0e0d0c0b0a0908, x3, 1944, x1) - -inst_263: -// rs1_val == 0x131211100f0e0d0c and rs2_val == 0x0f0e0d0c0b0a0908 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x131211100f0e0d0c; op2val:0xf0e0d0c0b0a0908; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x131211100f0e0d0c, 0xf0e0d0c0b0a0908, x3, 1952, x1) - -inst_264: -// rs1_val == 0x131211100f0e0d0c and rs2_val == 0x0f0e0d0c0b0a0908 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x131211100f0e0d0c; op2val:0xf0e0d0c0b0a0908; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x131211100f0e0d0c, 0xf0e0d0c0b0a0908, x3, 1960, x1) - -inst_265: -// rs1_val == 0x0f0e0d0c0b0a0908 and rs2_val == 0x131211100f0e0d0c and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xf0e0d0c0b0a0908; op2val:0x131211100f0e0d0c; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xf0e0d0c0b0a0908, 0x131211100f0e0d0c, x3, 1968, x1) - -inst_266: -// rs1_val == 0x0f0e0d0c0b0a0908 and rs2_val == 0x131211100f0e0d0c and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xf0e0d0c0b0a0908; op2val:0x131211100f0e0d0c; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xf0e0d0c0b0a0908, 0x131211100f0e0d0c, x3, 1976, x1) - -inst_267: -// rs1_val == 0x0f0e0d0c0b0a0908 and rs2_val == 0x131211100f0e0d0c and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xf0e0d0c0b0a0908; op2val:0x131211100f0e0d0c; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xf0e0d0c0b0a0908, 0x131211100f0e0d0c, x3, 1984, x1) - -inst_268: -// rs1_val == 0x0f0e0d0c0b0a0908 and rs2_val == 0x131211100f0e0d0c and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xf0e0d0c0b0a0908; op2val:0x131211100f0e0d0c; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xf0e0d0c0b0a0908, 0x131211100f0e0d0c, x3, 1992, x1) - -inst_269: -// rs1_val == 0x0b0a090807060504 and rs2_val == 0x0706050403020100 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xb0a090807060504; op2val:0x706050403020100; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0xb0a090807060504, 0x706050403020100, x3, 2000, x1) - -inst_270: -// rs1_val == 0x0b0a090807060504 and rs2_val == 0x0706050403020100 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xb0a090807060504; op2val:0x706050403020100; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xb0a090807060504, 0x706050403020100, x3, 2008, x1) - -inst_271: -// rs1_val == 0x0b0a090807060504 and rs2_val == 0x0706050403020100 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xb0a090807060504; op2val:0x706050403020100; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xb0a090807060504, 0x706050403020100, x3, 2016, x1) - -inst_272: -// rs1_val == 0x0b0a090807060504 and rs2_val == 0x0706050403020100 and imm_val == 0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xb0a090807060504; op2val:0x706050403020100; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0xb0a090807060504, 0x706050403020100, x3, 2024, x1) - -inst_273: -// rs1_val == 0x0706050403020100 and rs2_val == 0x0b0a090807060504 and imm_val == 3 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x706050403020100; op2val:0xb0a090807060504; immval:0x3 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x3, 0x0000000000000000, 0x706050403020100, 0xb0a090807060504, x3, 2032, x1) - -inst_274: -// rs1_val == 0x0706050403020100 and rs2_val == 0x0b0a090807060504 and imm_val == 2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x706050403020100; op2val:0xb0a090807060504; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0x706050403020100, 0xb0a090807060504, x3, 2040, x1) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_275: -// rs1_val == 0x0706050403020100 and rs2_val == 0x0b0a090807060504 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x706050403020100; op2val:0xb0a090807060504; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x706050403020100, 0xb0a090807060504, x3, 0, x1) - -inst_276: -// rs1_val == 0x156fe482f9fcd292 and rs2_val == 0x24c6435c8dca0621 and imm_val == 0x0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x156fe482f9fcd292; op2val:0x24c6435c8dca0621; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x156fe482f9fcd292, 0x24c6435c8dca0621, x3, 8, x1) - -inst_277: -// rs1_val == 0xa757e91e027e5943 and rs2_val == 0xda73ec2605e6750f and imm_val == 0x2 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xa757e91e027e5943; op2val:0xda73ec2605e6750f; immval:0x2 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x2, 0x0000000000000000, 0xa757e91e027e5943, 0xda73ec2605e6750f, x3, 16, x1) - -inst_278: -// rs1_val == 0x91766f62ba2be4d3 and rs2_val == 0x74a813d25570084b and imm_val == 0x0 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x91766f62ba2be4d3; op2val:0x74a813d25570084b; immval:0x0 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x0, 0x0000000000000000, 0x91766f62ba2be4d3, 0x74a813d25570084b, x3, 24, x1) - -inst_279: -// rs1_val == 0x3150e5fa299c3bcf and rs2_val == 0xe6fff3d9ec1ce9d2 and imm_val == 0x1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0x3150e5fa299c3bcf; op2val:0xe6fff3d9ec1ce9d2; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0x3150e5fa299c3bcf, 0xe6fff3d9ec1ce9d2, x3, 32, x1) - -inst_280: -// rs1_val == 0xfbfaf9f8f7f6f5f4 and rs2_val == 0xf7f6f5f4f3f2f1f0 and imm_val == 1 -// opcode: sm4ed; rd: x12; op1:x10; op2:x11; op1val:0xfbfaf9f8f7f6f5f4; op2val:0xf7f6f5f4f3f2f1f0; immval:0x1 -TEST_RI_OP(sm4ed, x12, x10, x11, 0x1, 0x0000000000000000, 0xfbfaf9f8f7f6f5f4, 0xf7f6f5f4f3f2f1f0, x3, 40, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x21_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x21_1: - .fill 19*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 6*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-rwp1.S deleted file mode 100644 index a0a9d7a3f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-rwp1.S +++ /dev/null @@ -1,418 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sm4ed) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKs.*);def TEST_CASE_1=True;",sm4ed) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x1; op1:x2; op1:x3; op1:x4; dest:x5; -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -li x3, 0xd2d6b8777dc59a3a; -li x4, 0xcf84b683a749f9c5; -li x5, 0x854a965708ceac39; -sm4ed x5, x5, x1, 0; -sm4ed x5, x5, x2, 1; -sm4ed x5, x5, x3, 2; -sm4ed x5, x5, x4, 3; -RVTEST_SIGUPD(x31,x5,0); - -inst_1: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x2; op1:x3; op1:x4; op1:x5; dest:x6; -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -li x4, 0xdc3383836b9f15c4; -li x5, 0x5ae6a2289a6ab329; -li x6, 0x432779eeacca7f0d; -sm4ed x6, x6, x2, 0; -sm4ed x6, x6, x3, 1; -sm4ed x6, x6, x4, 2; -sm4ed x6, x6, x5, 3; -RVTEST_SIGUPD(x31,x6,8); - -inst_2: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x3; op1:x4; op1:x5; op1:x6; dest:x7; -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -li x5, 0x9d02fc90708cc1b6; -li x6, 0x953b00b00b54aa22; -li x7, 0x224c06013c53d0e3; -sm4ed x7, x7, x3, 0; -sm4ed x7, x7, x4, 1; -sm4ed x7, x7, x5, 2; -sm4ed x7, x7, x6, 3; -RVTEST_SIGUPD(x31,x7,16); - -inst_3: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x4; op1:x5; op1:x6; op1:x7; dest:x8; -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -li x6, 0x8c8a18b2aaac3142; -li x7, 0x785036de6f9fb997; -li x8, 0x95a4d257a7298c66; -sm4ed x8, x8, x4, 0; -sm4ed x8, x8, x5, 1; -sm4ed x8, x8, x6, 2; -sm4ed x8, x8, x7, 3; -RVTEST_SIGUPD(x31,x8,24); - -inst_4: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x5; op1:x6; op1:x7; op1:x8; dest:x9; -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -li x7, 0x7f21682208208d09; -li x8, 0x14b91c79dae98554; -li x9, 0xc5ec6148c6880007; -sm4ed x9, x9, x5, 0; -sm4ed x9, x9, x6, 1; -sm4ed x9, x9, x7, 2; -sm4ed x9, x9, x8, 3; -RVTEST_SIGUPD(x31,x9,32); - -inst_5: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x6; op1:x7; op1:x8; op1:x9; dest:x10; -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -li x8, 0x85986adb9e044706; -li x9, 0x1e9667c2dd68f201; -li x10, 0x4d753ac174ab0a38; -sm4ed x10, x10, x6, 0; -sm4ed x10, x10, x7, 1; -sm4ed x10, x10, x8, 2; -sm4ed x10, x10, x9, 3; -RVTEST_SIGUPD(x31,x10,40); - -inst_6: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x7; op1:x8; op1:x9; op1:x10; dest:x11; -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -li x9, 0x51c5b8b2e59cf78f; -li x10, 0x43032e3e1475f78d; -li x11, 0x9535971c67a07b54; -sm4ed x11, x11, x7, 0; -sm4ed x11, x11, x8, 1; -sm4ed x11, x11, x9, 2; -sm4ed x11, x11, x10, 3; -RVTEST_SIGUPD(x31,x11,48); - -inst_7: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x8; op1:x9; op1:x10; op1:x11; dest:x12; -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -li x10, 0xac9e90327d486895; -li x11, 0x66b072b9e5e290be; -li x12, 0x89e6156b59672bd6; -sm4ed x12, x12, x8, 0; -sm4ed x12, x12, x9, 1; -sm4ed x12, x12, x10, 2; -sm4ed x12, x12, x11, 3; -RVTEST_SIGUPD(x31,x12,56); - -inst_8: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x9; op1:x10; op1:x11; op1:x12; dest:x13; -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -li x11, 0xf956ec0bf7fb4b49; -li x12, 0x0cf25923109ff475; -li x13, 0x26ea42ec17be082f; -sm4ed x13, x13, x9, 0; -sm4ed x13, x13, x10, 1; -sm4ed x13, x13, x11, 2; -sm4ed x13, x13, x12, 3; -RVTEST_SIGUPD(x31,x13,64); - -inst_9: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x10; op1:x11; op1:x12; op1:x13; dest:x14; -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -li x12, 0xb2a7a18ac9ea3210; -li x13, 0xbd59213e27541f0b; -li x14, 0x9e7e1fc352b42eff; -sm4ed x14, x14, x10, 0; -sm4ed x14, x14, x11, 1; -sm4ed x14, x14, x12, 2; -sm4ed x14, x14, x13, 3; -RVTEST_SIGUPD(x31,x14,72); - -inst_10: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x11; op1:x12; op1:x13; op1:x14; dest:x15; -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -li x13, 0x4bd90a77f65cf3f3; -li x14, 0xdbdd4dd9d9e93409; -li x15, 0xf65e7737fbd2570d; -sm4ed x15, x15, x11, 0; -sm4ed x15, x15, x12, 1; -sm4ed x15, x15, x13, 2; -sm4ed x15, x15, x14, 3; -RVTEST_SIGUPD(x31,x15,80); - -inst_11: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x12; op1:x13; op1:x14; op1:x15; dest:x16; -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -li x14, 0xfc6113a3312529dc; -li x15, 0x6d3f408b31d4ff08; -li x16, 0x8e368ce0be5265f3; -sm4ed x16, x16, x12, 0; -sm4ed x16, x16, x13, 1; -sm4ed x16, x16, x14, 2; -sm4ed x16, x16, x15, 3; -RVTEST_SIGUPD(x31,x16,88); - -inst_12: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x13; op1:x14; op1:x15; op1:x16; dest:x17; -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -li x15, 0x9c1a15286c834752; -li x16, 0xa6faba7bcfe6bc54; -li x17, 0xc5b3e01b11f6d600; -sm4ed x17, x17, x13, 0; -sm4ed x17, x17, x14, 1; -sm4ed x17, x17, x15, 2; -sm4ed x17, x17, x16, 3; -RVTEST_SIGUPD(x31,x17,96); - -inst_13: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x14; op1:x15; op1:x16; op1:x17; dest:x18; -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -li x16, 0x4850e927bfdbb3be; -li x17, 0x67913217437cbc41; -li x18, 0x576e3d4fa3b633ab; -sm4ed x18, x18, x14, 0; -sm4ed x18, x18, x15, 1; -sm4ed x18, x18, x16, 2; -sm4ed x18, x18, x17, 3; -RVTEST_SIGUPD(x31,x18,104); - -inst_14: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x15; op1:x16; op1:x17; op1:x18; dest:x19; -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -li x17, 0xfe0a9c6c9a592829; -li x18, 0x9071f3aab87ce2a5; -li x19, 0x4e6168c8d6220b4f; -sm4ed x19, x19, x15, 0; -sm4ed x19, x19, x16, 1; -sm4ed x19, x19, x17, 2; -sm4ed x19, x19, x18, 3; -RVTEST_SIGUPD(x31,x19,112); - -inst_15: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x16; op1:x17; op1:x18; op1:x19; dest:x20; -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -li x18, 0x2b24ced43da82c98; -li x19, 0xef8c60c0d12f8d4e; -li x20, 0xf37e27a312ea67e4; -sm4ed x20, x20, x16, 0; -sm4ed x20, x20, x17, 1; -sm4ed x20, x20, x18, 2; -sm4ed x20, x20, x19, 3; -RVTEST_SIGUPD(x31,x20,120); - -inst_16: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x17; op1:x18; op1:x19; op1:x20; dest:x21; -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -li x19, 0xd5e9d561f46a41bd; -li x20, 0x9408ad7db13971c0; -li x21, 0x90af95fe095b6425; -sm4ed x21, x21, x17, 0; -sm4ed x21, x21, x18, 1; -sm4ed x21, x21, x19, 2; -sm4ed x21, x21, x20, 3; -RVTEST_SIGUPD(x31,x21,128); - -inst_17: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x18; op1:x19; op1:x20; op1:x21; dest:x22; -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -li x20, 0x596f5f89ce334459; -li x21, 0x5902a9dbe1832ab6; -li x22, 0xb4dc7dee71dabeac; -sm4ed x22, x22, x18, 0; -sm4ed x22, x22, x19, 1; -sm4ed x22, x22, x20, 2; -sm4ed x22, x22, x21, 3; -RVTEST_SIGUPD(x31,x22,136); - -inst_18: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x19; op1:x20; op1:x21; op1:x22; dest:x23; -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -li x21, 0x270e1922a422af66; -li x22, 0xdc336338eea4dd03; -li x23, 0xa2460e15a3da90b2; -sm4ed x23, x23, x19, 0; -sm4ed x23, x23, x20, 1; -sm4ed x23, x23, x21, 2; -sm4ed x23, x23, x22, 3; -RVTEST_SIGUPD(x31,x23,144); - -inst_19: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x20; op1:x21; op1:x22; op1:x23; dest:x24; -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -li x22, 0xf3281b8ef4d325c3; -li x23, 0x947ac00e0caec993; -li x24, 0xd60235f35c4739b7; -sm4ed x24, x24, x20, 0; -sm4ed x24, x24, x21, 1; -sm4ed x24, x24, x22, 2; -sm4ed x24, x24, x23, 3; -RVTEST_SIGUPD(x31,x24,152); - -inst_20: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x21; op1:x22; op1:x23; op1:x24; dest:x25; -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -li x23, 0x29ade05f7dddcc72; -li x24, 0x6baeea37b4c8839b; -li x25, 0x1e4e0fadd02bad0f; -sm4ed x25, x25, x21, 0; -sm4ed x25, x25, x22, 1; -sm4ed x25, x25, x23, 2; -sm4ed x25, x25, x24, 3; -RVTEST_SIGUPD(x31,x25,160); - -inst_21: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x22; op1:x23; op1:x24; op1:x25; dest:x26; -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -li x24, 0xb183406662ebf954; -li x25, 0x796c1d88c313bc1f; -li x26, 0xe7e94a5193b3cb9a; -sm4ed x26, x26, x22, 0; -sm4ed x26, x26, x23, 1; -sm4ed x26, x26, x24, 2; -sm4ed x26, x26, x25, 3; -RVTEST_SIGUPD(x31,x26,168); - -inst_22: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x23; op1:x24; op1:x25; op1:x26; dest:x27; -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -li x25, 0x08011d17cfac3216; -li x26, 0xd65358aaa734906b; -li x27, 0xba1c4b6b2d9da6d7; -sm4ed x27, x27, x23, 0; -sm4ed x27, x27, x24, 1; -sm4ed x27, x27, x25, 2; -sm4ed x27, x27, x26, 3; -RVTEST_SIGUPD(x31,x27,176); - -inst_23: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x24; op1:x25; op1:x26; op1:x27; dest:x28; -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -li x26, 0x3f13091de7fcc18b; -li x27, 0xc2cc1d388d15d800; -li x28, 0x0165f0f02016dc16; -sm4ed x28, x28, x24, 0; -sm4ed x28, x28, x25, 1; -sm4ed x28, x28, x26, 2; -sm4ed x28, x28, x27, 3; -RVTEST_SIGUPD(x31,x28,184); - -inst_24: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x25; op1:x26; op1:x27; op1:x28; dest:x29; -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -li x27, 0x62a12347b17286fd; -li x28, 0xa97cbf8403beaecd; -li x29, 0xa9632e3dbd0070ae; -sm4ed x29, x29, x25, 0; -sm4ed x29, x29, x26, 1; -sm4ed x29, x29, x27, 2; -sm4ed x29, x29, x28, 3; -RVTEST_SIGUPD(x31,x29,192); - -inst_25: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ed; op1:x26; op1:x27; op1:x28; op1:x29; dest:x30; -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -li x28, 0x7587e11994038f33; -li x29, 0x2f8d133555f7f60a; -li x30, 0xa0a1c6ef661a191b; -sm4ed x30, x30, x26, 0; -sm4ed x30, x30, x27, 1; -sm4ed x30, x30, x28, 2; -sm4ed x30, x30, x29, 3; -RVTEST_SIGUPD(x31,x30,200); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 26*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-01.S deleted file mode 100644 index abc13221d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-01.S +++ /dev/null @@ -1,1492 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Thu Aug 12 11:00:04 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sm4ks instruction of the RISC-V _Zks extension for the sm4ks covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I_Zks") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",sm4ks) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",sm4ks) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKsed.*);def TEST_CASE_1=True;",sm4ks) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x8, rs2==x30, rd==x29, rs1_val == 0x0706050403020100 and rs2_val == 0x0b0a090807060504 and imm_val == 0 -// opcode: sm4ks; rd: x29; op1:x8; op2:x30; op1val:0x706050403020100; op2val:0xb0a090807060504; immval:0x0 -TEST_RI_OP(sm4ks, x29, x8, x30, 0x0, 0x0000000000000000, 0x706050403020100, 0xb0a090807060504, x2, 0, x6) - -inst_1: -// rs2 == rd != rs1, rs1==x28, rs2==x7, rd==x7, rs1_val == 0xbc36b151aeb006fc and rs2_val == 0x2cab43d86576923c and imm_val == 0x3 -// opcode: sm4ks; rd: x7; op1:x28; op2:x7; op1val:0xbc36b151aeb006fc; op2val:0x2cab43d86576923c; immval:0x3 -TEST_RI_OP(sm4ks, x7, x28, x7, 0x3, 0x0000000000000000, 0xbc36b151aeb006fc, 0x2cab43d86576923c, x2, 8, x6) - -inst_2: -// rs1 == rs2 != rd, rs1==x23, rs2==x23, rd==x24, rs1_val == 0x156fe482f9fcd292 and rs2_val == 0x24c6435c8dca0621 and imm_val == 0x0 -// opcode: sm4ks; rd: x24; op1:x23; op2:x23; op1val:0x156fe482f9fcd292; op2val:0x156fe482f9fcd292; immval:0x0 -TEST_RI_OP(sm4ks, x24, x23, x23, 0x0, 0x0000000000000000, 0x156fe482f9fcd292, 0x156fe482f9fcd292, x2, 16, x6) - -inst_3: -// rs1 == rs2 == rd, rs1==x26, rs2==x26, rd==x26, rs1_val == 0xa757e91e027e5943 and rs2_val == 0xda73ec2605e6750f and imm_val == 0x2 -// opcode: sm4ks; rd: x26; op1:x26; op2:x26; op1val:0xa757e91e027e5943; op2val:0xa757e91e027e5943; immval:0x2 -TEST_RI_OP(sm4ks, x26, x26, x26, 0x2, 0x0000000000000000, 0xa757e91e027e5943, 0xa757e91e027e5943, x2, 24, x6) - -inst_4: -// rs1 == rd != rs2, rs1==x10, rs2==x27, rd==x10, rs1_val == 0xf452cbd863fa921d and rs2_val == 0x4b6587d88ef464d1 and imm_val == 0x3 -// opcode: sm4ks; rd: x10; op1:x10; op2:x27; op1val:0xf452cbd863fa921d; op2val:0x4b6587d88ef464d1; immval:0x3 -TEST_RI_OP(sm4ks, x10, x10, x27, 0x3, 0x0000000000000000, 0xf452cbd863fa921d, 0x4b6587d88ef464d1, x2, 32, x6) - -inst_5: -// rs1==x22, rs2==x5, rd==x23, rs1_val == 0xd40f46a4356c1dcc and rs2_val == 0x30c33d97184e0f23 and imm_val == 0x0 -// opcode: sm4ks; rd: x23; op1:x22; op2:x5; op1val:0xd40f46a4356c1dcc; op2val:0x30c33d97184e0f23; immval:0x0 -TEST_RI_OP(sm4ks, x23, x22, x5, 0x0, 0x0000000000000000, 0xd40f46a4356c1dcc, 0x30c33d97184e0f23, x2, 40, x6) - -inst_6: -// rs1==x27, rs2==x14, rd==x16, rs1_val == 0x6d23c0488a6019c1 and rs2_val == 0x860bdaad7447a088 and imm_val == 0x2 -// opcode: sm4ks; rd: x16; op1:x27; op2:x14; op1val:0x6d23c0488a6019c1; op2val:0x860bdaad7447a088; immval:0x2 -TEST_RI_OP(sm4ks, x16, x27, x14, 0x2, 0x0000000000000000, 0x6d23c0488a6019c1, 0x860bdaad7447a088, x2, 48, x6) - -inst_7: -// rs1==x7, rs2==x21, rd==x13, rs1_val == 0x17168ab3a4351379 and rs2_val == 0xbbb4f560f222070c and imm_val == 0x0 -// opcode: sm4ks; rd: x13; op1:x7; op2:x21; op1val:0x17168ab3a4351379; op2val:0xbbb4f560f222070c; immval:0x0 -TEST_RI_OP(sm4ks, x13, x7, x21, 0x0, 0x0000000000000000, 0x17168ab3a4351379, 0xbbb4f560f222070c, x2, 56, x6) - -inst_8: -// rs1==x21, rs2==x24, rd==x25, rs1_val == 0x3fb0fe60ef1d54db and rs2_val == 0x09ff42451826a804 and imm_val == 0x1 -// opcode: sm4ks; rd: x25; op1:x21; op2:x24; op1val:0x3fb0fe60ef1d54db; op2val:0x9ff42451826a804; immval:0x1 -TEST_RI_OP(sm4ks, x25, x21, x24, 0x1, 0x0000000000000000, 0x3fb0fe60ef1d54db, 0x9ff42451826a804, x2, 64, x6) - -inst_9: -// rs1==x1, rs2==x11, rd==x21, rs1_val == 0xcc7b22010ca3ef52 and rs2_val == 0xc150f4d3df74d068 and imm_val == 0x1 -// opcode: sm4ks; rd: x21; op1:x1; op2:x11; op1val:0xcc7b22010ca3ef52; op2val:0xc150f4d3df74d068; immval:0x1 -TEST_RI_OP(sm4ks, x21, x1, x11, 0x1, 0x0000000000000000, 0xcc7b22010ca3ef52, 0xc150f4d3df74d068, x2, 72, x6) - -inst_10: -// rs1==x5, rs2==x4, rd==x27, rs1_val == 0x358a9235987daa20 and rs2_val == 0xb369e10209f393d7 and imm_val == 0x1 -// opcode: sm4ks; rd: x27; op1:x5; op2:x4; op1val:0x358a9235987daa20; op2val:0xb369e10209f393d7; immval:0x1 -TEST_RI_OP(sm4ks, x27, x5, x4, 0x1, 0x0000000000000000, 0x358a9235987daa20, 0xb369e10209f393d7, x2, 80, x6) - -inst_11: -// rs1==x9, rs2==x15, rd==x17, rs1_val == 0x91766f62ba2be4d3 and rs2_val == 0x74a813d25570084b and imm_val == 0x0 -// opcode: sm4ks; rd: x17; op1:x9; op2:x15; op1val:0x91766f62ba2be4d3; op2val:0x74a813d25570084b; immval:0x0 -TEST_RI_OP(sm4ks, x17, x9, x15, 0x0, 0x0000000000000000, 0x91766f62ba2be4d3, 0x74a813d25570084b, x2, 88, x6) - -inst_12: -// rs1==x31, rs2==x1, rd==x20, rs1_val == 0xb7c1fc5f1efa1095 and rs2_val == 0xe2cbb9ab3819fe4d and imm_val == 0x1 -// opcode: sm4ks; rd: x20; op1:x31; op2:x1; op1val:0xb7c1fc5f1efa1095; op2val:0xe2cbb9ab3819fe4d; immval:0x1 -TEST_RI_OP(sm4ks, x20, x31, x1, 0x1, 0x0000000000000000, 0xb7c1fc5f1efa1095, 0xe2cbb9ab3819fe4d, x2, 96, x6) - -inst_13: -// rs1==x0, rs2==x19, rd==x5, rs1_val == 0xa6c9253a4cc6382e and rs2_val == 0x25ae27ee4113ee60 and imm_val == 0x2 -// opcode: sm4ks; rd: x5; op1:x0; op2:x19; op1val:0x0; op2val:0x25ae27ee4113ee60; immval:0x2 -TEST_RI_OP(sm4ks, x5, x0, x19, 0x2, 0x0000000000000000, 0x0, 0x25ae27ee4113ee60, x2, 104, x6) - -inst_14: -// rs1==x4, rs2==x22, rd==x8, rs1_val == 0xf17f6920daaafe5c and rs2_val == 0x7bcad7c4ff9a1b80 and imm_val == 0x0 -// opcode: sm4ks; rd: x8; op1:x4; op2:x22; op1val:0xf17f6920daaafe5c; op2val:0x7bcad7c4ff9a1b80; immval:0x0 -TEST_RI_OP(sm4ks, x8, x4, x22, 0x0, 0x0000000000000000, 0xf17f6920daaafe5c, 0x7bcad7c4ff9a1b80, x2, 112, x6) - -inst_15: -// rs1==x3, rs2==x25, rd==x30, rs1_val == 0x3150e5fa299c3bcf and rs2_val == 0xe6fff3d9ec1ce9d2 and imm_val == 0x1 -// opcode: sm4ks; rd: x30; op1:x3; op2:x25; op1val:0x3150e5fa299c3bcf; op2val:0xe6fff3d9ec1ce9d2; immval:0x1 -TEST_RI_OP(sm4ks, x30, x3, x25, 0x1, 0x0000000000000000, 0x3150e5fa299c3bcf, 0xe6fff3d9ec1ce9d2, x2, 120, x6) - -inst_16: -// rs1==x17, rs2==x18, rd==x4, rs1_val == 0x1fc493caa371db42 and rs2_val == 0x9a4e9ef10171f4df and imm_val == 0x1 -// opcode: sm4ks; rd: x4; op1:x17; op2:x18; op1val:0x1fc493caa371db42; op2val:0x9a4e9ef10171f4df; immval:0x1 -TEST_RI_OP(sm4ks, x4, x17, x18, 0x1, 0x0000000000000000, 0x1fc493caa371db42, 0x9a4e9ef10171f4df, x2, 128, x5) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_17: -// rs1==x30, rs2==x2, rd==x6, rs1_val == 0xf5faf2073430cb17 and rs2_val == 0x8e2eac2a760b3c5e and imm_val == 0x3 -// opcode: sm4ks; rd: x6; op1:x30; op2:x2; op1val:0xf5faf2073430cb17; op2val:0x8e2eac2a760b3c5e; immval:0x3 -TEST_RI_OP(sm4ks, x6, x30, x2, 0x3, 0x0000000000000000, 0xf5faf2073430cb17, 0x8e2eac2a760b3c5e, x4, 0, x5) - -inst_18: -// rs1==x11, rs2==x9, rd==x15, rs1_val == 0xbc991c531484f407 and rs2_val == 0x55d98c6e3459294e and imm_val == 0x2 -// opcode: sm4ks; rd: x15; op1:x11; op2:x9; op1val:0xbc991c531484f407; op2val:0x55d98c6e3459294e; immval:0x2 -TEST_RI_OP(sm4ks, x15, x11, x9, 0x2, 0x0000000000000000, 0xbc991c531484f407, 0x55d98c6e3459294e, x4, 8, x5) - -inst_19: -// rs1==x25, rs2==x6, rd==x0, rs1_val == 0x59885afcbb61a9cd and rs2_val == 0xccce240c81c1e7ff and imm_val == 0x0 -// opcode: sm4ks; rd: x0; op1:x25; op2:x6; op1val:0x59885afcbb61a9cd; op2val:0xccce240c81c1e7ff; immval:0x0 -TEST_RI_OP(sm4ks, x0, x25, x6, 0x0, 0x0000000000000000, 0x59885afcbb61a9cd, 0xccce240c81c1e7ff, x4, 16, x5) - -inst_20: -// rs1==x15, rs2==x8, rd==x12, rs1_val == 0x75a3adb3254a9493 and rs2_val == 0xc5521660f3a3c571 and imm_val == 0x3 -// opcode: sm4ks; rd: x12; op1:x15; op2:x8; op1val:0x75a3adb3254a9493; op2val:0xc5521660f3a3c571; immval:0x3 -TEST_RI_OP(sm4ks, x12, x15, x8, 0x3, 0x0000000000000000, 0x75a3adb3254a9493, 0xc5521660f3a3c571, x4, 24, x5) - -inst_21: -// rs1==x13, rs2==x20, rd==x22, rs1_val == 0x03020100fffefdfc and rs2_val == 0xfffefdfcfbfaf9f8 and imm_val == 3 -// opcode: sm4ks; rd: x22; op1:x13; op2:x20; op1val:0x3020100fffefdfc; op2val:0xfffefdfcfbfaf9f8; immval:0x3 -TEST_RI_OP(sm4ks, x22, x13, x20, 0x3, 0x0000000000000000, 0x3020100fffefdfc, 0xfffefdfcfbfaf9f8, x4, 32, x5) - -inst_22: -// rs1==x14, rs2==x3, rd==x18, rs1_val == 0x03020100fffefdfc and rs2_val == 0xfffefdfcfbfaf9f8 and imm_val == 2 -// opcode: sm4ks; rd: x18; op1:x14; op2:x3; op1val:0x3020100fffefdfc; op2val:0xfffefdfcfbfaf9f8; immval:0x2 -TEST_RI_OP(sm4ks, x18, x14, x3, 0x2, 0x0000000000000000, 0x3020100fffefdfc, 0xfffefdfcfbfaf9f8, x4, 40, x5) - -inst_23: -// rs1==x6, rs2==x0, rd==x14, rs1_val == 0x03020100fffefdfc and rs2_val == 0xfffefdfcfbfaf9f8 and imm_val == 1 -// opcode: sm4ks; rd: x14; op1:x6; op2:x0; op1val:0x3020100fffefdfc; op2val:0x0; immval:0x1 -TEST_RI_OP(sm4ks, x14, x6, x0, 0x1, 0x0000000000000000, 0x3020100fffefdfc, 0x0, x4, 48, x5) - -inst_24: -// rs1==x29, rs2==x13, rd==x1, rs1_val == 0x03020100fffefdfc and rs2_val == 0xfffefdfcfbfaf9f8 and imm_val == 0 -// opcode: sm4ks; rd: x1; op1:x29; op2:x13; op1val:0x3020100fffefdfc; op2val:0xfffefdfcfbfaf9f8; immval:0x0 -TEST_RI_OP(sm4ks, x1, x29, x13, 0x0, 0x0000000000000000, 0x3020100fffefdfc, 0xfffefdfcfbfaf9f8, x4, 56, x5) - -inst_25: -// rs1==x2, rs2==x17, rd==x3, rs1_val == 0xfffefdfcfbfaf9f8 and rs2_val == 0x03020100fffefdfc and imm_val == 3 -// opcode: sm4ks; rd: x3; op1:x2; op2:x17; op1val:0xfffefdfcfbfaf9f8; op2val:0x3020100fffefdfc; immval:0x3 -TEST_RI_OP(sm4ks, x3, x2, x17, 0x3, 0x0000000000000000, 0xfffefdfcfbfaf9f8, 0x3020100fffefdfc, x4, 64, x5) - -inst_26: -// rs1==x19, rs2==x16, rd==x2, rs1_val == 0xfffefdfcfbfaf9f8 and rs2_val == 0x03020100fffefdfc and imm_val == 2 -// opcode: sm4ks; rd: x2; op1:x19; op2:x16; op1val:0xfffefdfcfbfaf9f8; op2val:0x3020100fffefdfc; immval:0x2 -TEST_RI_OP(sm4ks, x2, x19, x16, 0x2, 0x0000000000000000, 0xfffefdfcfbfaf9f8, 0x3020100fffefdfc, x4, 72, x5) - -inst_27: -// rs1==x16, rs2==x28, rd==x31, rs1_val == 0xfffefdfcfbfaf9f8 and rs2_val == 0x03020100fffefdfc and imm_val == 1 -// opcode: sm4ks; rd: x31; op1:x16; op2:x28; op1val:0xfffefdfcfbfaf9f8; op2val:0x3020100fffefdfc; immval:0x1 -TEST_RI_OP(sm4ks, x31, x16, x28, 0x1, 0x0000000000000000, 0xfffefdfcfbfaf9f8, 0x3020100fffefdfc, x4, 80, x5) - -inst_28: -// rs1==x12, rs2==x29, rd==x9, rs1_val == 0xfffefdfcfbfaf9f8 and rs2_val == 0x03020100fffefdfc and imm_val == 0 -// opcode: sm4ks; rd: x9; op1:x12; op2:x29; op1val:0xfffefdfcfbfaf9f8; op2val:0x3020100fffefdfc; immval:0x0 -TEST_RI_OP(sm4ks, x9, x12, x29, 0x0, 0x0000000000000000, 0xfffefdfcfbfaf9f8, 0x3020100fffefdfc, x4, 88, x5) - -inst_29: -// rs1==x18, rs2==x12, rd==x28, rs1_val == 0xfbfaf9f8f7f6f5f4 and rs2_val == 0xf7f6f5f4f3f2f1f0 and imm_val == 3 -// opcode: sm4ks; rd: x28; op1:x18; op2:x12; op1val:0xfbfaf9f8f7f6f5f4; op2val:0xf7f6f5f4f3f2f1f0; immval:0x3 -TEST_RI_OP(sm4ks, x28, x18, x12, 0x3, 0x0000000000000000, 0xfbfaf9f8f7f6f5f4, 0xf7f6f5f4f3f2f1f0, x4, 96, x5) - -inst_30: -// rs1==x24, rs2==x10, rd==x19, rs1_val == 0xfbfaf9f8f7f6f5f4 and rs2_val == 0xf7f6f5f4f3f2f1f0 and imm_val == 2 -// opcode: sm4ks; rd: x19; op1:x24; op2:x10; op1val:0xfbfaf9f8f7f6f5f4; op2val:0xf7f6f5f4f3f2f1f0; immval:0x2 -TEST_RI_OP(sm4ks, x19, x24, x10, 0x2, 0x0000000000000000, 0xfbfaf9f8f7f6f5f4, 0xf7f6f5f4f3f2f1f0, x4, 104, x5) - -inst_31: -// rs1==x20, rs2==x31, rd==x11, rs1_val == 0xfbfaf9f8f7f6f5f4 and rs2_val == 0xf7f6f5f4f3f2f1f0 and imm_val == 1 -// opcode: sm4ks; rd: x11; op1:x20; op2:x31; op1val:0xfbfaf9f8f7f6f5f4; op2val:0xf7f6f5f4f3f2f1f0; immval:0x1 -TEST_RI_OP(sm4ks, x11, x20, x31, 0x1, 0x0000000000000000, 0xfbfaf9f8f7f6f5f4, 0xf7f6f5f4f3f2f1f0, x4, 112, x5) - -inst_32: -// rs1_val == 0xfbfaf9f8f7f6f5f4 and rs2_val == 0xf7f6f5f4f3f2f1f0 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xfbfaf9f8f7f6f5f4; op2val:0xf7f6f5f4f3f2f1f0; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xfbfaf9f8f7f6f5f4, 0xf7f6f5f4f3f2f1f0, x4, 120, x5) - -inst_33: -// rs1_val == 0xf7f6f5f4f3f2f1f0 and rs2_val == 0xfbfaf9f8f7f6f5f4 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xf7f6f5f4f3f2f1f0; op2val:0xfbfaf9f8f7f6f5f4; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xf7f6f5f4f3f2f1f0, 0xfbfaf9f8f7f6f5f4, x4, 128, x5) - -inst_34: -// rs1_val == 0xf7f6f5f4f3f2f1f0 and rs2_val == 0xfbfaf9f8f7f6f5f4 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xf7f6f5f4f3f2f1f0; op2val:0xfbfaf9f8f7f6f5f4; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xf7f6f5f4f3f2f1f0, 0xfbfaf9f8f7f6f5f4, x4, 136, x5) - -inst_35: -// rs1_val == 0xf7f6f5f4f3f2f1f0 and rs2_val == 0xfbfaf9f8f7f6f5f4 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xf7f6f5f4f3f2f1f0; op2val:0xfbfaf9f8f7f6f5f4; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xf7f6f5f4f3f2f1f0, 0xfbfaf9f8f7f6f5f4, x4, 144, x5) - -inst_36: -// rs1_val == 0xf7f6f5f4f3f2f1f0 and rs2_val == 0xfbfaf9f8f7f6f5f4 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xf7f6f5f4f3f2f1f0; op2val:0xfbfaf9f8f7f6f5f4; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xf7f6f5f4f3f2f1f0, 0xfbfaf9f8f7f6f5f4, x4, 152, x5) - -inst_37: -// rs1_val == 0xf3f2f1f0efeeedec and rs2_val == 0xefeeedecebeae9e8 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xf3f2f1f0efeeedec; op2val:0xefeeedecebeae9e8; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xf3f2f1f0efeeedec, 0xefeeedecebeae9e8, x4, 160, x5) - -inst_38: -// rs1_val == 0xf3f2f1f0efeeedec and rs2_val == 0xefeeedecebeae9e8 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xf3f2f1f0efeeedec; op2val:0xefeeedecebeae9e8; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xf3f2f1f0efeeedec, 0xefeeedecebeae9e8, x4, 168, x5) - -inst_39: -// rs1_val == 0xf3f2f1f0efeeedec and rs2_val == 0xefeeedecebeae9e8 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xf3f2f1f0efeeedec; op2val:0xefeeedecebeae9e8; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xf3f2f1f0efeeedec, 0xefeeedecebeae9e8, x4, 176, x5) - -inst_40: -// rs1_val == 0xf3f2f1f0efeeedec and rs2_val == 0xefeeedecebeae9e8 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xf3f2f1f0efeeedec; op2val:0xefeeedecebeae9e8; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xf3f2f1f0efeeedec, 0xefeeedecebeae9e8, x4, 184, x5) - -inst_41: -// rs1_val == 0xefeeedecebeae9e8 and rs2_val == 0xf3f2f1f0efeeedec and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xefeeedecebeae9e8; op2val:0xf3f2f1f0efeeedec; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xefeeedecebeae9e8, 0xf3f2f1f0efeeedec, x4, 192, x5) - -inst_42: -// rs1_val == 0xefeeedecebeae9e8 and rs2_val == 0xf3f2f1f0efeeedec and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xefeeedecebeae9e8; op2val:0xf3f2f1f0efeeedec; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xefeeedecebeae9e8, 0xf3f2f1f0efeeedec, x4, 200, x5) - -inst_43: -// rs1_val == 0xefeeedecebeae9e8 and rs2_val == 0xf3f2f1f0efeeedec and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xefeeedecebeae9e8; op2val:0xf3f2f1f0efeeedec; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xefeeedecebeae9e8, 0xf3f2f1f0efeeedec, x4, 208, x5) - -inst_44: -// rs1_val == 0xefeeedecebeae9e8 and rs2_val == 0xf3f2f1f0efeeedec and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xefeeedecebeae9e8; op2val:0xf3f2f1f0efeeedec; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xefeeedecebeae9e8, 0xf3f2f1f0efeeedec, x4, 216, x5) - -inst_45: -// rs1_val == 0xebeae9e8e7e6e5e4 and rs2_val == 0xe7e6e5e4e3e2e1e0 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xebeae9e8e7e6e5e4; op2val:0xe7e6e5e4e3e2e1e0; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xebeae9e8e7e6e5e4, 0xe7e6e5e4e3e2e1e0, x4, 224, x5) - -inst_46: -// rs1_val == 0xebeae9e8e7e6e5e4 and rs2_val == 0xe7e6e5e4e3e2e1e0 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xebeae9e8e7e6e5e4; op2val:0xe7e6e5e4e3e2e1e0; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xebeae9e8e7e6e5e4, 0xe7e6e5e4e3e2e1e0, x4, 232, x5) - -inst_47: -// rs1_val == 0xebeae9e8e7e6e5e4 and rs2_val == 0xe7e6e5e4e3e2e1e0 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xebeae9e8e7e6e5e4; op2val:0xe7e6e5e4e3e2e1e0; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xebeae9e8e7e6e5e4, 0xe7e6e5e4e3e2e1e0, x4, 240, x5) - -inst_48: -// rs1_val == 0xebeae9e8e7e6e5e4 and rs2_val == 0xe7e6e5e4e3e2e1e0 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xebeae9e8e7e6e5e4; op2val:0xe7e6e5e4e3e2e1e0; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xebeae9e8e7e6e5e4, 0xe7e6e5e4e3e2e1e0, x4, 248, x5) - -inst_49: -// rs1_val == 0xe7e6e5e4e3e2e1e0 and rs2_val == 0xebeae9e8e7e6e5e4 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xe7e6e5e4e3e2e1e0; op2val:0xebeae9e8e7e6e5e4; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xe7e6e5e4e3e2e1e0, 0xebeae9e8e7e6e5e4, x4, 256, x5) - -inst_50: -// rs1_val == 0xe7e6e5e4e3e2e1e0 and rs2_val == 0xebeae9e8e7e6e5e4 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xe7e6e5e4e3e2e1e0; op2val:0xebeae9e8e7e6e5e4; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xe7e6e5e4e3e2e1e0, 0xebeae9e8e7e6e5e4, x4, 264, x5) - -inst_51: -// rs1_val == 0xe7e6e5e4e3e2e1e0 and rs2_val == 0xebeae9e8e7e6e5e4 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xe7e6e5e4e3e2e1e0; op2val:0xebeae9e8e7e6e5e4; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xe7e6e5e4e3e2e1e0, 0xebeae9e8e7e6e5e4, x4, 272, x5) - -inst_52: -// rs1_val == 0xe7e6e5e4e3e2e1e0 and rs2_val == 0xebeae9e8e7e6e5e4 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xe7e6e5e4e3e2e1e0; op2val:0xebeae9e8e7e6e5e4; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xe7e6e5e4e3e2e1e0, 0xebeae9e8e7e6e5e4, x4, 280, x5) - -inst_53: -// rs1_val == 0xe3e2e1e0dfdedddc and rs2_val == 0xdfdedddcdbdad9d8 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xe3e2e1e0dfdedddc; op2val:0xdfdedddcdbdad9d8; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xe3e2e1e0dfdedddc, 0xdfdedddcdbdad9d8, x4, 288, x5) - -inst_54: -// rs1_val == 0xe3e2e1e0dfdedddc and rs2_val == 0xdfdedddcdbdad9d8 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xe3e2e1e0dfdedddc; op2val:0xdfdedddcdbdad9d8; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xe3e2e1e0dfdedddc, 0xdfdedddcdbdad9d8, x4, 296, x5) - -inst_55: -// rs1_val == 0xe3e2e1e0dfdedddc and rs2_val == 0xdfdedddcdbdad9d8 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xe3e2e1e0dfdedddc; op2val:0xdfdedddcdbdad9d8; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xe3e2e1e0dfdedddc, 0xdfdedddcdbdad9d8, x4, 304, x5) - -inst_56: -// rs1_val == 0xe3e2e1e0dfdedddc and rs2_val == 0xdfdedddcdbdad9d8 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xe3e2e1e0dfdedddc; op2val:0xdfdedddcdbdad9d8; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xe3e2e1e0dfdedddc, 0xdfdedddcdbdad9d8, x4, 312, x5) - -inst_57: -// rs1_val == 0xdfdedddcdbdad9d8 and rs2_val == 0xe3e2e1e0dfdedddc and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xdfdedddcdbdad9d8; op2val:0xe3e2e1e0dfdedddc; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xdfdedddcdbdad9d8, 0xe3e2e1e0dfdedddc, x4, 320, x5) - -inst_58: -// rs1_val == 0xdfdedddcdbdad9d8 and rs2_val == 0xe3e2e1e0dfdedddc and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xdfdedddcdbdad9d8; op2val:0xe3e2e1e0dfdedddc; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xdfdedddcdbdad9d8, 0xe3e2e1e0dfdedddc, x4, 328, x5) - -inst_59: -// rs1_val == 0xdfdedddcdbdad9d8 and rs2_val == 0xe3e2e1e0dfdedddc and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xdfdedddcdbdad9d8; op2val:0xe3e2e1e0dfdedddc; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xdfdedddcdbdad9d8, 0xe3e2e1e0dfdedddc, x4, 336, x5) - -inst_60: -// rs1_val == 0xdfdedddcdbdad9d8 and rs2_val == 0xe3e2e1e0dfdedddc and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xdfdedddcdbdad9d8; op2val:0xe3e2e1e0dfdedddc; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xdfdedddcdbdad9d8, 0xe3e2e1e0dfdedddc, x4, 344, x5) - -inst_61: -// rs1_val == 0xdbdad9d8d7d6d5d4 and rs2_val == 0xd7d6d5d4d3d2d1d0 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xdbdad9d8d7d6d5d4; op2val:0xd7d6d5d4d3d2d1d0; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xdbdad9d8d7d6d5d4, 0xd7d6d5d4d3d2d1d0, x4, 352, x5) - -inst_62: -// rs1_val == 0xdbdad9d8d7d6d5d4 and rs2_val == 0xd7d6d5d4d3d2d1d0 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xdbdad9d8d7d6d5d4; op2val:0xd7d6d5d4d3d2d1d0; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xdbdad9d8d7d6d5d4, 0xd7d6d5d4d3d2d1d0, x4, 360, x5) - -inst_63: -// rs1_val == 0xdbdad9d8d7d6d5d4 and rs2_val == 0xd7d6d5d4d3d2d1d0 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xdbdad9d8d7d6d5d4; op2val:0xd7d6d5d4d3d2d1d0; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xdbdad9d8d7d6d5d4, 0xd7d6d5d4d3d2d1d0, x4, 368, x5) - -inst_64: -// rs1_val == 0xdbdad9d8d7d6d5d4 and rs2_val == 0xd7d6d5d4d3d2d1d0 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xdbdad9d8d7d6d5d4; op2val:0xd7d6d5d4d3d2d1d0; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xdbdad9d8d7d6d5d4, 0xd7d6d5d4d3d2d1d0, x4, 376, x5) - -inst_65: -// rs1_val == 0xd7d6d5d4d3d2d1d0 and rs2_val == 0xdbdad9d8d7d6d5d4 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xd7d6d5d4d3d2d1d0; op2val:0xdbdad9d8d7d6d5d4; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xd7d6d5d4d3d2d1d0, 0xdbdad9d8d7d6d5d4, x4, 384, x5) - -inst_66: -// rs1_val == 0xd7d6d5d4d3d2d1d0 and rs2_val == 0xdbdad9d8d7d6d5d4 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xd7d6d5d4d3d2d1d0; op2val:0xdbdad9d8d7d6d5d4; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xd7d6d5d4d3d2d1d0, 0xdbdad9d8d7d6d5d4, x4, 392, x5) - -inst_67: -// rs1_val == 0xd7d6d5d4d3d2d1d0 and rs2_val == 0xdbdad9d8d7d6d5d4 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xd7d6d5d4d3d2d1d0; op2val:0xdbdad9d8d7d6d5d4; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xd7d6d5d4d3d2d1d0, 0xdbdad9d8d7d6d5d4, x4, 400, x5) - -inst_68: -// rs1_val == 0xd7d6d5d4d3d2d1d0 and rs2_val == 0xdbdad9d8d7d6d5d4 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xd7d6d5d4d3d2d1d0; op2val:0xdbdad9d8d7d6d5d4; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xd7d6d5d4d3d2d1d0, 0xdbdad9d8d7d6d5d4, x4, 408, x5) - -inst_69: -// rs1_val == 0xd3d2d1d0cfcecdcc and rs2_val == 0xcfcecdcccbcac9c8 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xd3d2d1d0cfcecdcc; op2val:0xcfcecdcccbcac9c8; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xd3d2d1d0cfcecdcc, 0xcfcecdcccbcac9c8, x4, 416, x5) - -inst_70: -// rs1_val == 0xd3d2d1d0cfcecdcc and rs2_val == 0xcfcecdcccbcac9c8 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xd3d2d1d0cfcecdcc; op2val:0xcfcecdcccbcac9c8; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xd3d2d1d0cfcecdcc, 0xcfcecdcccbcac9c8, x4, 424, x5) - -inst_71: -// rs1_val == 0xd3d2d1d0cfcecdcc and rs2_val == 0xcfcecdcccbcac9c8 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xd3d2d1d0cfcecdcc; op2val:0xcfcecdcccbcac9c8; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xd3d2d1d0cfcecdcc, 0xcfcecdcccbcac9c8, x4, 432, x5) - -inst_72: -// rs1_val == 0xd3d2d1d0cfcecdcc and rs2_val == 0xcfcecdcccbcac9c8 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xd3d2d1d0cfcecdcc; op2val:0xcfcecdcccbcac9c8; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xd3d2d1d0cfcecdcc, 0xcfcecdcccbcac9c8, x4, 440, x5) - -inst_73: -// rs1_val == 0xcfcecdcccbcac9c8 and rs2_val == 0xd3d2d1d0cfcecdcc and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xcfcecdcccbcac9c8; op2val:0xd3d2d1d0cfcecdcc; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xcfcecdcccbcac9c8, 0xd3d2d1d0cfcecdcc, x4, 448, x5) - -inst_74: -// rs1_val == 0xcfcecdcccbcac9c8 and rs2_val == 0xd3d2d1d0cfcecdcc and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xcfcecdcccbcac9c8; op2val:0xd3d2d1d0cfcecdcc; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xcfcecdcccbcac9c8, 0xd3d2d1d0cfcecdcc, x4, 456, x5) - -inst_75: -// rs1_val == 0xcfcecdcccbcac9c8 and rs2_val == 0xd3d2d1d0cfcecdcc and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xcfcecdcccbcac9c8; op2val:0xd3d2d1d0cfcecdcc; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xcfcecdcccbcac9c8, 0xd3d2d1d0cfcecdcc, x4, 464, x5) - -inst_76: -// rs1_val == 0xcfcecdcccbcac9c8 and rs2_val == 0xd3d2d1d0cfcecdcc and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xcfcecdcccbcac9c8; op2val:0xd3d2d1d0cfcecdcc; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xcfcecdcccbcac9c8, 0xd3d2d1d0cfcecdcc, x4, 472, x5) - -inst_77: -// rs1_val == 0xcbcac9c8c7c6c5c4 and rs2_val == 0xc7c6c5c4c3c2c1c0 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xcbcac9c8c7c6c5c4; op2val:0xc7c6c5c4c3c2c1c0; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xcbcac9c8c7c6c5c4, 0xc7c6c5c4c3c2c1c0, x4, 480, x5) - -inst_78: -// rs1_val == 0xcbcac9c8c7c6c5c4 and rs2_val == 0xc7c6c5c4c3c2c1c0 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xcbcac9c8c7c6c5c4; op2val:0xc7c6c5c4c3c2c1c0; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xcbcac9c8c7c6c5c4, 0xc7c6c5c4c3c2c1c0, x4, 488, x5) - -inst_79: -// rs1_val == 0xcbcac9c8c7c6c5c4 and rs2_val == 0xc7c6c5c4c3c2c1c0 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xcbcac9c8c7c6c5c4; op2val:0xc7c6c5c4c3c2c1c0; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xcbcac9c8c7c6c5c4, 0xc7c6c5c4c3c2c1c0, x4, 496, x5) - -inst_80: -// rs1_val == 0xcbcac9c8c7c6c5c4 and rs2_val == 0xc7c6c5c4c3c2c1c0 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xcbcac9c8c7c6c5c4; op2val:0xc7c6c5c4c3c2c1c0; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xcbcac9c8c7c6c5c4, 0xc7c6c5c4c3c2c1c0, x4, 504, x5) - -inst_81: -// rs1_val == 0xc7c6c5c4c3c2c1c0 and rs2_val == 0xcbcac9c8c7c6c5c4 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xc7c6c5c4c3c2c1c0; op2val:0xcbcac9c8c7c6c5c4; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xc7c6c5c4c3c2c1c0, 0xcbcac9c8c7c6c5c4, x4, 512, x5) - -inst_82: -// rs1_val == 0xc7c6c5c4c3c2c1c0 and rs2_val == 0xcbcac9c8c7c6c5c4 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xc7c6c5c4c3c2c1c0; op2val:0xcbcac9c8c7c6c5c4; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xc7c6c5c4c3c2c1c0, 0xcbcac9c8c7c6c5c4, x4, 520, x5) - -inst_83: -// rs1_val == 0xc7c6c5c4c3c2c1c0 and rs2_val == 0xcbcac9c8c7c6c5c4 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xc7c6c5c4c3c2c1c0; op2val:0xcbcac9c8c7c6c5c4; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xc7c6c5c4c3c2c1c0, 0xcbcac9c8c7c6c5c4, x4, 528, x5) - -inst_84: -// rs1_val == 0xc7c6c5c4c3c2c1c0 and rs2_val == 0xcbcac9c8c7c6c5c4 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xc7c6c5c4c3c2c1c0; op2val:0xcbcac9c8c7c6c5c4; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xc7c6c5c4c3c2c1c0, 0xcbcac9c8c7c6c5c4, x4, 536, x5) - -inst_85: -// rs1_val == 0xc3c2c1c0bfbebdbc and rs2_val == 0xbfbebdbcbbbab9b8 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xc3c2c1c0bfbebdbc; op2val:0xbfbebdbcbbbab9b8; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xc3c2c1c0bfbebdbc, 0xbfbebdbcbbbab9b8, x4, 544, x5) - -inst_86: -// rs1_val == 0xc3c2c1c0bfbebdbc and rs2_val == 0xbfbebdbcbbbab9b8 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xc3c2c1c0bfbebdbc; op2val:0xbfbebdbcbbbab9b8; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xc3c2c1c0bfbebdbc, 0xbfbebdbcbbbab9b8, x4, 552, x5) - -inst_87: -// rs1_val == 0xc3c2c1c0bfbebdbc and rs2_val == 0xbfbebdbcbbbab9b8 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xc3c2c1c0bfbebdbc; op2val:0xbfbebdbcbbbab9b8; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xc3c2c1c0bfbebdbc, 0xbfbebdbcbbbab9b8, x4, 560, x5) - -inst_88: -// rs1_val == 0xc3c2c1c0bfbebdbc and rs2_val == 0xbfbebdbcbbbab9b8 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xc3c2c1c0bfbebdbc; op2val:0xbfbebdbcbbbab9b8; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xc3c2c1c0bfbebdbc, 0xbfbebdbcbbbab9b8, x4, 568, x5) - -inst_89: -// rs1_val == 0xbfbebdbcbbbab9b8 and rs2_val == 0xc3c2c1c0bfbebdbc and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xbfbebdbcbbbab9b8; op2val:0xc3c2c1c0bfbebdbc; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xbfbebdbcbbbab9b8, 0xc3c2c1c0bfbebdbc, x4, 576, x5) - -inst_90: -// rs1_val == 0xbfbebdbcbbbab9b8 and rs2_val == 0xc3c2c1c0bfbebdbc and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xbfbebdbcbbbab9b8; op2val:0xc3c2c1c0bfbebdbc; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xbfbebdbcbbbab9b8, 0xc3c2c1c0bfbebdbc, x4, 584, x5) - -inst_91: -// rs1_val == 0xbfbebdbcbbbab9b8 and rs2_val == 0xc3c2c1c0bfbebdbc and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xbfbebdbcbbbab9b8; op2val:0xc3c2c1c0bfbebdbc; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xbfbebdbcbbbab9b8, 0xc3c2c1c0bfbebdbc, x4, 592, x5) - -inst_92: -// rs1_val == 0xbfbebdbcbbbab9b8 and rs2_val == 0xc3c2c1c0bfbebdbc and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xbfbebdbcbbbab9b8; op2val:0xc3c2c1c0bfbebdbc; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xbfbebdbcbbbab9b8, 0xc3c2c1c0bfbebdbc, x4, 600, x5) - -inst_93: -// rs1_val == 0xbbbab9b8b7b6b5b4 and rs2_val == 0xb7b6b5b4b3b2b1b0 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xbbbab9b8b7b6b5b4; op2val:0xb7b6b5b4b3b2b1b0; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xbbbab9b8b7b6b5b4, 0xb7b6b5b4b3b2b1b0, x4, 608, x5) - -inst_94: -// rs1_val == 0xbbbab9b8b7b6b5b4 and rs2_val == 0xb7b6b5b4b3b2b1b0 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xbbbab9b8b7b6b5b4; op2val:0xb7b6b5b4b3b2b1b0; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xbbbab9b8b7b6b5b4, 0xb7b6b5b4b3b2b1b0, x4, 616, x5) - -inst_95: -// rs1_val == 0xbbbab9b8b7b6b5b4 and rs2_val == 0xb7b6b5b4b3b2b1b0 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xbbbab9b8b7b6b5b4; op2val:0xb7b6b5b4b3b2b1b0; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xbbbab9b8b7b6b5b4, 0xb7b6b5b4b3b2b1b0, x4, 624, x5) - -inst_96: -// rs1_val == 0xbbbab9b8b7b6b5b4 and rs2_val == 0xb7b6b5b4b3b2b1b0 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xbbbab9b8b7b6b5b4; op2val:0xb7b6b5b4b3b2b1b0; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xbbbab9b8b7b6b5b4, 0xb7b6b5b4b3b2b1b0, x4, 632, x5) - -inst_97: -// rs1_val == 0xb7b6b5b4b3b2b1b0 and rs2_val == 0xbbbab9b8b7b6b5b4 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xb7b6b5b4b3b2b1b0; op2val:0xbbbab9b8b7b6b5b4; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xb7b6b5b4b3b2b1b0, 0xbbbab9b8b7b6b5b4, x4, 640, x5) - -inst_98: -// rs1_val == 0xb7b6b5b4b3b2b1b0 and rs2_val == 0xbbbab9b8b7b6b5b4 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xb7b6b5b4b3b2b1b0; op2val:0xbbbab9b8b7b6b5b4; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xb7b6b5b4b3b2b1b0, 0xbbbab9b8b7b6b5b4, x4, 648, x5) - -inst_99: -// rs1_val == 0xb7b6b5b4b3b2b1b0 and rs2_val == 0xbbbab9b8b7b6b5b4 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xb7b6b5b4b3b2b1b0; op2val:0xbbbab9b8b7b6b5b4; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xb7b6b5b4b3b2b1b0, 0xbbbab9b8b7b6b5b4, x4, 656, x5) - -inst_100: -// rs1_val == 0xb7b6b5b4b3b2b1b0 and rs2_val == 0xbbbab9b8b7b6b5b4 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xb7b6b5b4b3b2b1b0; op2val:0xbbbab9b8b7b6b5b4; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xb7b6b5b4b3b2b1b0, 0xbbbab9b8b7b6b5b4, x4, 664, x5) - -inst_101: -// rs1_val == 0xb3b2b1b0afaeadac and rs2_val == 0xafaeadacabaaa9a8 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xb3b2b1b0afaeadac; op2val:0xafaeadacabaaa9a8; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xb3b2b1b0afaeadac, 0xafaeadacabaaa9a8, x4, 672, x5) - -inst_102: -// rs1_val == 0xb3b2b1b0afaeadac and rs2_val == 0xafaeadacabaaa9a8 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xb3b2b1b0afaeadac; op2val:0xafaeadacabaaa9a8; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xb3b2b1b0afaeadac, 0xafaeadacabaaa9a8, x4, 680, x5) - -inst_103: -// rs1_val == 0xb3b2b1b0afaeadac and rs2_val == 0xafaeadacabaaa9a8 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xb3b2b1b0afaeadac; op2val:0xafaeadacabaaa9a8; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xb3b2b1b0afaeadac, 0xafaeadacabaaa9a8, x4, 688, x5) - -inst_104: -// rs1_val == 0xb3b2b1b0afaeadac and rs2_val == 0xafaeadacabaaa9a8 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xb3b2b1b0afaeadac; op2val:0xafaeadacabaaa9a8; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xb3b2b1b0afaeadac, 0xafaeadacabaaa9a8, x4, 696, x5) - -inst_105: -// rs1_val == 0xafaeadacabaaa9a8 and rs2_val == 0xb3b2b1b0afaeadac and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xafaeadacabaaa9a8; op2val:0xb3b2b1b0afaeadac; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xafaeadacabaaa9a8, 0xb3b2b1b0afaeadac, x4, 704, x5) - -inst_106: -// rs1_val == 0xafaeadacabaaa9a8 and rs2_val == 0xb3b2b1b0afaeadac and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xafaeadacabaaa9a8; op2val:0xb3b2b1b0afaeadac; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xafaeadacabaaa9a8, 0xb3b2b1b0afaeadac, x4, 712, x5) - -inst_107: -// rs1_val == 0xafaeadacabaaa9a8 and rs2_val == 0xb3b2b1b0afaeadac and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xafaeadacabaaa9a8; op2val:0xb3b2b1b0afaeadac; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xafaeadacabaaa9a8, 0xb3b2b1b0afaeadac, x4, 720, x5) - -inst_108: -// rs1_val == 0xafaeadacabaaa9a8 and rs2_val == 0xb3b2b1b0afaeadac and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xafaeadacabaaa9a8; op2val:0xb3b2b1b0afaeadac; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xafaeadacabaaa9a8, 0xb3b2b1b0afaeadac, x4, 728, x5) - -inst_109: -// rs1_val == 0xabaaa9a8a7a6a5a4 and rs2_val == 0xa7a6a5a4a3a2a1a0 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xabaaa9a8a7a6a5a4; op2val:0xa7a6a5a4a3a2a1a0; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xabaaa9a8a7a6a5a4, 0xa7a6a5a4a3a2a1a0, x4, 736, x5) - -inst_110: -// rs1_val == 0xabaaa9a8a7a6a5a4 and rs2_val == 0xa7a6a5a4a3a2a1a0 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xabaaa9a8a7a6a5a4; op2val:0xa7a6a5a4a3a2a1a0; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xabaaa9a8a7a6a5a4, 0xa7a6a5a4a3a2a1a0, x4, 744, x5) - -inst_111: -// rs1_val == 0xabaaa9a8a7a6a5a4 and rs2_val == 0xa7a6a5a4a3a2a1a0 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xabaaa9a8a7a6a5a4; op2val:0xa7a6a5a4a3a2a1a0; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xabaaa9a8a7a6a5a4, 0xa7a6a5a4a3a2a1a0, x4, 752, x5) - -inst_112: -// rs1_val == 0xabaaa9a8a7a6a5a4 and rs2_val == 0xa7a6a5a4a3a2a1a0 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xabaaa9a8a7a6a5a4; op2val:0xa7a6a5a4a3a2a1a0; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xabaaa9a8a7a6a5a4, 0xa7a6a5a4a3a2a1a0, x4, 760, x5) - -inst_113: -// rs1_val == 0xa7a6a5a4a3a2a1a0 and rs2_val == 0xabaaa9a8a7a6a5a4 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xa7a6a5a4a3a2a1a0; op2val:0xabaaa9a8a7a6a5a4; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xa7a6a5a4a3a2a1a0, 0xabaaa9a8a7a6a5a4, x4, 768, x5) - -inst_114: -// rs1_val == 0xa7a6a5a4a3a2a1a0 and rs2_val == 0xabaaa9a8a7a6a5a4 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xa7a6a5a4a3a2a1a0; op2val:0xabaaa9a8a7a6a5a4; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xa7a6a5a4a3a2a1a0, 0xabaaa9a8a7a6a5a4, x4, 776, x5) - -inst_115: -// rs1_val == 0xa7a6a5a4a3a2a1a0 and rs2_val == 0xabaaa9a8a7a6a5a4 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xa7a6a5a4a3a2a1a0; op2val:0xabaaa9a8a7a6a5a4; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xa7a6a5a4a3a2a1a0, 0xabaaa9a8a7a6a5a4, x4, 784, x5) - -inst_116: -// rs1_val == 0xa7a6a5a4a3a2a1a0 and rs2_val == 0xabaaa9a8a7a6a5a4 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xa7a6a5a4a3a2a1a0; op2val:0xabaaa9a8a7a6a5a4; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xa7a6a5a4a3a2a1a0, 0xabaaa9a8a7a6a5a4, x4, 792, x5) - -inst_117: -// rs1_val == 0xa3a2a1a09f9e9d9c and rs2_val == 0x9f9e9d9c9b9a9998 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xa3a2a1a09f9e9d9c; op2val:0x9f9e9d9c9b9a9998; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xa3a2a1a09f9e9d9c, 0x9f9e9d9c9b9a9998, x4, 800, x5) - -inst_118: -// rs1_val == 0xa3a2a1a09f9e9d9c and rs2_val == 0x9f9e9d9c9b9a9998 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xa3a2a1a09f9e9d9c; op2val:0x9f9e9d9c9b9a9998; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xa3a2a1a09f9e9d9c, 0x9f9e9d9c9b9a9998, x4, 808, x5) - -inst_119: -// rs1_val == 0xa3a2a1a09f9e9d9c and rs2_val == 0x9f9e9d9c9b9a9998 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xa3a2a1a09f9e9d9c; op2val:0x9f9e9d9c9b9a9998; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xa3a2a1a09f9e9d9c, 0x9f9e9d9c9b9a9998, x4, 816, x5) - -inst_120: -// rs1_val == 0xa3a2a1a09f9e9d9c and rs2_val == 0x9f9e9d9c9b9a9998 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xa3a2a1a09f9e9d9c; op2val:0x9f9e9d9c9b9a9998; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xa3a2a1a09f9e9d9c, 0x9f9e9d9c9b9a9998, x4, 824, x5) - -inst_121: -// rs1_val == 0x9f9e9d9c9b9a9998 and rs2_val == 0xa3a2a1a09f9e9d9c and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x9f9e9d9c9b9a9998; op2val:0xa3a2a1a09f9e9d9c; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x9f9e9d9c9b9a9998, 0xa3a2a1a09f9e9d9c, x4, 832, x5) - -inst_122: -// rs1_val == 0x9f9e9d9c9b9a9998 and rs2_val == 0xa3a2a1a09f9e9d9c and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x9f9e9d9c9b9a9998; op2val:0xa3a2a1a09f9e9d9c; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x9f9e9d9c9b9a9998, 0xa3a2a1a09f9e9d9c, x4, 840, x5) - -inst_123: -// rs1_val == 0x9f9e9d9c9b9a9998 and rs2_val == 0xa3a2a1a09f9e9d9c and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x9f9e9d9c9b9a9998; op2val:0xa3a2a1a09f9e9d9c; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x9f9e9d9c9b9a9998, 0xa3a2a1a09f9e9d9c, x4, 848, x5) - -inst_124: -// rs1_val == 0x9f9e9d9c9b9a9998 and rs2_val == 0xa3a2a1a09f9e9d9c and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x9f9e9d9c9b9a9998; op2val:0xa3a2a1a09f9e9d9c; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x9f9e9d9c9b9a9998, 0xa3a2a1a09f9e9d9c, x4, 856, x5) - -inst_125: -// rs1_val == 0x9b9a999897969594 and rs2_val == 0x9796959493929190 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x9b9a999897969594; op2val:0x9796959493929190; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x9b9a999897969594, 0x9796959493929190, x4, 864, x5) - -inst_126: -// rs1_val == 0x9b9a999897969594 and rs2_val == 0x9796959493929190 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x9b9a999897969594; op2val:0x9796959493929190; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x9b9a999897969594, 0x9796959493929190, x4, 872, x5) - -inst_127: -// rs1_val == 0x9b9a999897969594 and rs2_val == 0x9796959493929190 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x9b9a999897969594; op2val:0x9796959493929190; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x9b9a999897969594, 0x9796959493929190, x4, 880, x5) - -inst_128: -// rs1_val == 0x9b9a999897969594 and rs2_val == 0x9796959493929190 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x9b9a999897969594; op2val:0x9796959493929190; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x9b9a999897969594, 0x9796959493929190, x4, 888, x5) - -inst_129: -// rs1_val == 0x9796959493929190 and rs2_val == 0x9b9a999897969594 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x9796959493929190; op2val:0x9b9a999897969594; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x9796959493929190, 0x9b9a999897969594, x4, 896, x5) - -inst_130: -// rs1_val == 0x9796959493929190 and rs2_val == 0x9b9a999897969594 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x9796959493929190; op2val:0x9b9a999897969594; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x9796959493929190, 0x9b9a999897969594, x4, 904, x5) - -inst_131: -// rs1_val == 0x9796959493929190 and rs2_val == 0x9b9a999897969594 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x9796959493929190; op2val:0x9b9a999897969594; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x9796959493929190, 0x9b9a999897969594, x4, 912, x5) - -inst_132: -// rs1_val == 0x9796959493929190 and rs2_val == 0x9b9a999897969594 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x9796959493929190; op2val:0x9b9a999897969594; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x9796959493929190, 0x9b9a999897969594, x4, 920, x5) - -inst_133: -// rs1_val == 0x939291908f8e8d8c and rs2_val == 0x8f8e8d8c8b8a8988 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x939291908f8e8d8c; op2val:0x8f8e8d8c8b8a8988; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x939291908f8e8d8c, 0x8f8e8d8c8b8a8988, x4, 928, x5) - -inst_134: -// rs1_val == 0x939291908f8e8d8c and rs2_val == 0x8f8e8d8c8b8a8988 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x939291908f8e8d8c; op2val:0x8f8e8d8c8b8a8988; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x939291908f8e8d8c, 0x8f8e8d8c8b8a8988, x4, 936, x5) - -inst_135: -// rs1_val == 0x939291908f8e8d8c and rs2_val == 0x8f8e8d8c8b8a8988 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x939291908f8e8d8c; op2val:0x8f8e8d8c8b8a8988; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x939291908f8e8d8c, 0x8f8e8d8c8b8a8988, x4, 944, x5) - -inst_136: -// rs1_val == 0x939291908f8e8d8c and rs2_val == 0x8f8e8d8c8b8a8988 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x939291908f8e8d8c; op2val:0x8f8e8d8c8b8a8988; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x939291908f8e8d8c, 0x8f8e8d8c8b8a8988, x4, 952, x5) - -inst_137: -// rs1_val == 0x8f8e8d8c8b8a8988 and rs2_val == 0x939291908f8e8d8c and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x8f8e8d8c8b8a8988; op2val:0x939291908f8e8d8c; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x8f8e8d8c8b8a8988, 0x939291908f8e8d8c, x4, 960, x5) - -inst_138: -// rs1_val == 0x8f8e8d8c8b8a8988 and rs2_val == 0x939291908f8e8d8c and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x8f8e8d8c8b8a8988; op2val:0x939291908f8e8d8c; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x8f8e8d8c8b8a8988, 0x939291908f8e8d8c, x4, 968, x5) - -inst_139: -// rs1_val == 0x8f8e8d8c8b8a8988 and rs2_val == 0x939291908f8e8d8c and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x8f8e8d8c8b8a8988; op2val:0x939291908f8e8d8c; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x8f8e8d8c8b8a8988, 0x939291908f8e8d8c, x4, 976, x5) - -inst_140: -// rs1_val == 0x8f8e8d8c8b8a8988 and rs2_val == 0x939291908f8e8d8c and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x8f8e8d8c8b8a8988; op2val:0x939291908f8e8d8c; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x8f8e8d8c8b8a8988, 0x939291908f8e8d8c, x4, 984, x5) - -inst_141: -// rs1_val == 0x8b8a898887868584 and rs2_val == 0x8786858483828180 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x8b8a898887868584; op2val:0x8786858483828180; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x8b8a898887868584, 0x8786858483828180, x4, 992, x5) - -inst_142: -// rs1_val == 0x8b8a898887868584 and rs2_val == 0x8786858483828180 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x8b8a898887868584; op2val:0x8786858483828180; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x8b8a898887868584, 0x8786858483828180, x4, 1000, x5) - -inst_143: -// rs1_val == 0x8b8a898887868584 and rs2_val == 0x8786858483828180 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x8b8a898887868584; op2val:0x8786858483828180; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x8b8a898887868584, 0x8786858483828180, x4, 1008, x5) - -inst_144: -// rs1_val == 0x8b8a898887868584 and rs2_val == 0x8786858483828180 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x8b8a898887868584; op2val:0x8786858483828180; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x8b8a898887868584, 0x8786858483828180, x4, 1016, x5) - -inst_145: -// rs1_val == 0x8786858483828180 and rs2_val == 0x8b8a898887868584 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x8786858483828180; op2val:0x8b8a898887868584; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x8786858483828180, 0x8b8a898887868584, x4, 1024, x5) - -inst_146: -// rs1_val == 0x8786858483828180 and rs2_val == 0x8b8a898887868584 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x8786858483828180; op2val:0x8b8a898887868584; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x8786858483828180, 0x8b8a898887868584, x4, 1032, x5) - -inst_147: -// rs1_val == 0x8786858483828180 and rs2_val == 0x8b8a898887868584 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x8786858483828180; op2val:0x8b8a898887868584; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x8786858483828180, 0x8b8a898887868584, x4, 1040, x5) - -inst_148: -// rs1_val == 0x8786858483828180 and rs2_val == 0x8b8a898887868584 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x8786858483828180; op2val:0x8b8a898887868584; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x8786858483828180, 0x8b8a898887868584, x4, 1048, x5) - -inst_149: -// rs1_val == 0x838281807f7e7d7c and rs2_val == 0x7f7e7d7c7b7a7978 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x838281807f7e7d7c; op2val:0x7f7e7d7c7b7a7978; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x838281807f7e7d7c, 0x7f7e7d7c7b7a7978, x4, 1056, x5) - -inst_150: -// rs1_val == 0x838281807f7e7d7c and rs2_val == 0x7f7e7d7c7b7a7978 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x838281807f7e7d7c; op2val:0x7f7e7d7c7b7a7978; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x838281807f7e7d7c, 0x7f7e7d7c7b7a7978, x4, 1064, x5) - -inst_151: -// rs1_val == 0x838281807f7e7d7c and rs2_val == 0x7f7e7d7c7b7a7978 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x838281807f7e7d7c; op2val:0x7f7e7d7c7b7a7978; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x838281807f7e7d7c, 0x7f7e7d7c7b7a7978, x4, 1072, x5) - -inst_152: -// rs1_val == 0x838281807f7e7d7c and rs2_val == 0x7f7e7d7c7b7a7978 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x838281807f7e7d7c; op2val:0x7f7e7d7c7b7a7978; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x838281807f7e7d7c, 0x7f7e7d7c7b7a7978, x4, 1080, x5) - -inst_153: -// rs1_val == 0x7f7e7d7c7b7a7978 and rs2_val == 0x838281807f7e7d7c and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x7f7e7d7c7b7a7978; op2val:0x838281807f7e7d7c; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x7f7e7d7c7b7a7978, 0x838281807f7e7d7c, x4, 1088, x5) - -inst_154: -// rs1_val == 0x7f7e7d7c7b7a7978 and rs2_val == 0x838281807f7e7d7c and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x7f7e7d7c7b7a7978; op2val:0x838281807f7e7d7c; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x7f7e7d7c7b7a7978, 0x838281807f7e7d7c, x4, 1096, x5) - -inst_155: -// rs1_val == 0x7f7e7d7c7b7a7978 and rs2_val == 0x838281807f7e7d7c and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x7f7e7d7c7b7a7978; op2val:0x838281807f7e7d7c; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x7f7e7d7c7b7a7978, 0x838281807f7e7d7c, x4, 1104, x5) - -inst_156: -// rs1_val == 0x7f7e7d7c7b7a7978 and rs2_val == 0x838281807f7e7d7c and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x7f7e7d7c7b7a7978; op2val:0x838281807f7e7d7c; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x7f7e7d7c7b7a7978, 0x838281807f7e7d7c, x4, 1112, x5) - -inst_157: -// rs1_val == 0x7b7a797877767574 and rs2_val == 0x7776757473727170 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x7b7a797877767574; op2val:0x7776757473727170; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x7b7a797877767574, 0x7776757473727170, x4, 1120, x5) - -inst_158: -// rs1_val == 0x7b7a797877767574 and rs2_val == 0x7776757473727170 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x7b7a797877767574; op2val:0x7776757473727170; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x7b7a797877767574, 0x7776757473727170, x4, 1128, x5) - -inst_159: -// rs1_val == 0x7b7a797877767574 and rs2_val == 0x7776757473727170 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x7b7a797877767574; op2val:0x7776757473727170; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x7b7a797877767574, 0x7776757473727170, x4, 1136, x5) - -inst_160: -// rs1_val == 0x7b7a797877767574 and rs2_val == 0x7776757473727170 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x7b7a797877767574; op2val:0x7776757473727170; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x7b7a797877767574, 0x7776757473727170, x4, 1144, x5) - -inst_161: -// rs1_val == 0x7776757473727170 and rs2_val == 0x7b7a797877767574 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x7776757473727170; op2val:0x7b7a797877767574; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x7776757473727170, 0x7b7a797877767574, x4, 1152, x5) - -inst_162: -// rs1_val == 0x7776757473727170 and rs2_val == 0x7b7a797877767574 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x7776757473727170; op2val:0x7b7a797877767574; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x7776757473727170, 0x7b7a797877767574, x4, 1160, x5) - -inst_163: -// rs1_val == 0x7776757473727170 and rs2_val == 0x7b7a797877767574 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x7776757473727170; op2val:0x7b7a797877767574; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x7776757473727170, 0x7b7a797877767574, x4, 1168, x5) - -inst_164: -// rs1_val == 0x7776757473727170 and rs2_val == 0x7b7a797877767574 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x7776757473727170; op2val:0x7b7a797877767574; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x7776757473727170, 0x7b7a797877767574, x4, 1176, x5) - -inst_165: -// rs1_val == 0x737271706f6e6d6c and rs2_val == 0x6f6e6d6c6b6a6968 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x737271706f6e6d6c; op2val:0x6f6e6d6c6b6a6968; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x737271706f6e6d6c, 0x6f6e6d6c6b6a6968, x4, 1184, x5) - -inst_166: -// rs1_val == 0x737271706f6e6d6c and rs2_val == 0x6f6e6d6c6b6a6968 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x737271706f6e6d6c; op2val:0x6f6e6d6c6b6a6968; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x737271706f6e6d6c, 0x6f6e6d6c6b6a6968, x4, 1192, x5) - -inst_167: -// rs1_val == 0x737271706f6e6d6c and rs2_val == 0x6f6e6d6c6b6a6968 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x737271706f6e6d6c; op2val:0x6f6e6d6c6b6a6968; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x737271706f6e6d6c, 0x6f6e6d6c6b6a6968, x4, 1200, x5) - -inst_168: -// rs1_val == 0x737271706f6e6d6c and rs2_val == 0x6f6e6d6c6b6a6968 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x737271706f6e6d6c; op2val:0x6f6e6d6c6b6a6968; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x737271706f6e6d6c, 0x6f6e6d6c6b6a6968, x4, 1208, x5) - -inst_169: -// rs1_val == 0x6f6e6d6c6b6a6968 and rs2_val == 0x737271706f6e6d6c and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x6f6e6d6c6b6a6968; op2val:0x737271706f6e6d6c; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x6f6e6d6c6b6a6968, 0x737271706f6e6d6c, x4, 1216, x5) - -inst_170: -// rs1_val == 0x6f6e6d6c6b6a6968 and rs2_val == 0x737271706f6e6d6c and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x6f6e6d6c6b6a6968; op2val:0x737271706f6e6d6c; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x6f6e6d6c6b6a6968, 0x737271706f6e6d6c, x4, 1224, x5) - -inst_171: -// rs1_val == 0x6f6e6d6c6b6a6968 and rs2_val == 0x737271706f6e6d6c and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x6f6e6d6c6b6a6968; op2val:0x737271706f6e6d6c; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x6f6e6d6c6b6a6968, 0x737271706f6e6d6c, x4, 1232, x5) - -inst_172: -// rs1_val == 0x6f6e6d6c6b6a6968 and rs2_val == 0x737271706f6e6d6c and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x6f6e6d6c6b6a6968; op2val:0x737271706f6e6d6c; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x6f6e6d6c6b6a6968, 0x737271706f6e6d6c, x4, 1240, x5) - -inst_173: -// rs1_val == 0x6b6a696867666564 and rs2_val == 0x6766656463626160 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x6b6a696867666564; op2val:0x6766656463626160; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x6b6a696867666564, 0x6766656463626160, x4, 1248, x5) - -inst_174: -// rs1_val == 0x6b6a696867666564 and rs2_val == 0x6766656463626160 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x6b6a696867666564; op2val:0x6766656463626160; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x6b6a696867666564, 0x6766656463626160, x4, 1256, x5) - -inst_175: -// rs1_val == 0x6b6a696867666564 and rs2_val == 0x6766656463626160 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x6b6a696867666564; op2val:0x6766656463626160; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x6b6a696867666564, 0x6766656463626160, x4, 1264, x5) - -inst_176: -// rs1_val == 0x6b6a696867666564 and rs2_val == 0x6766656463626160 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x6b6a696867666564; op2val:0x6766656463626160; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x6b6a696867666564, 0x6766656463626160, x4, 1272, x5) - -inst_177: -// rs1_val == 0x6766656463626160 and rs2_val == 0x6b6a696867666564 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x6766656463626160; op2val:0x6b6a696867666564; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x6766656463626160, 0x6b6a696867666564, x4, 1280, x5) - -inst_178: -// rs1_val == 0x6766656463626160 and rs2_val == 0x6b6a696867666564 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x6766656463626160; op2val:0x6b6a696867666564; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x6766656463626160, 0x6b6a696867666564, x4, 1288, x5) - -inst_179: -// rs1_val == 0x6766656463626160 and rs2_val == 0x6b6a696867666564 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x6766656463626160; op2val:0x6b6a696867666564; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x6766656463626160, 0x6b6a696867666564, x4, 1296, x5) - -inst_180: -// rs1_val == 0x6766656463626160 and rs2_val == 0x6b6a696867666564 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x6766656463626160; op2val:0x6b6a696867666564; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x6766656463626160, 0x6b6a696867666564, x4, 1304, x5) - -inst_181: -// rs1_val == 0x636261605f5e5d5c and rs2_val == 0x5f5e5d5c5b5a5958 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x636261605f5e5d5c; op2val:0x5f5e5d5c5b5a5958; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x636261605f5e5d5c, 0x5f5e5d5c5b5a5958, x4, 1312, x5) - -inst_182: -// rs1_val == 0x636261605f5e5d5c and rs2_val == 0x5f5e5d5c5b5a5958 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x636261605f5e5d5c; op2val:0x5f5e5d5c5b5a5958; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x636261605f5e5d5c, 0x5f5e5d5c5b5a5958, x4, 1320, x5) - -inst_183: -// rs1_val == 0x636261605f5e5d5c and rs2_val == 0x5f5e5d5c5b5a5958 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x636261605f5e5d5c; op2val:0x5f5e5d5c5b5a5958; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x636261605f5e5d5c, 0x5f5e5d5c5b5a5958, x4, 1328, x5) - -inst_184: -// rs1_val == 0x636261605f5e5d5c and rs2_val == 0x5f5e5d5c5b5a5958 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x636261605f5e5d5c; op2val:0x5f5e5d5c5b5a5958; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x636261605f5e5d5c, 0x5f5e5d5c5b5a5958, x4, 1336, x5) - -inst_185: -// rs1_val == 0x5f5e5d5c5b5a5958 and rs2_val == 0x636261605f5e5d5c and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x5f5e5d5c5b5a5958; op2val:0x636261605f5e5d5c; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x5f5e5d5c5b5a5958, 0x636261605f5e5d5c, x4, 1344, x5) - -inst_186: -// rs1_val == 0x5f5e5d5c5b5a5958 and rs2_val == 0x636261605f5e5d5c and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x5f5e5d5c5b5a5958; op2val:0x636261605f5e5d5c; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x5f5e5d5c5b5a5958, 0x636261605f5e5d5c, x4, 1352, x5) - -inst_187: -// rs1_val == 0x5f5e5d5c5b5a5958 and rs2_val == 0x636261605f5e5d5c and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x5f5e5d5c5b5a5958; op2val:0x636261605f5e5d5c; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x5f5e5d5c5b5a5958, 0x636261605f5e5d5c, x4, 1360, x5) - -inst_188: -// rs1_val == 0x5f5e5d5c5b5a5958 and rs2_val == 0x636261605f5e5d5c and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x5f5e5d5c5b5a5958; op2val:0x636261605f5e5d5c; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x5f5e5d5c5b5a5958, 0x636261605f5e5d5c, x4, 1368, x5) - -inst_189: -// rs1_val == 0x5b5a595857565554 and rs2_val == 0x5756555453525150 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x5b5a595857565554; op2val:0x5756555453525150; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x5b5a595857565554, 0x5756555453525150, x4, 1376, x5) - -inst_190: -// rs1_val == 0x5b5a595857565554 and rs2_val == 0x5756555453525150 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x5b5a595857565554; op2val:0x5756555453525150; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x5b5a595857565554, 0x5756555453525150, x4, 1384, x5) - -inst_191: -// rs1_val == 0x5b5a595857565554 and rs2_val == 0x5756555453525150 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x5b5a595857565554; op2val:0x5756555453525150; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x5b5a595857565554, 0x5756555453525150, x4, 1392, x5) - -inst_192: -// rs1_val == 0x5b5a595857565554 and rs2_val == 0x5756555453525150 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x5b5a595857565554; op2val:0x5756555453525150; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x5b5a595857565554, 0x5756555453525150, x4, 1400, x5) - -inst_193: -// rs1_val == 0x5756555453525150 and rs2_val == 0x5b5a595857565554 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x5756555453525150; op2val:0x5b5a595857565554; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x5756555453525150, 0x5b5a595857565554, x4, 1408, x5) - -inst_194: -// rs1_val == 0x5756555453525150 and rs2_val == 0x5b5a595857565554 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x5756555453525150; op2val:0x5b5a595857565554; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x5756555453525150, 0x5b5a595857565554, x4, 1416, x5) - -inst_195: -// rs1_val == 0x5756555453525150 and rs2_val == 0x5b5a595857565554 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x5756555453525150; op2val:0x5b5a595857565554; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x5756555453525150, 0x5b5a595857565554, x4, 1424, x5) - -inst_196: -// rs1_val == 0x5756555453525150 and rs2_val == 0x5b5a595857565554 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x5756555453525150; op2val:0x5b5a595857565554; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x5756555453525150, 0x5b5a595857565554, x4, 1432, x5) - -inst_197: -// rs1_val == 0x535251504f4e4d4c and rs2_val == 0x4f4e4d4c4b4a4948 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x535251504f4e4d4c; op2val:0x4f4e4d4c4b4a4948; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x535251504f4e4d4c, 0x4f4e4d4c4b4a4948, x4, 1440, x5) - -inst_198: -// rs1_val == 0x535251504f4e4d4c and rs2_val == 0x4f4e4d4c4b4a4948 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x535251504f4e4d4c; op2val:0x4f4e4d4c4b4a4948; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x535251504f4e4d4c, 0x4f4e4d4c4b4a4948, x4, 1448, x5) - -inst_199: -// rs1_val == 0x535251504f4e4d4c and rs2_val == 0x4f4e4d4c4b4a4948 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x535251504f4e4d4c; op2val:0x4f4e4d4c4b4a4948; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x535251504f4e4d4c, 0x4f4e4d4c4b4a4948, x4, 1456, x5) - -inst_200: -// rs1_val == 0x535251504f4e4d4c and rs2_val == 0x4f4e4d4c4b4a4948 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x535251504f4e4d4c; op2val:0x4f4e4d4c4b4a4948; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x535251504f4e4d4c, 0x4f4e4d4c4b4a4948, x4, 1464, x5) - -inst_201: -// rs1_val == 0x4f4e4d4c4b4a4948 and rs2_val == 0x535251504f4e4d4c and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x4f4e4d4c4b4a4948; op2val:0x535251504f4e4d4c; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x4f4e4d4c4b4a4948, 0x535251504f4e4d4c, x4, 1472, x5) - -inst_202: -// rs1_val == 0x4f4e4d4c4b4a4948 and rs2_val == 0x535251504f4e4d4c and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x4f4e4d4c4b4a4948; op2val:0x535251504f4e4d4c; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x4f4e4d4c4b4a4948, 0x535251504f4e4d4c, x4, 1480, x5) - -inst_203: -// rs1_val == 0x4f4e4d4c4b4a4948 and rs2_val == 0x535251504f4e4d4c and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x4f4e4d4c4b4a4948; op2val:0x535251504f4e4d4c; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x4f4e4d4c4b4a4948, 0x535251504f4e4d4c, x4, 1488, x5) - -inst_204: -// rs1_val == 0x4f4e4d4c4b4a4948 and rs2_val == 0x535251504f4e4d4c and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x4f4e4d4c4b4a4948; op2val:0x535251504f4e4d4c; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x4f4e4d4c4b4a4948, 0x535251504f4e4d4c, x4, 1496, x5) - -inst_205: -// rs1_val == 0x4b4a494847464544 and rs2_val == 0x4746454443424140 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x4b4a494847464544; op2val:0x4746454443424140; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x4b4a494847464544, 0x4746454443424140, x4, 1504, x5) - -inst_206: -// rs1_val == 0x4b4a494847464544 and rs2_val == 0x4746454443424140 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x4b4a494847464544; op2val:0x4746454443424140; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x4b4a494847464544, 0x4746454443424140, x4, 1512, x5) - -inst_207: -// rs1_val == 0x4b4a494847464544 and rs2_val == 0x4746454443424140 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x4b4a494847464544; op2val:0x4746454443424140; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x4b4a494847464544, 0x4746454443424140, x4, 1520, x5) - -inst_208: -// rs1_val == 0x4b4a494847464544 and rs2_val == 0x4746454443424140 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x4b4a494847464544; op2val:0x4746454443424140; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x4b4a494847464544, 0x4746454443424140, x4, 1528, x5) - -inst_209: -// rs1_val == 0x4746454443424140 and rs2_val == 0x4b4a494847464544 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x4746454443424140; op2val:0x4b4a494847464544; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x4746454443424140, 0x4b4a494847464544, x4, 1536, x5) - -inst_210: -// rs1_val == 0x4746454443424140 and rs2_val == 0x4b4a494847464544 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x4746454443424140; op2val:0x4b4a494847464544; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x4746454443424140, 0x4b4a494847464544, x4, 1544, x5) - -inst_211: -// rs1_val == 0x4746454443424140 and rs2_val == 0x4b4a494847464544 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x4746454443424140; op2val:0x4b4a494847464544; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x4746454443424140, 0x4b4a494847464544, x4, 1552, x5) - -inst_212: -// rs1_val == 0x4746454443424140 and rs2_val == 0x4b4a494847464544 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x4746454443424140; op2val:0x4b4a494847464544; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x4746454443424140, 0x4b4a494847464544, x4, 1560, x5) - -inst_213: -// rs1_val == 0x434241403f3e3d3c and rs2_val == 0x3f3e3d3c3b3a3938 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x434241403f3e3d3c; op2val:0x3f3e3d3c3b3a3938; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x434241403f3e3d3c, 0x3f3e3d3c3b3a3938, x4, 1568, x5) - -inst_214: -// rs1_val == 0x434241403f3e3d3c and rs2_val == 0x3f3e3d3c3b3a3938 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x434241403f3e3d3c; op2val:0x3f3e3d3c3b3a3938; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x434241403f3e3d3c, 0x3f3e3d3c3b3a3938, x4, 1576, x5) - -inst_215: -// rs1_val == 0x434241403f3e3d3c and rs2_val == 0x3f3e3d3c3b3a3938 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x434241403f3e3d3c; op2val:0x3f3e3d3c3b3a3938; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x434241403f3e3d3c, 0x3f3e3d3c3b3a3938, x4, 1584, x5) - -inst_216: -// rs1_val == 0x434241403f3e3d3c and rs2_val == 0x3f3e3d3c3b3a3938 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x434241403f3e3d3c; op2val:0x3f3e3d3c3b3a3938; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x434241403f3e3d3c, 0x3f3e3d3c3b3a3938, x4, 1592, x5) - -inst_217: -// rs1_val == 0x3f3e3d3c3b3a3938 and rs2_val == 0x434241403f3e3d3c and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x3f3e3d3c3b3a3938; op2val:0x434241403f3e3d3c; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x3f3e3d3c3b3a3938, 0x434241403f3e3d3c, x4, 1600, x5) - -inst_218: -// rs1_val == 0x3f3e3d3c3b3a3938 and rs2_val == 0x434241403f3e3d3c and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x3f3e3d3c3b3a3938; op2val:0x434241403f3e3d3c; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x3f3e3d3c3b3a3938, 0x434241403f3e3d3c, x4, 1608, x5) - -inst_219: -// rs1_val == 0x3f3e3d3c3b3a3938 and rs2_val == 0x434241403f3e3d3c and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x3f3e3d3c3b3a3938; op2val:0x434241403f3e3d3c; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x3f3e3d3c3b3a3938, 0x434241403f3e3d3c, x4, 1616, x5) - -inst_220: -// rs1_val == 0x3f3e3d3c3b3a3938 and rs2_val == 0x434241403f3e3d3c and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x3f3e3d3c3b3a3938; op2val:0x434241403f3e3d3c; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x3f3e3d3c3b3a3938, 0x434241403f3e3d3c, x4, 1624, x5) - -inst_221: -// rs1_val == 0x3b3a393837363534 and rs2_val == 0x3736353433323130 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x3b3a393837363534; op2val:0x3736353433323130; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x3b3a393837363534, 0x3736353433323130, x4, 1632, x5) - -inst_222: -// rs1_val == 0x3b3a393837363534 and rs2_val == 0x3736353433323130 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x3b3a393837363534; op2val:0x3736353433323130; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x3b3a393837363534, 0x3736353433323130, x4, 1640, x5) - -inst_223: -// rs1_val == 0x3b3a393837363534 and rs2_val == 0x3736353433323130 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x3b3a393837363534; op2val:0x3736353433323130; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x3b3a393837363534, 0x3736353433323130, x4, 1648, x5) - -inst_224: -// rs1_val == 0x3b3a393837363534 and rs2_val == 0x3736353433323130 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x3b3a393837363534; op2val:0x3736353433323130; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x3b3a393837363534, 0x3736353433323130, x4, 1656, x5) - -inst_225: -// rs1_val == 0x3736353433323130 and rs2_val == 0x3b3a393837363534 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x3736353433323130; op2val:0x3b3a393837363534; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x3736353433323130, 0x3b3a393837363534, x4, 1664, x5) - -inst_226: -// rs1_val == 0x3736353433323130 and rs2_val == 0x3b3a393837363534 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x3736353433323130; op2val:0x3b3a393837363534; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x3736353433323130, 0x3b3a393837363534, x4, 1672, x5) - -inst_227: -// rs1_val == 0x3736353433323130 and rs2_val == 0x3b3a393837363534 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x3736353433323130; op2val:0x3b3a393837363534; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x3736353433323130, 0x3b3a393837363534, x4, 1680, x5) - -inst_228: -// rs1_val == 0x3736353433323130 and rs2_val == 0x3b3a393837363534 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x3736353433323130; op2val:0x3b3a393837363534; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x3736353433323130, 0x3b3a393837363534, x4, 1688, x5) - -inst_229: -// rs1_val == 0x333231302f2e2d2c and rs2_val == 0x2f2e2d2c2b2a2928 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x333231302f2e2d2c; op2val:0x2f2e2d2c2b2a2928; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x333231302f2e2d2c, 0x2f2e2d2c2b2a2928, x4, 1696, x5) - -inst_230: -// rs1_val == 0x333231302f2e2d2c and rs2_val == 0x2f2e2d2c2b2a2928 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x333231302f2e2d2c; op2val:0x2f2e2d2c2b2a2928; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x333231302f2e2d2c, 0x2f2e2d2c2b2a2928, x4, 1704, x5) - -inst_231: -// rs1_val == 0x333231302f2e2d2c and rs2_val == 0x2f2e2d2c2b2a2928 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x333231302f2e2d2c; op2val:0x2f2e2d2c2b2a2928; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x333231302f2e2d2c, 0x2f2e2d2c2b2a2928, x4, 1712, x5) - -inst_232: -// rs1_val == 0x333231302f2e2d2c and rs2_val == 0x2f2e2d2c2b2a2928 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x333231302f2e2d2c; op2val:0x2f2e2d2c2b2a2928; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x333231302f2e2d2c, 0x2f2e2d2c2b2a2928, x4, 1720, x5) - -inst_233: -// rs1_val == 0x2f2e2d2c2b2a2928 and rs2_val == 0x333231302f2e2d2c and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x2f2e2d2c2b2a2928; op2val:0x333231302f2e2d2c; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x2f2e2d2c2b2a2928, 0x333231302f2e2d2c, x4, 1728, x5) - -inst_234: -// rs1_val == 0x2f2e2d2c2b2a2928 and rs2_val == 0x333231302f2e2d2c and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x2f2e2d2c2b2a2928; op2val:0x333231302f2e2d2c; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x2f2e2d2c2b2a2928, 0x333231302f2e2d2c, x4, 1736, x5) - -inst_235: -// rs1_val == 0x2f2e2d2c2b2a2928 and rs2_val == 0x333231302f2e2d2c and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x2f2e2d2c2b2a2928; op2val:0x333231302f2e2d2c; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x2f2e2d2c2b2a2928, 0x333231302f2e2d2c, x4, 1744, x5) - -inst_236: -// rs1_val == 0x2f2e2d2c2b2a2928 and rs2_val == 0x333231302f2e2d2c and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x2f2e2d2c2b2a2928; op2val:0x333231302f2e2d2c; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x2f2e2d2c2b2a2928, 0x333231302f2e2d2c, x4, 1752, x5) - -inst_237: -// rs1_val == 0x2b2a292827262524 and rs2_val == 0x2726252423222120 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x2b2a292827262524; op2val:0x2726252423222120; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x2b2a292827262524, 0x2726252423222120, x4, 1760, x5) - -inst_238: -// rs1_val == 0x2b2a292827262524 and rs2_val == 0x2726252423222120 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x2b2a292827262524; op2val:0x2726252423222120; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x2b2a292827262524, 0x2726252423222120, x4, 1768, x5) - -inst_239: -// rs1_val == 0x2b2a292827262524 and rs2_val == 0x2726252423222120 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x2b2a292827262524; op2val:0x2726252423222120; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x2b2a292827262524, 0x2726252423222120, x4, 1776, x5) - -inst_240: -// rs1_val == 0x2b2a292827262524 and rs2_val == 0x2726252423222120 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x2b2a292827262524; op2val:0x2726252423222120; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x2b2a292827262524, 0x2726252423222120, x4, 1784, x5) - -inst_241: -// rs1_val == 0x2726252423222120 and rs2_val == 0x2b2a292827262524 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x2726252423222120; op2val:0x2b2a292827262524; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x2726252423222120, 0x2b2a292827262524, x4, 1792, x5) - -inst_242: -// rs1_val == 0x2726252423222120 and rs2_val == 0x2b2a292827262524 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x2726252423222120; op2val:0x2b2a292827262524; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x2726252423222120, 0x2b2a292827262524, x4, 1800, x5) - -inst_243: -// rs1_val == 0x2726252423222120 and rs2_val == 0x2b2a292827262524 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x2726252423222120; op2val:0x2b2a292827262524; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x2726252423222120, 0x2b2a292827262524, x4, 1808, x5) - -inst_244: -// rs1_val == 0x2726252423222120 and rs2_val == 0x2b2a292827262524 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x2726252423222120; op2val:0x2b2a292827262524; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x2726252423222120, 0x2b2a292827262524, x4, 1816, x5) - -inst_245: -// rs1_val == 0x232221201f1e1d1c and rs2_val == 0x1f1e1d1c1b1a1918 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x232221201f1e1d1c; op2val:0x1f1e1d1c1b1a1918; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x232221201f1e1d1c, 0x1f1e1d1c1b1a1918, x4, 1824, x5) - -inst_246: -// rs1_val == 0x232221201f1e1d1c and rs2_val == 0x1f1e1d1c1b1a1918 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x232221201f1e1d1c; op2val:0x1f1e1d1c1b1a1918; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x232221201f1e1d1c, 0x1f1e1d1c1b1a1918, x4, 1832, x5) - -inst_247: -// rs1_val == 0x232221201f1e1d1c and rs2_val == 0x1f1e1d1c1b1a1918 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x232221201f1e1d1c; op2val:0x1f1e1d1c1b1a1918; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x232221201f1e1d1c, 0x1f1e1d1c1b1a1918, x4, 1840, x5) - -inst_248: -// rs1_val == 0x232221201f1e1d1c and rs2_val == 0x1f1e1d1c1b1a1918 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x232221201f1e1d1c; op2val:0x1f1e1d1c1b1a1918; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x232221201f1e1d1c, 0x1f1e1d1c1b1a1918, x4, 1848, x5) - -inst_249: -// rs1_val == 0x1f1e1d1c1b1a1918 and rs2_val == 0x232221201f1e1d1c and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x1f1e1d1c1b1a1918; op2val:0x232221201f1e1d1c; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x1f1e1d1c1b1a1918, 0x232221201f1e1d1c, x4, 1856, x5) - -inst_250: -// rs1_val == 0x1f1e1d1c1b1a1918 and rs2_val == 0x232221201f1e1d1c and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x1f1e1d1c1b1a1918; op2val:0x232221201f1e1d1c; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x1f1e1d1c1b1a1918, 0x232221201f1e1d1c, x4, 1864, x5) - -inst_251: -// rs1_val == 0x1f1e1d1c1b1a1918 and rs2_val == 0x232221201f1e1d1c and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x1f1e1d1c1b1a1918; op2val:0x232221201f1e1d1c; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x1f1e1d1c1b1a1918, 0x232221201f1e1d1c, x4, 1872, x5) - -inst_252: -// rs1_val == 0x1f1e1d1c1b1a1918 and rs2_val == 0x232221201f1e1d1c and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x1f1e1d1c1b1a1918; op2val:0x232221201f1e1d1c; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x1f1e1d1c1b1a1918, 0x232221201f1e1d1c, x4, 1880, x5) - -inst_253: -// rs1_val == 0x1b1a191817161514 and rs2_val == 0x1716151413121110 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x1b1a191817161514; op2val:0x1716151413121110; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x1b1a191817161514, 0x1716151413121110, x4, 1888, x5) - -inst_254: -// rs1_val == 0x1b1a191817161514 and rs2_val == 0x1716151413121110 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x1b1a191817161514; op2val:0x1716151413121110; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x1b1a191817161514, 0x1716151413121110, x4, 1896, x5) - -inst_255: -// rs1_val == 0x1b1a191817161514 and rs2_val == 0x1716151413121110 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x1b1a191817161514; op2val:0x1716151413121110; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x1b1a191817161514, 0x1716151413121110, x4, 1904, x5) - -inst_256: -// rs1_val == 0x1b1a191817161514 and rs2_val == 0x1716151413121110 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x1b1a191817161514; op2val:0x1716151413121110; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x1b1a191817161514, 0x1716151413121110, x4, 1912, x5) - -inst_257: -// rs1_val == 0x1716151413121110 and rs2_val == 0x1b1a191817161514 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x1716151413121110; op2val:0x1b1a191817161514; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x1716151413121110, 0x1b1a191817161514, x4, 1920, x5) - -inst_258: -// rs1_val == 0x1716151413121110 and rs2_val == 0x1b1a191817161514 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x1716151413121110; op2val:0x1b1a191817161514; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x1716151413121110, 0x1b1a191817161514, x4, 1928, x5) - -inst_259: -// rs1_val == 0x1716151413121110 and rs2_val == 0x1b1a191817161514 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x1716151413121110; op2val:0x1b1a191817161514; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x1716151413121110, 0x1b1a191817161514, x4, 1936, x5) - -inst_260: -// rs1_val == 0x1716151413121110 and rs2_val == 0x1b1a191817161514 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x1716151413121110; op2val:0x1b1a191817161514; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x1716151413121110, 0x1b1a191817161514, x4, 1944, x5) - -inst_261: -// rs1_val == 0x131211100f0e0d0c and rs2_val == 0x0f0e0d0c0b0a0908 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x131211100f0e0d0c; op2val:0xf0e0d0c0b0a0908; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x131211100f0e0d0c, 0xf0e0d0c0b0a0908, x4, 1952, x5) - -inst_262: -// rs1_val == 0x131211100f0e0d0c and rs2_val == 0x0f0e0d0c0b0a0908 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x131211100f0e0d0c; op2val:0xf0e0d0c0b0a0908; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x131211100f0e0d0c, 0xf0e0d0c0b0a0908, x4, 1960, x5) - -inst_263: -// rs1_val == 0x131211100f0e0d0c and rs2_val == 0x0f0e0d0c0b0a0908 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x131211100f0e0d0c; op2val:0xf0e0d0c0b0a0908; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x131211100f0e0d0c, 0xf0e0d0c0b0a0908, x4, 1968, x5) - -inst_264: -// rs1_val == 0x131211100f0e0d0c and rs2_val == 0x0f0e0d0c0b0a0908 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x131211100f0e0d0c; op2val:0xf0e0d0c0b0a0908; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x131211100f0e0d0c, 0xf0e0d0c0b0a0908, x4, 1976, x5) - -inst_265: -// rs1_val == 0x0f0e0d0c0b0a0908 and rs2_val == 0x131211100f0e0d0c and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xf0e0d0c0b0a0908; op2val:0x131211100f0e0d0c; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xf0e0d0c0b0a0908, 0x131211100f0e0d0c, x4, 1984, x5) - -inst_266: -// rs1_val == 0x0f0e0d0c0b0a0908 and rs2_val == 0x131211100f0e0d0c and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xf0e0d0c0b0a0908; op2val:0x131211100f0e0d0c; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xf0e0d0c0b0a0908, 0x131211100f0e0d0c, x4, 1992, x5) - -inst_267: -// rs1_val == 0x0f0e0d0c0b0a0908 and rs2_val == 0x131211100f0e0d0c and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xf0e0d0c0b0a0908; op2val:0x131211100f0e0d0c; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xf0e0d0c0b0a0908, 0x131211100f0e0d0c, x4, 2000, x5) - -inst_268: -// rs1_val == 0x0f0e0d0c0b0a0908 and rs2_val == 0x131211100f0e0d0c and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xf0e0d0c0b0a0908; op2val:0x131211100f0e0d0c; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xf0e0d0c0b0a0908, 0x131211100f0e0d0c, x4, 2008, x5) - -inst_269: -// rs1_val == 0x0b0a090807060504 and rs2_val == 0x0706050403020100 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xb0a090807060504; op2val:0x706050403020100; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0xb0a090807060504, 0x706050403020100, x4, 2016, x5) - -inst_270: -// rs1_val == 0x0b0a090807060504 and rs2_val == 0x0706050403020100 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xb0a090807060504; op2val:0x706050403020100; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xb0a090807060504, 0x706050403020100, x4, 2024, x5) - -inst_271: -// rs1_val == 0x0b0a090807060504 and rs2_val == 0x0706050403020100 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xb0a090807060504; op2val:0x706050403020100; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0xb0a090807060504, 0x706050403020100, x4, 2032, x5) - -inst_272: -// rs1_val == 0x0b0a090807060504 and rs2_val == 0x0706050403020100 and imm_val == 0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xb0a090807060504; op2val:0x706050403020100; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0xb0a090807060504, 0x706050403020100, x4, 2040, x5) -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_273: -// rs1_val == 0x0706050403020100 and rs2_val == 0x0b0a090807060504 and imm_val == 3 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x706050403020100; op2val:0xb0a090807060504; immval:0x3 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x3, 0x0000000000000000, 0x706050403020100, 0xb0a090807060504, x4, 0, x5) - -inst_274: -// rs1_val == 0x0706050403020100 and rs2_val == 0x0b0a090807060504 and imm_val == 2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x706050403020100; op2val:0xb0a090807060504; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0x706050403020100, 0xb0a090807060504, x4, 8, x5) - -inst_275: -// rs1_val == 0x0706050403020100 and rs2_val == 0x0b0a090807060504 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x706050403020100; op2val:0xb0a090807060504; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x706050403020100, 0xb0a090807060504, x4, 16, x5) - -inst_276: -// rs1_val == 0x156fe482f9fcd292 and rs2_val == 0x24c6435c8dca0621 and imm_val == 0x0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x156fe482f9fcd292; op2val:0x24c6435c8dca0621; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x156fe482f9fcd292, 0x24c6435c8dca0621, x4, 24, x5) - -inst_277: -// rs1_val == 0xa757e91e027e5943 and rs2_val == 0xda73ec2605e6750f and imm_val == 0x2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xa757e91e027e5943; op2val:0xda73ec2605e6750f; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xa757e91e027e5943, 0xda73ec2605e6750f, x4, 32, x5) - -inst_278: -// rs1_val == 0xa6c9253a4cc6382e and rs2_val == 0x25ae27ee4113ee60 and imm_val == 0x2 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0xa6c9253a4cc6382e; op2val:0x25ae27ee4113ee60; immval:0x2 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x2, 0x0000000000000000, 0xa6c9253a4cc6382e, 0x25ae27ee4113ee60, x4, 40, x5) - -inst_279: -// rs1_val == 0x59885afcbb61a9cd and rs2_val == 0xccce240c81c1e7ff and imm_val == 0x0 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x59885afcbb61a9cd; op2val:0xccce240c81c1e7ff; immval:0x0 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x0, 0x0000000000000000, 0x59885afcbb61a9cd, 0xccce240c81c1e7ff, x4, 48, x5) - -inst_280: -// rs1_val == 0x03020100fffefdfc and rs2_val == 0xfffefdfcfbfaf9f8 and imm_val == 1 -// opcode: sm4ks; rd: x12; op1:x10; op2:x11; op1val:0x3020100fffefdfc; op2val:0xfffefdfcfbfaf9f8; immval:0x1 -TEST_RI_OP(sm4ks, x12, x10, x11, 0x1, 0x0000000000000000, 0x3020100fffefdfc, 0xfffefdfcfbfaf9f8, x4, 56, x5) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 17*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 8*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-rwp1.S deleted file mode 100644 index 05b2330ac..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-rwp1.S +++ /dev/null @@ -1,418 +0,0 @@ - -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64IK") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*K.*);def TEST_CASE_1=True;",sm4ks) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKs.*);def TEST_CASE_1=True;",sm4ks) - -RVTEST_SIGBASE( x31,signature_x31_1) - -inst_0: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x1; op1:x2; op1:x3; op1:x4; dest:x5; -li x1, 0x08577eb1924770d3; -li x2, 0x93fdcab87b89296c; -li x3, 0xd2d6b8777dc59a3a; -li x4, 0xcf84b683a749f9c5; -li x5, 0x854a965708ceac39; -sm4ks x5, x5, x1, 0; -sm4ks x5, x5, x2, 1; -sm4ks x5, x5, x3, 2; -sm4ks x5, x5, x4, 3; -RVTEST_SIGUPD(x31,x5,0); - -inst_1: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x2; op1:x3; op1:x4; op1:x5; dest:x6; -li x2, 0x137a977753e8eb43; -li x3, 0x5c74e45eff1e5bef; -li x4, 0xdc3383836b9f15c4; -li x5, 0x5ae6a2289a6ab329; -li x6, 0x432779eeacca7f0d; -sm4ks x6, x6, x2, 0; -sm4ks x6, x6, x3, 1; -sm4ks x6, x6, x4, 2; -sm4ks x6, x6, x5, 3; -RVTEST_SIGUPD(x31,x6,8); - -inst_2: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x3; op1:x4; op1:x5; op1:x6; dest:x7; -li x3, 0xaf949e5e2cb7362c; -li x4, 0x5cd2875ea96ec2b3; -li x5, 0x9d02fc90708cc1b6; -li x6, 0x953b00b00b54aa22; -li x7, 0x224c06013c53d0e3; -sm4ks x7, x7, x3, 0; -sm4ks x7, x7, x4, 1; -sm4ks x7, x7, x5, 2; -sm4ks x7, x7, x6, 3; -RVTEST_SIGUPD(x31,x7,16); - -inst_3: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x4; op1:x5; op1:x6; op1:x7; dest:x8; -li x4, 0xe8dac663f0e58650; -li x5, 0x3d7c95f9e5f0307e; -li x6, 0x8c8a18b2aaac3142; -li x7, 0x785036de6f9fb997; -li x8, 0x95a4d257a7298c66; -sm4ks x8, x8, x4, 0; -sm4ks x8, x8, x5, 1; -sm4ks x8, x8, x6, 2; -sm4ks x8, x8, x7, 3; -RVTEST_SIGUPD(x31,x8,24); - -inst_4: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x5; op1:x6; op1:x7; op1:x8; dest:x9; -li x5, 0x807da245d814d575; -li x6, 0x3d06143769b1dcbf; -li x7, 0x7f21682208208d09; -li x8, 0x14b91c79dae98554; -li x9, 0xc5ec6148c6880007; -sm4ks x9, x9, x5, 0; -sm4ks x9, x9, x6, 1; -sm4ks x9, x9, x7, 2; -sm4ks x9, x9, x8, 3; -RVTEST_SIGUPD(x31,x9,32); - -inst_5: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x6; op1:x7; op1:x8; op1:x9; dest:x10; -li x6, 0x7213516d6a013380; -li x7, 0x4652f62dae4839a1; -li x8, 0x85986adb9e044706; -li x9, 0x1e9667c2dd68f201; -li x10, 0x4d753ac174ab0a38; -sm4ks x10, x10, x6, 0; -sm4ks x10, x10, x7, 1; -sm4ks x10, x10, x8, 2; -sm4ks x10, x10, x9, 3; -RVTEST_SIGUPD(x31,x10,40); - -inst_6: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x7; op1:x8; op1:x9; op1:x10; dest:x11; -li x7, 0x53fbff6c58fa6e1c; -li x8, 0x0b2e2669b66b3284; -li x9, 0x51c5b8b2e59cf78f; -li x10, 0x43032e3e1475f78d; -li x11, 0x9535971c67a07b54; -sm4ks x11, x11, x7, 0; -sm4ks x11, x11, x8, 1; -sm4ks x11, x11, x9, 2; -sm4ks x11, x11, x10, 3; -RVTEST_SIGUPD(x31,x11,48); - -inst_7: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x8; op1:x9; op1:x10; op1:x11; dest:x12; -li x8, 0xe918be9ffe057dc5; -li x9, 0x1011eeb47ff822ed; -li x10, 0xac9e90327d486895; -li x11, 0x66b072b9e5e290be; -li x12, 0x89e6156b59672bd6; -sm4ks x12, x12, x8, 0; -sm4ks x12, x12, x9, 1; -sm4ks x12, x12, x10, 2; -sm4ks x12, x12, x11, 3; -RVTEST_SIGUPD(x31,x12,56); - -inst_8: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x9; op1:x10; op1:x11; op1:x12; dest:x13; -li x9, 0xb3a63fa37d69ceef; -li x10, 0x70fc1afc8f0846a2; -li x11, 0xf956ec0bf7fb4b49; -li x12, 0x0cf25923109ff475; -li x13, 0x26ea42ec17be082f; -sm4ks x13, x13, x9, 0; -sm4ks x13, x13, x10, 1; -sm4ks x13, x13, x11, 2; -sm4ks x13, x13, x12, 3; -RVTEST_SIGUPD(x31,x13,64); - -inst_9: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x10; op1:x11; op1:x12; op1:x13; dest:x14; -li x10, 0xccadb61ffe20ce1c; -li x11, 0x72745307ec325eec; -li x12, 0xb2a7a18ac9ea3210; -li x13, 0xbd59213e27541f0b; -li x14, 0x9e7e1fc352b42eff; -sm4ks x14, x14, x10, 0; -sm4ks x14, x14, x11, 1; -sm4ks x14, x14, x12, 2; -sm4ks x14, x14, x13, 3; -RVTEST_SIGUPD(x31,x14,72); - -inst_10: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x11; op1:x12; op1:x13; op1:x14; dest:x15; -li x11, 0xa0e0bd86d4551408; -li x12, 0xcebe24d906600792; -li x13, 0x4bd90a77f65cf3f3; -li x14, 0xdbdd4dd9d9e93409; -li x15, 0xf65e7737fbd2570d; -sm4ks x15, x15, x11, 0; -sm4ks x15, x15, x12, 1; -sm4ks x15, x15, x13, 2; -sm4ks x15, x15, x14, 3; -RVTEST_SIGUPD(x31,x15,80); - -inst_11: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x12; op1:x13; op1:x14; op1:x15; dest:x16; -li x12, 0xd1142724fb37bec8; -li x13, 0xab8534c129a2575f; -li x14, 0xfc6113a3312529dc; -li x15, 0x6d3f408b31d4ff08; -li x16, 0x8e368ce0be5265f3; -sm4ks x16, x16, x12, 0; -sm4ks x16, x16, x13, 1; -sm4ks x16, x16, x14, 2; -sm4ks x16, x16, x15, 3; -RVTEST_SIGUPD(x31,x16,88); - -inst_12: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x13; op1:x14; op1:x15; op1:x16; dest:x17; -li x13, 0x98f7c41c44115b04; -li x14, 0x01fbbe93a08b84f3; -li x15, 0x9c1a15286c834752; -li x16, 0xa6faba7bcfe6bc54; -li x17, 0xc5b3e01b11f6d600; -sm4ks x17, x17, x13, 0; -sm4ks x17, x17, x14, 1; -sm4ks x17, x17, x15, 2; -sm4ks x17, x17, x16, 3; -RVTEST_SIGUPD(x31,x17,96); - -inst_13: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x14; op1:x15; op1:x16; op1:x17; dest:x18; -li x14, 0x5916d2810e24d9cc; -li x15, 0x74f5add55c37849a; -li x16, 0x4850e927bfdbb3be; -li x17, 0x67913217437cbc41; -li x18, 0x576e3d4fa3b633ab; -sm4ks x18, x18, x14, 0; -sm4ks x18, x18, x15, 1; -sm4ks x18, x18, x16, 2; -sm4ks x18, x18, x17, 3; -RVTEST_SIGUPD(x31,x18,104); - -inst_14: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x15; op1:x16; op1:x17; op1:x18; dest:x19; -li x15, 0x46ed745fb9fef1d6; -li x16, 0x9651ee657a1d33ca; -li x17, 0xfe0a9c6c9a592829; -li x18, 0x9071f3aab87ce2a5; -li x19, 0x4e6168c8d6220b4f; -sm4ks x19, x19, x15, 0; -sm4ks x19, x19, x16, 1; -sm4ks x19, x19, x17, 2; -sm4ks x19, x19, x18, 3; -RVTEST_SIGUPD(x31,x19,112); - -inst_15: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x16; op1:x17; op1:x18; op1:x19; dest:x20; -li x16, 0x9c3d087c65835bdf; -li x17, 0x9b11d383484641f5; -li x18, 0x2b24ced43da82c98; -li x19, 0xef8c60c0d12f8d4e; -li x20, 0xf37e27a312ea67e4; -sm4ks x20, x20, x16, 0; -sm4ks x20, x20, x17, 1; -sm4ks x20, x20, x18, 2; -sm4ks x20, x20, x19, 3; -RVTEST_SIGUPD(x31,x20,120); - -inst_16: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x17; op1:x18; op1:x19; op1:x20; dest:x21; -li x17, 0x041bbaab97eb7c0f; -li x18, 0x93017690e356529c; -li x19, 0xd5e9d561f46a41bd; -li x20, 0x9408ad7db13971c0; -li x21, 0x90af95fe095b6425; -sm4ks x21, x21, x17, 0; -sm4ks x21, x21, x18, 1; -sm4ks x21, x21, x19, 2; -sm4ks x21, x21, x20, 3; -RVTEST_SIGUPD(x31,x21,128); - -inst_17: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x18; op1:x19; op1:x20; op1:x21; dest:x22; -li x18, 0xef677106dc17ae6e; -li x19, 0xc5d09b942be2d8c9; -li x20, 0x596f5f89ce334459; -li x21, 0x5902a9dbe1832ab6; -li x22, 0xb4dc7dee71dabeac; -sm4ks x22, x22, x18, 0; -sm4ks x22, x22, x19, 1; -sm4ks x22, x22, x20, 2; -sm4ks x22, x22, x21, 3; -RVTEST_SIGUPD(x31,x22,136); - -inst_18: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x19; op1:x20; op1:x21; op1:x22; dest:x23; -li x19, 0x755961a98347ddf5; -li x20, 0x18d71be431c00570; -li x21, 0x270e1922a422af66; -li x22, 0xdc336338eea4dd03; -li x23, 0xa2460e15a3da90b2; -sm4ks x23, x23, x19, 0; -sm4ks x23, x23, x20, 1; -sm4ks x23, x23, x21, 2; -sm4ks x23, x23, x22, 3; -RVTEST_SIGUPD(x31,x23,144); - -inst_19: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x20; op1:x21; op1:x22; op1:x23; dest:x24; -li x20, 0x8f2248c25c3577f5; -li x21, 0x2a5dc0a00d453cdf; -li x22, 0xf3281b8ef4d325c3; -li x23, 0x947ac00e0caec993; -li x24, 0xd60235f35c4739b7; -sm4ks x24, x24, x20, 0; -sm4ks x24, x24, x21, 1; -sm4ks x24, x24, x22, 2; -sm4ks x24, x24, x23, 3; -RVTEST_SIGUPD(x31,x24,152); - -inst_20: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x21; op1:x22; op1:x23; op1:x24; dest:x25; -li x21, 0x6dc3cceec36ffd4b; -li x22, 0xc2713ce25868105b; -li x23, 0x29ade05f7dddcc72; -li x24, 0x6baeea37b4c8839b; -li x25, 0x1e4e0fadd02bad0f; -sm4ks x25, x25, x21, 0; -sm4ks x25, x25, x22, 1; -sm4ks x25, x25, x23, 2; -sm4ks x25, x25, x24, 3; -RVTEST_SIGUPD(x31,x25,160); - -inst_21: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x22; op1:x23; op1:x24; op1:x25; dest:x26; -li x22, 0xe4367ccad9716f2c; -li x23, 0x9ea76f0885958881; -li x24, 0xb183406662ebf954; -li x25, 0x796c1d88c313bc1f; -li x26, 0xe7e94a5193b3cb9a; -sm4ks x26, x26, x22, 0; -sm4ks x26, x26, x23, 1; -sm4ks x26, x26, x24, 2; -sm4ks x26, x26, x25, 3; -RVTEST_SIGUPD(x31,x26,168); - -inst_22: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x23; op1:x24; op1:x25; op1:x26; dest:x27; -li x23, 0x37c4c6b99530db93; -li x24, 0x132a717606aec150; -li x25, 0x08011d17cfac3216; -li x26, 0xd65358aaa734906b; -li x27, 0xba1c4b6b2d9da6d7; -sm4ks x27, x27, x23, 0; -sm4ks x27, x27, x24, 1; -sm4ks x27, x27, x25, 2; -sm4ks x27, x27, x26, 3; -RVTEST_SIGUPD(x31,x27,176); - -inst_23: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x24; op1:x25; op1:x26; op1:x27; dest:x28; -li x24, 0x04d3e5f42bccd6b6; -li x25, 0x339863c4d216eea8; -li x26, 0x3f13091de7fcc18b; -li x27, 0xc2cc1d388d15d800; -li x28, 0x0165f0f02016dc16; -sm4ks x28, x28, x24, 0; -sm4ks x28, x28, x25, 1; -sm4ks x28, x28, x26, 2; -sm4ks x28, x28, x27, 3; -RVTEST_SIGUPD(x31,x28,184); - -inst_24: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x25; op1:x26; op1:x27; op1:x28; dest:x29; -li x25, 0xd6ac851f07155a89; -li x26, 0x2d885062faa97965; -li x27, 0x62a12347b17286fd; -li x28, 0xa97cbf8403beaecd; -li x29, 0xa9632e3dbd0070ae; -sm4ks x29, x29, x25, 0; -sm4ks x29, x29, x26, 1; -sm4ks x29, x29, x27, 2; -sm4ks x29, x29, x28, 3; -RVTEST_SIGUPD(x31,x29,192); - -inst_25: -// Expected use-case sequence -> Aims to test things like pipeline forwarding -// opcode: sm4ks; op1:x26; op1:x27; op1:x28; op1:x29; dest:x30; -li x26, 0x8d3ba7550c3c31a8; -li x27, 0xb3e73cbb0d8258c4; -li x28, 0x7587e11994038f33; -li x29, 0x2f8d133555f7f60a; -li x30, 0xa0a1c6ef661a191b; -sm4ks x30, x30, x26, 0; -sm4ks x30, x30, x27, 1; -sm4ks x30, x30, x28, 2; -sm4ks x30, x30, x29, 3; -RVTEST_SIGUPD(x31,x30,200); - -#endif - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x31_1: - .fill 26*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xnor-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xnor-01.S deleted file mode 100644 index 3e48b21d3..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xnor-01.S +++ /dev/null @@ -1,2714 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xnor instruction of the RISC-V extension for the xnor covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",xnor) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",xnor) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",xnor) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",xnor) - -RVTEST_SIGBASE( x12,signature_x12_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x24, rs2==x24, rd==x16, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: xnor ; op1:x24; op2:x24; dest:x16; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(xnor, x16, x24, x24, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x12, 0, x19) - -inst_1: -// rs1 == rs2 == rd, rs1==x14, rs2==x14, rd==x14, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: xnor ; op1:x14; op2:x14; dest:x14; op1val:0x5ba2c7dd5ae10604; op2val:0x5ba2c7dd5ae10604 -TEST_RR_OP(xnor, x14, x14, x14, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x5ba2c7dd5ae10604, x12, 8, x19) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x8, rs2==x7, rd==x31, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: xnor ; op1:x8; op2:x7; dest:x31; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(xnor, x31, x8, x7, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x12, 16, x19) - -inst_3: -// rs1 == rd != rs2, rs1==x11, rs2==x31, rd==x11, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: xnor ; op1:x11; op2:x31; dest:x11; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(xnor, x11, x11, x31, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x12, 24, x19) - -inst_4: -// rs2 == rd != rs1, rs1==x7, rs2==x10, rd==x10, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: xnor ; op1:x7; op2:x10; dest:x10; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(xnor, x10, x7, x10, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x12, 32, x19) - -inst_5: -// rs1==x13, rs2==x22, rd==x27, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: xnor ; op1:x13; op2:x22; dest:x27; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(xnor, x27, x13, x22, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x12, 40, x19) - -inst_6: -// rs1==x1, rs2==x5, rd==x7, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: xnor ; op1:x1; op2:x5; dest:x7; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(xnor, x7, x1, x5, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x12, 48, x19) - -inst_7: -// rs1==x0, rs2==x28, rd==x2, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: xnor ; op1:x0; op2:x28; dest:x2; op1val:0x0; op2val:0x4c00000000000000 -TEST_RR_OP(xnor, x2, x0, x28, 0x0000000000000000, 0x0, 0x4c00000000000000, x12, 56, x19) - -inst_8: -// rs1==x2, rs2==x21, rd==x4, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: xnor ; op1:x2; op2:x21; dest:x4; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(xnor, x4, x2, x21, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x12, 64, x19) - -inst_9: -// rs1==x21, rs2==x18, rd==x1, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: xnor ; op1:x21; op2:x18; dest:x1; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(xnor, x1, x21, x18, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x12, 72, x19) - -inst_10: -// rs1==x27, rs2==x0, rd==x5, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: xnor ; op1:x27; op2:x0; dest:x5; op1val:0xbf28804a83e2ba3a; op2val:0x0 -TEST_RR_OP(xnor, x5, x27, x0, 0x0000000000000000, 0xbf28804a83e2ba3a, 0x0, x12, 80, x19) - -inst_11: -// rs1==x3, rs2==x25, rd==x6, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: xnor ; op1:x3; op2:x25; dest:x6; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(xnor, x6, x3, x25, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x12, 88, x19) - -inst_12: -// rs1==x20, rs2==x2, rd==x25, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: xnor ; op1:x20; op2:x2; dest:x25; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(xnor, x25, x20, x2, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x12, 96, x19) - -inst_13: -// rs1==x17, rs2==x27, rd==x0, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: xnor ; op1:x17; op2:x27; dest:x0; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(xnor, x0, x17, x27, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x12, 104, x19) - -inst_14: -// rs1==x30, rs2==x8, rd==x22, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: xnor ; op1:x30; op2:x8; dest:x22; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(xnor, x22, x30, x8, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x12, 112, x19) - -inst_15: -// rs1==x23, rs2==x9, rd==x15, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: xnor ; op1:x23; op2:x9; dest:x15; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(xnor, x15, x23, x9, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x12, 120, x19) - -inst_16: -// rs1==x4, rs2==x16, rd==x23, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: xnor ; op1:x4; op2:x16; dest:x23; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(xnor, x23, x4, x16, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x12, 128, x1) - -inst_17: -// rs1==x25, rs2==x26, rd==x13, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: xnor ; op1:x25; op2:x26; dest:x13; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(xnor, x13, x25, x26, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x12, 136, x1) -RVTEST_SIGBASE( x7,signature_x7_0) - -inst_18: -// rs1==x10, rs2==x23, rd==x9, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: xnor ; op1:x10; op2:x23; dest:x9; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(xnor, x9, x10, x23, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x7, 0, x1) - -inst_19: -// rs1==x16, rs2==x15, rd==x3, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: xnor ; op1:x16; op2:x15; dest:x3; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(xnor, x3, x16, x15, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x7, 8, x1) - -inst_20: -// rs1==x15, rs2==x13, rd==x20, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: xnor ; op1:x15; op2:x13; dest:x20; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(xnor, x20, x15, x13, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x7, 16, x1) - -inst_21: -// rs1==x22, rs2==x30, rd==x19, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: xnor ; op1:x22; op2:x30; dest:x19; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(xnor, x19, x22, x30, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x7, 24, x1) - -inst_22: -// rs1==x5, rs2==x12, rd==x18, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: xnor ; op1:x5; op2:x12; dest:x18; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(xnor, x18, x5, x12, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x7, 32, x1) - -inst_23: -// rs1==x6, rs2==x20, rd==x8, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: xnor ; op1:x6; op2:x20; dest:x8; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(xnor, x8, x6, x20, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x7, 40, x1) - -inst_24: -// rs1==x9, rs2==x19, rd==x24, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: xnor ; op1:x9; op2:x19; dest:x24; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(xnor, x24, x9, x19, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x7, 48, x1) - -inst_25: -// rs1==x26, rs2==x17, rd==x21, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: xnor ; op1:x26; op2:x17; dest:x21; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(xnor, x21, x26, x17, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x7, 56, x1) - -inst_26: -// rs1==x12, rs2==x11, rd==x28, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: xnor ; op1:x12; op2:x11; dest:x28; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(xnor, x28, x12, x11, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x7, 64, x1) - -inst_27: -// rs1==x28, rs2==x6, rd==x26, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: xnor ; op1:x28; op2:x6; dest:x26; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(xnor, x26, x28, x6, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x7, 72, x1) - -inst_28: -// rs1==x19, rs2==x4, rd==x30, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: xnor ; op1:x19; op2:x4; dest:x30; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(xnor, x30, x19, x4, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x7, 80, x1) - -inst_29: -// rs1==x31, rs2==x3, rd==x17, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: xnor ; op1:x31; op2:x3; dest:x17; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(xnor, x17, x31, x3, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x7, 88, x1) - -inst_30: -// rs1==x18, rs2==x29, rd==x12, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: xnor ; op1:x18; op2:x29; dest:x12; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(xnor, x12, x18, x29, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x7, 96, x1) - -inst_31: -// rs1==x29, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: xnor ; op1:x29; op2:x5; dest:x2; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(xnor, x2, x29, x5, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x7, 104, x1) - -inst_32: -// rs2==x1, rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: xnor ; op1:x11; op2:x1; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(xnor, x12, x11, x1, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x7, 112, x2) - -inst_33: -// rd==x29, rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: xnor ; op1:x30; op2:x10; dest:x29; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(xnor, x29, x30, x10, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x7, 120, x2) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x7, 128, x2) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x7, 136, x2) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x7, 144, x2) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x7, 152, x2) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x7, 160, x2) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x7, 168, x2) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x7, 176, x2) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x7, 184, x2) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x7, 192, x2) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x7, 200, x2) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x7, 208, x2) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x7, 216, x2) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x7, 224, x2) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x7, 232, x2) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x7, 240, x2) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x7, 248, x2) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x7, 256, x2) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x7, 264, x2) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x7, 272, x2) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x7, 280, x2) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x7, 288, x2) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x7, 296, x2) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x7, 304, x2) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x7, 312, x2) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x7, 320, x2) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x7, 328, x2) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x7, 336, x2) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x7, 344, x2) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x7, 352, x2) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x7, 360, x2) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x7, 368, x2) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x7, 376, x2) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x7, 384, x2) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x7, 392, x2) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x7, 400, x2) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x7, 408, x2) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x7, 416, x2) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x7, 424, x2) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x7, 432, x2) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x7, 440, x2) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x7, 448, x2) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x7, 456, x2) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x7, 464, x2) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x7, 472, x2) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x7, 480, x2) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x7, 488, x2) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x7, 496, x2) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x7, 504, x2) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x7, 512, x2) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x7, 520, x2) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x7, 528, x2) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x7, 536, x2) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x7, 544, x2) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x7, 552, x2) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x7, 560, x2) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x7, 568, x2) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x7, 576, x2) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x7, 584, x2) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x7, 592, x2) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x7, 600, x2) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x7, 608, x2) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x7, 616, x2) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x7, 624, x2) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x7, 632, x2) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x7, 640, x2) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x7, 648, x2) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x7, 656, x2) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x7, 664, x2) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x7, 672, x2) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x7, 680, x2) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x7, 688, x2) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x7, 696, x2) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x7, 704, x2) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x7, 712, x2) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x7, 720, x2) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x7, 728, x2) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x7, 736, x2) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x7, 744, x2) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x7, 752, x2) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x7, 760, x2) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x7, 768, x2) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x7, 776, x2) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x7, 784, x2) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x7, 792, x2) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x7, 800, x2) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x7, 808, x2) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x7, 816, x2) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x7, 824, x2) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x7, 832, x2) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x7, 840, x2) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x7, 848, x2) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x7, 856, x2) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x7, 864, x2) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x7, 872, x2) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x7, 880, x2) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x7, 888, x2) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x7, 896, x2) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x7, 904, x2) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x7, 912, x2) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x7, 920, x2) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x7, 928, x2) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x7, 936, x2) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x7, 944, x2) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x7, 952, x2) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x7, 960, x2) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x7, 968, x2) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x7, 976, x2) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x7, 984, x2) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x7, 992, x2) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x7, 1000, x2) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x7, 1008, x2) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x7, 1016, x2) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x7, 1024, x2) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x7, 1032, x2) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x7, 1040, x2) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x7, 1048, x2) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x7, 1056, x2) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x7, 1064, x2) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x7, 1072, x2) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x7, 1080, x2) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x7, 1088, x2) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x7, 1096, x2) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x7, 1104, x2) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x7, 1112, x2) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x7, 1120, x2) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x7, 1128, x2) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x7, 1136, x2) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x7, 1144, x2) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x7, 1152, x2) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x7, 1160, x2) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x7, 1168, x2) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x7, 1176, x2) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x7, 1184, x2) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x7, 1192, x2) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x7, 1200, x2) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x7, 1208, x2) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x7, 1216, x2) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x7, 1224, x2) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x7, 1232, x2) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x7, 1240, x2) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x7, 1248, x2) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x7, 1256, x2) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x7, 1264, x2) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x7, 1272, x2) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x7, 1280, x2) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x7, 1288, x2) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x7, 1296, x2) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x7, 1304, x2) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x7, 1312, x2) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x7, 1320, x2) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x7, 1328, x2) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x7, 1336, x2) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x7, 1344, x2) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x7, 1352, x2) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x7, 1360, x2) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x7, 1368, x2) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x7, 1376, x2) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x7, 1384, x2) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x7, 1392, x2) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x7, 1400, x2) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x7, 1408, x2) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x7, 1416, x2) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x7, 1424, x2) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x7, 1432, x2) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x7, 1440, x2) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x7, 1448, x2) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x7, 1456, x2) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x7, 1464, x2) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x7, 1472, x2) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x7, 1480, x2) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x7, 1488, x2) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x7, 1496, x2) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x7, 1504, x2) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x7, 1512, x2) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x7, 1520, x2) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x7, 1528, x2) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x7, 1536, x2) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x7, 1544, x2) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x7, 1552, x2) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x7, 1560, x2) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x7, 1568, x2) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x7, 1576, x2) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x7, 1584, x2) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x7, 1592, x2) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x7, 1600, x2) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x7, 1608, x2) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x7, 1616, x2) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x7, 1624, x2) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x7, 1632, x2) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x7, 1640, x2) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x7, 1648, x2) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x7, 1656, x2) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x7, 1664, x2) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x7, 1672, x2) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x7, 1680, x2) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x7, 1688, x2) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x7, 1696, x2) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x7, 1704, x2) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x7, 1712, x2) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x7, 1720, x2) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x7, 1728, x2) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x7, 1736, x2) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x7, 1744, x2) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x7, 1752, x2) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x7, 1760, x2) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x7, 1768, x2) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x7, 1776, x2) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x7, 1784, x2) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x7, 1792, x2) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x7, 1800, x2) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x7, 1808, x2) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x7, 1816, x2) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x7, 1824, x2) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x7, 1832, x2) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x7, 1840, x2) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x7, 1848, x2) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x7, 1856, x2) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x7, 1864, x2) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x7, 1872, x2) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x7, 1880, x2) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x7, 1888, x2) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x7, 1896, x2) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x7, 1904, x2) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x7, 1912, x2) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x7, 1920, x2) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x7, 1928, x2) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x7, 1936, x2) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x7, 1944, x2) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x7, 1952, x2) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x7, 1960, x2) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x7, 1968, x2) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x7, 1976, x2) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x7, 1984, x2) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x7, 1992, x2) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x7, 2000, x2) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x7, 2008, x2) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x7, 2016, x2) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x7, 2024, x2) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x7, 2032, x2) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x7, 2040, x2) -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x7, 0, x2) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x7, 8, x2) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x7, 16, x2) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x7, 24, x2) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x7, 32, x2) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x7, 40, x2) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x7, 48, x2) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x7, 56, x2) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x7, 64, x2) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x7, 72, x2) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x7, 80, x2) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x7, 88, x2) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x7, 96, x2) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x7, 104, x2) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x7, 112, x2) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x7, 120, x2) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x7, 128, x2) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x7, 136, x2) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x7, 144, x2) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x7, 152, x2) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x7, 160, x2) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x7, 168, x2) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x7, 176, x2) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x7, 184, x2) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x7, 192, x2) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x7, 200, x2) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x7, 208, x2) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x7, 216, x2) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x7, 224, x2) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x7, 232, x2) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x7, 240, x2) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x7, 248, x2) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x7, 256, x2) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x7, 264, x2) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x7, 272, x2) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x7, 280, x2) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x7, 288, x2) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x7, 296, x2) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x7, 304, x2) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x7, 312, x2) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x7, 320, x2) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x7, 328, x2) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x7, 336, x2) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x7, 344, x2) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x7, 352, x2) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x7, 360, x2) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x7, 368, x2) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x7, 376, x2) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x7, 384, x2) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x7, 392, x2) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x7, 400, x2) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x7, 408, x2) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x7, 416, x2) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x7, 424, x2) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x7, 432, x2) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x7, 440, x2) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x7, 448, x2) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x7, 456, x2) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x7, 464, x2) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x7, 472, x2) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x7, 480, x2) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x7, 488, x2) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x7, 496, x2) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x7, 504, x2) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x7, 512, x2) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x7, 520, x2) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x7, 528, x2) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x7, 536, x2) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x7, 544, x2) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x7, 552, x2) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x7, 560, x2) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x7, 568, x2) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x7, 576, x2) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x7, 584, x2) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x7, 592, x2) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x7, 600, x2) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x7, 608, x2) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x7, 616, x2) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x7, 624, x2) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x7, 632, x2) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x7, 640, x2) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x7, 648, x2) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x7, 656, x2) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x7, 664, x2) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x7, 672, x2) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x7, 680, x2) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x7, 688, x2) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x7, 696, x2) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x7, 704, x2) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x7, 712, x2) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x7, 720, x2) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x7, 728, x2) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x7, 736, x2) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x7, 744, x2) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x7, 752, x2) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x7, 760, x2) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x7, 768, x2) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x7, 776, x2) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x7, 784, x2) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x7, 792, x2) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x7, 800, x2) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x7, 808, x2) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x7, 816, x2) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x7, 824, x2) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x7, 832, x2) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x7, 840, x2) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x7, 848, x2) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x7, 856, x2) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x7, 864, x2) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x7, 872, x2) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x7, 880, x2) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x7, 888, x2) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x7, 896, x2) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x7, 904, x2) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x7, 912, x2) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x7, 920, x2) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x7, 928, x2) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x7, 936, x2) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x7, 944, x2) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x7, 952, x2) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x7, 960, x2) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x7, 968, x2) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x7, 976, x2) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x7, 984, x2) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x7, 992, x2) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x7, 1000, x2) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x7, 1008, x2) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x7, 1016, x2) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x7, 1024, x2) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x7, 1032, x2) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x7, 1040, x2) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x7, 1048, x2) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x7, 1056, x2) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x7, 1064, x2) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x7, 1072, x2) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x7, 1080, x2) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x7, 1088, x2) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x7, 1096, x2) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x7, 1104, x2) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x7, 1112, x2) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x7, 1120, x2) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x7, 1128, x2) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x7, 1136, x2) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x7, 1144, x2) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x7, 1152, x2) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x7, 1160, x2) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x7, 1168, x2) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x7, 1176, x2) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x7, 1184, x2) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x7, 1192, x2) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x7, 1200, x2) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x7, 1208, x2) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x7, 1216, x2) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x7, 1224, x2) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x7, 1232, x2) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x7, 1240, x2) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x7, 1248, x2) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x7, 1256, x2) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x7, 1264, x2) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x7, 1272, x2) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x7, 1280, x2) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x7, 1288, x2) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x7, 1296, x2) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x7, 1304, x2) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x7, 1312, x2) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x7, 1320, x2) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x7, 1328, x2) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x7, 1336, x2) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x7, 1344, x2) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x7, 1352, x2) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x7, 1360, x2) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x7, 1368, x2) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x7, 1376, x2) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x7, 1384, x2) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x7, 1392, x2) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x7, 1400, x2) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x7, 1408, x2) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x7, 1416, x2) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x7, 1424, x2) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x7, 1432, x2) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x7, 1440, x2) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x7, 1448, x2) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x7, 1456, x2) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x7, 1464, x2) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x7, 1472, x2) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x7, 1480, x2) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x7, 1488, x2) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x7, 1496, x2) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x7, 1504, x2) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x7, 1512, x2) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x7, 1520, x2) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x7, 1528, x2) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x7, 1536, x2) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x7, 1544, x2) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x7, 1552, x2) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x7, 1560, x2) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x7, 1568, x2) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x7, 1576, x2) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x7, 1584, x2) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x7, 1592, x2) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x7, 1600, x2) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x7, 1608, x2) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x7, 1616, x2) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x7, 1624, x2) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x7, 1632, x2) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x7, 1640, x2) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x7, 1648, x2) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x7, 1656, x2) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x7, 1664, x2) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x7, 1672, x2) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x7, 1680, x2) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x7, 1688, x2) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x7, 1696, x2) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x7, 1704, x2) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x7, 1712, x2) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x7, 1720, x2) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x7, 1728, x2) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x7, 1736, x2) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x7, 1744, x2) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x7, 1752, x2) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x7, 1760, x2) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x7, 1768, x2) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x7, 1776, x2) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x7, 1784, x2) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x7, 1792, x2) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x7, 1800, x2) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x7, 1808, x2) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x7, 1816, x2) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x7, 1824, x2) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x7, 1832, x2) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x7, 1840, x2) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x7, 1848, x2) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x7, 1856, x2) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x7, 1864, x2) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x7, 1872, x2) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x7, 1880, x2) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x7, 1888, x2) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x7, 1896, x2) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x7, 1904, x2) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x7, 1912, x2) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x7, 1920, x2) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x7, 1928, x2) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x7, 1936, x2) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x7, 1944, x2) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x7, 1952, x2) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x7, 1960, x2) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x7, 1968, x2) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x7, 1976, x2) - -inst_522: -// rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x7, 1984, x2) - -inst_523: -// rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x7, 1992, x2) - -inst_524: -// rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: xnor ; op1:x10; op2:x11; dest:x12; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(xnor, x12, x10, x11, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x7, 2000, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x12_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x12_1: - .fill 18*(XLEN/32),4,0xdeadbeef - - -signature_x7_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 251*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xperm.b-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xperm.b-01.S deleted file mode 100644 index de93a7a4e..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xperm.b-01.S +++ /dev/null @@ -1,2819 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 27 16:46:33 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xperm.b instruction of the RISC-V extension for the xperm.b covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",xperm.b) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",xperm.b) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",xperm.b) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",xperm.b) - -RVTEST_SIGBASE( x6,signature_x6_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x9, rs2==x4, rd==x25, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: xperm.b ; op1:x9; op2:x4; dest:x25; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(xperm.b, x25, x9, x4, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x6, 0, x10) - -inst_1: -// rs1 == rs2 == rd, rs1==x22, rs2==x22, rd==x22, rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: xperm.b ; op1:x22; op2:x22; dest:x22; op1val:0x6af29145404fd8ed; op2val:0x6af29145404fd8ed -TEST_RR_OP(xperm.b, x22, x22, x22, 0x0000000000000000, 0x6af29145404fd8ed, 0x6af29145404fd8ed, x6, 8, x10) - -inst_2: -// rs1 == rd != rs2, rs1==x24, rs2==x9, rd==x24, rs1_val == 0x6d23c0488a6019c1 and rs2_val == 0x860bdaad7447a088 -// opcode: xperm.b ; op1:x24; op2:x9; dest:x24; op1val:0x6d23c0488a6019c1; op2val:0x860bdaad7447a088 -TEST_RR_OP(xperm.b, x24, x24, x9, 0x0000000000000000, 0x6d23c0488a6019c1, 0x860bdaad7447a088, x6, 16, x10) - -inst_3: -// rs1 == rs2 != rd, rs1==x18, rs2==x18, rd==x17, rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 -// opcode: xperm.b ; op1:x18; op2:x18; dest:x17; op1val:0x1f7d946f17168ab3; op2val:0x1f7d946f17168ab3 -TEST_RR_OP(xperm.b, x17, x18, x18, 0x0000000000000000, 0x1f7d946f17168ab3, 0x1f7d946f17168ab3, x6, 24, x10) - -inst_4: -// rs2 == rd != rs1, rs1==x8, rs2==x28, rd==x28, rs1_val == 0xef1d54db32b81f27 and rs2_val == 0x1826a804284fe16c -// opcode: xperm.b ; op1:x8; op2:x28; dest:x28; op1val:0xef1d54db32b81f27; op2val:0x1826a804284fe16c -TEST_RR_OP(xperm.b, x28, x8, x28, 0x0000000000000000, 0xef1d54db32b81f27, 0x1826a804284fe16c, x6, 32, x10) - -inst_5: -// rs1==x15, rs2==x3, rd==x29, rs1_val == 0xb694de26ad9e5431 and rs2_val == 0x293f9f6071fad878 -// opcode: xperm.b ; op1:x15; op2:x3; dest:x29; op1val:0xb694de26ad9e5431; op2val:0x293f9f6071fad878 -TEST_RR_OP(xperm.b, x29, x15, x3, 0x0000000000000000, 0xb694de26ad9e5431, 0x293f9f6071fad878, x6, 40, x10) - -inst_6: -// rs1==x14, rs2==x19, rd==x2, rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb -// opcode: xperm.b ; op1:x14; op2:x19; dest:x2; op1val:0x987daa20b858e304; op2val:0x1aa1beebefb902cb -TEST_RR_OP(xperm.b, x2, x14, x19, 0x0000000000000000, 0x987daa20b858e304, 0x1aa1beebefb902cb, x6, 48, x10) - -inst_7: -// rs1==x30, rs2==x27, rd==x26, rs1_val == 0x79bb7c341d3110bc and rs2_val == 0x8678f5e3d272e229 -// opcode: xperm.b ; op1:x30; op2:x27; dest:x26; op1val:0x79bb7c341d3110bc; op2val:0x8678f5e3d272e229 -TEST_RR_OP(xperm.b, x26, x30, x27, 0x0000000000000000, 0x79bb7c341d3110bc, 0x8678f5e3d272e229, x6, 56, x10) - -inst_8: -// rs1==x17, rs2==x24, rd==x30, rs1_val == 0xe2eaf4a09869be8c and rs2_val == 0x5b730cad91766f62 -// opcode: xperm.b ; op1:x17; op2:x24; dest:x30; op1val:0xe2eaf4a09869be8c; op2val:0x5b730cad91766f62 -TEST_RR_OP(xperm.b, x30, x17, x24, 0x0000000000000000, 0xe2eaf4a09869be8c, 0x5b730cad91766f62, x6, 64, x10) - -inst_9: -// rs1==x27, rs2==x14, rd==x5, rs1_val == 0xc0fe15dd0df9564b and rs2_val == 0xb22bbf7eb4c858fb -// opcode: xperm.b ; op1:x27; op2:x14; dest:x5; op1val:0xc0fe15dd0df9564b; op2val:0xb22bbf7eb4c858fb -TEST_RR_OP(xperm.b, x5, x27, x14, 0x0000000000000000, 0xc0fe15dd0df9564b, 0xb22bbf7eb4c858fb, x6, 72, x10) - -inst_10: -// rs1==x20, rs2==x0, rd==x9, rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 -// opcode: xperm.b ; op1:x20; op2:x0; dest:x9; op1val:0x4113ee60952acffe; op2val:0x0 -TEST_RR_OP(xperm.b, x9, x20, x0, 0x0000000000000000, 0x4113ee60952acffe, 0x0, x6, 80, x10) - -inst_11: -// rs1==x5, rs2==x20, rd==x11, rs1_val == 0x40a5ff526f38a9c7 and rs2_val == 0xb6f9706fb4f741aa -// opcode: xperm.b ; op1:x5; op2:x20; dest:x11; op1val:0x40a5ff526f38a9c7; op2val:0xb6f9706fb4f741aa -TEST_RR_OP(xperm.b, x11, x5, x20, 0x0000000000000000, 0x40a5ff526f38a9c7, 0xb6f9706fb4f741aa, x6, 88, x10) - -inst_12: -// rs1==x0, rs2==x21, rd==x31, rs1_val == 0x9bedfe390d6ddd9d and rs2_val == 0xd05668ae0fdb82bc -// opcode: xperm.b ; op1:x0; op2:x21; dest:x31; op1val:0x0; op2val:0xd05668ae0fdb82bc -TEST_RR_OP(xperm.b, x31, x0, x21, 0x0000000000000000, 0x0, 0xd05668ae0fdb82bc, x6, 96, x10) - -inst_13: -// rs1==x7, rs2==x13, rd==x1, rs1_val == 0xd75739f82ac177c6 and rs2_val == 0xaa6bb2bde9ed477d -// opcode: xperm.b ; op1:x7; op2:x13; dest:x1; op1val:0xd75739f82ac177c6; op2val:0xaa6bb2bde9ed477d -TEST_RR_OP(xperm.b, x1, x7, x13, 0x0000000000000000, 0xd75739f82ac177c6, 0xaa6bb2bde9ed477d, x6, 104, x10) - -inst_14: -// rs1==x29, rs2==x5, rd==x12, rs1_val == 0x9a4e9ef10171f4df and rs2_val == 0x299c3bcf90efb625 -// opcode: xperm.b ; op1:x29; op2:x5; dest:x12; op1val:0x9a4e9ef10171f4df; op2val:0x299c3bcf90efb625 -TEST_RR_OP(xperm.b, x12, x29, x5, 0x0000000000000000, 0x9a4e9ef10171f4df, 0x299c3bcf90efb625, x6, 112, x10) - -inst_15: -// rs1==x25, rs2==x26, rd==x21, rs1_val == 0xd169a3f8cad5e297 and rs2_val == 0x1fc493caa371db42 -// opcode: xperm.b ; op1:x25; op2:x26; dest:x21; op1val:0xd169a3f8cad5e297; op2val:0x1fc493caa371db42 -TEST_RR_OP(xperm.b, x21, x25, x26, 0x0000000000000000, 0xd169a3f8cad5e297, 0x1fc493caa371db42, x6, 120, x5) - -inst_16: -// rs1==x13, rs2==x11, rd==x19, rs1_val == 0xd5b9fe5cf69bdcf3 and rs2_val == 0xf4c30307672f666d -// opcode: xperm.b ; op1:x13; op2:x11; dest:x19; op1val:0xd5b9fe5cf69bdcf3; op2val:0xf4c30307672f666d -TEST_RR_OP(xperm.b, x19, x13, x11, 0x0000000000000000, 0xd5b9fe5cf69bdcf3, 0xf4c30307672f666d, x6, 128, x5) - -inst_17: -// rs1==x19, rs2==x17, rd==x15, rs1_val == 0xe4921bf73047c198 and rs2_val == 0xa0569d765ebc64cb -// opcode: xperm.b ; op1:x19; op2:x17; dest:x15; op1val:0xe4921bf73047c198; op2val:0xa0569d765ebc64cb -TEST_RR_OP(xperm.b, x15, x19, x17, 0x0000000000000000, 0xe4921bf73047c198, 0xa0569d765ebc64cb, x6, 136, x5) - -inst_18: -// rs1==x31, rs2==x1, rd==x18, rs1_val == 0xfcc1b543c49cd65b and rs2_val == 0x2daf9ac7f5faf207 -// opcode: xperm.b ; op1:x31; op2:x1; dest:x18; op1val:0xfcc1b543c49cd65b; op2val:0x2daf9ac7f5faf207 -TEST_RR_OP(xperm.b, x18, x31, x1, 0x0000000000000000, 0xfcc1b543c49cd65b, 0x2daf9ac7f5faf207, x6, 144, x5) - -inst_19: -// rs1==x12, rs2==x31, rd==x4, rs1_val == 0x436f40f274b8de87 and rs2_val == 0x3459294ef273b44c -// opcode: xperm.b ; op1:x12; op2:x31; dest:x4; op1val:0x436f40f274b8de87; op2val:0x3459294ef273b44c -TEST_RR_OP(xperm.b, x4, x12, x31, 0x0000000000000000, 0x436f40f274b8de87, 0x3459294ef273b44c, x6, 152, x5) - -inst_20: -// rs1==x2, rs2==x29, rd==x7, rs1_val == 0x75a3adb3254a9493 and rs2_val == 0xc5521660f3a3c571 -// opcode: xperm.b ; op1:x2; op2:x29; dest:x7; op1val:0x75a3adb3254a9493; op2val:0xc5521660f3a3c571 -TEST_RR_OP(xperm.b, x7, x2, x29, 0x0000000000000000, 0x75a3adb3254a9493, 0xc5521660f3a3c571, x6, 160, x5) - -inst_21: -// rs1==x4, rs2==x25, rd==x16, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: xperm.b ; op1:x4; op2:x25; dest:x16; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(xperm.b, x16, x4, x25, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x6, 168, x5) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_22: -// rs1==x11, rs2==x23, rd==x27, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: xperm.b ; op1:x11; op2:x23; dest:x27; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(xperm.b, x27, x11, x23, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x4, 0, x5) - -inst_23: -// rs1==x10, rs2==x30, rd==x3, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: xperm.b ; op1:x10; op2:x30; dest:x3; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(xperm.b, x3, x10, x30, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x4, 8, x5) - -inst_24: -// rs1==x3, rs2==x6, rd==x8, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: xperm.b ; op1:x3; op2:x6; dest:x8; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(xperm.b, x8, x3, x6, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x4, 16, x5) - -inst_25: -// rs1==x26, rs2==x2, rd==x13, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: xperm.b ; op1:x26; op2:x2; dest:x13; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(xperm.b, x13, x26, x2, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x4, 24, x5) - -inst_26: -// rs1==x1, rs2==x16, rd==x20, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: xperm.b ; op1:x1; op2:x16; dest:x20; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(xperm.b, x20, x1, x16, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x4, 32, x5) - -inst_27: -// rs1==x23, rs2==x8, rd==x6, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: xperm.b ; op1:x23; op2:x8; dest:x6; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(xperm.b, x6, x23, x8, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x4, 40, x5) - -inst_28: -// rs1==x6, rs2==x7, rd==x10, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: xperm.b ; op1:x6; op2:x7; dest:x10; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(xperm.b, x10, x6, x7, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x4, 48, x5) - -inst_29: -// rs1==x16, rs2==x12, rd==x0, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: xperm.b ; op1:x16; op2:x12; dest:x0; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(xperm.b, x0, x16, x12, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x4, 56, x5) - -inst_30: -// rs1==x28, rs2==x15, rd==x14, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: xperm.b ; op1:x28; op2:x15; dest:x14; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(xperm.b, x14, x28, x15, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x4, 64, x5) - -inst_31: -// rs1==x21, rs2==x10, rd==x23, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: xperm.b ; op1:x21; op2:x10; dest:x23; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(xperm.b, x23, x21, x10, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x4, 72, x5) - -inst_32: -// rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x4, 80, x5) - -inst_33: -// rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x4, 88, x5) - -inst_34: -// rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x4, 96, x5) - -inst_35: -// rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x4, 104, x5) - -inst_36: -// rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x4, 112, x5) - -inst_37: -// rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x4, 120, x5) - -inst_38: -// rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x4, 128, x5) - -inst_39: -// rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x4, 136, x5) - -inst_40: -// rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x4, 144, x5) - -inst_41: -// rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x4, 152, x5) - -inst_42: -// rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x4, 160, x5) - -inst_43: -// rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x4, 168, x5) - -inst_44: -// rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x4, 176, x5) - -inst_45: -// rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x4, 184, x5) - -inst_46: -// rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x4, 192, x5) - -inst_47: -// rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x4, 200, x5) - -inst_48: -// rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x4, 208, x5) - -inst_49: -// rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x4, 216, x5) - -inst_50: -// rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x4, 224, x5) - -inst_51: -// rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x4, 232, x5) - -inst_52: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x4, 240, x5) - -inst_53: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x4, 248, x5) - -inst_54: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x4, 256, x5) - -inst_55: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x4, 264, x5) - -inst_56: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x4, 272, x5) - -inst_57: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x4, 280, x5) - -inst_58: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x4, 288, x5) - -inst_59: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x4, 296, x5) - -inst_60: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x4, 304, x5) - -inst_61: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x4, 312, x5) - -inst_62: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x4, 320, x5) - -inst_63: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x4, 328, x5) - -inst_64: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x4, 336, x5) - -inst_65: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x4, 344, x5) - -inst_66: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x4, 352, x5) - -inst_67: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x4, 360, x5) - -inst_68: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x4, 368, x5) - -inst_69: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x4, 376, x5) - -inst_70: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x4, 384, x5) - -inst_71: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x4, 392, x5) - -inst_72: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x4, 400, x5) - -inst_73: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x4, 408, x5) - -inst_74: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x4, 416, x5) - -inst_75: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x4, 424, x5) - -inst_76: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x4, 432, x5) - -inst_77: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x4, 440, x5) - -inst_78: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x4, 448, x5) - -inst_79: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x4, 456, x5) - -inst_80: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x4, 464, x5) - -inst_81: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x4, 472, x5) - -inst_82: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x4, 480, x5) - -inst_83: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x4, 488, x5) - -inst_84: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x4, 496, x5) - -inst_85: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x4, 504, x5) - -inst_86: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x4, 512, x5) - -inst_87: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x4, 520, x5) - -inst_88: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x4, 528, x5) - -inst_89: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x4, 536, x5) - -inst_90: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x4, 544, x5) - -inst_91: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x4, 552, x5) - -inst_92: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x4, 560, x5) - -inst_93: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x4, 568, x5) - -inst_94: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x4, 576, x5) - -inst_95: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x4, 584, x5) - -inst_96: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x4, 592, x5) - -inst_97: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x4, 600, x5) - -inst_98: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x4, 608, x5) - -inst_99: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x4, 616, x5) - -inst_100: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x4, 624, x5) - -inst_101: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x4, 632, x5) - -inst_102: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x4, 640, x5) - -inst_103: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x4, 648, x5) - -inst_104: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x4, 656, x5) - -inst_105: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x4, 664, x5) - -inst_106: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x4, 672, x5) - -inst_107: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x4, 680, x5) - -inst_108: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x4, 688, x5) - -inst_109: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x4, 696, x5) - -inst_110: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x4, 704, x5) - -inst_111: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x4, 712, x5) - -inst_112: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x4, 720, x5) - -inst_113: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x4, 728, x5) - -inst_114: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x4, 736, x5) - -inst_115: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x4, 744, x5) - -inst_116: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x4, 752, x5) - -inst_117: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x4, 760, x5) - -inst_118: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x4, 768, x5) - -inst_119: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x4, 776, x5) - -inst_120: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x4, 784, x5) - -inst_121: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x4, 792, x5) - -inst_122: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x4, 800, x5) - -inst_123: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x4, 808, x5) - -inst_124: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x4, 816, x5) - -inst_125: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x4, 824, x5) - -inst_126: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x4, 832, x5) - -inst_127: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x4, 840, x5) - -inst_128: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x4, 848, x5) - -inst_129: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x4, 856, x5) - -inst_130: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x4, 864, x5) - -inst_131: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x4, 872, x5) - -inst_132: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x4, 880, x5) - -inst_133: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x4, 888, x5) - -inst_134: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x4, 896, x5) - -inst_135: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x4, 904, x5) - -inst_136: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x4, 912, x5) - -inst_137: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x4, 920, x5) - -inst_138: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x4, 928, x5) - -inst_139: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x4, 936, x5) - -inst_140: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x4, 944, x5) - -inst_141: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x4, 952, x5) - -inst_142: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x4, 960, x5) - -inst_143: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x4, 968, x5) - -inst_144: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x4, 976, x5) - -inst_145: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x4, 984, x5) - -inst_146: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x4, 992, x5) - -inst_147: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x4, 1000, x5) - -inst_148: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x4, 1008, x5) - -inst_149: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x4, 1016, x5) - -inst_150: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x4, 1024, x5) - -inst_151: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x4, 1032, x5) - -inst_152: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x4, 1040, x5) - -inst_153: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x4, 1048, x5) - -inst_154: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x4, 1056, x5) - -inst_155: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x4, 1064, x5) - -inst_156: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x4, 1072, x5) - -inst_157: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x4, 1080, x5) - -inst_158: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x4, 1088, x5) - -inst_159: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x4, 1096, x5) - -inst_160: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x4, 1104, x5) - -inst_161: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x4, 1112, x5) - -inst_162: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x4, 1120, x5) - -inst_163: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x4, 1128, x5) - -inst_164: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x4, 1136, x5) - -inst_165: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x4, 1144, x5) - -inst_166: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x4, 1152, x5) - -inst_167: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x4, 1160, x5) - -inst_168: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x4, 1168, x5) - -inst_169: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x4, 1176, x5) - -inst_170: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x4, 1184, x5) - -inst_171: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x4, 1192, x5) - -inst_172: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x4, 1200, x5) - -inst_173: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x4, 1208, x5) - -inst_174: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x4, 1216, x5) - -inst_175: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x4, 1224, x5) - -inst_176: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x4, 1232, x5) - -inst_177: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x4, 1240, x5) - -inst_178: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x4, 1248, x5) - -inst_179: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x4, 1256, x5) - -inst_180: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x4, 1264, x5) - -inst_181: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x4, 1272, x5) - -inst_182: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x4, 1280, x5) - -inst_183: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x4, 1288, x5) - -inst_184: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x4, 1296, x5) - -inst_185: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x4, 1304, x5) - -inst_186: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x4, 1312, x5) - -inst_187: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x4, 1320, x5) - -inst_188: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x4, 1328, x5) - -inst_189: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x4, 1336, x5) - -inst_190: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x4, 1344, x5) - -inst_191: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x4, 1352, x5) - -inst_192: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x4, 1360, x5) - -inst_193: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x4, 1368, x5) - -inst_194: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x4, 1376, x5) - -inst_195: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x4, 1384, x5) - -inst_196: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x4, 1392, x5) - -inst_197: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x4, 1400, x5) - -inst_198: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x4, 1408, x5) - -inst_199: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x4, 1416, x5) - -inst_200: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x4, 1424, x5) - -inst_201: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x4, 1432, x5) - -inst_202: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x4, 1440, x5) - -inst_203: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x4, 1448, x5) - -inst_204: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x4, 1456, x5) - -inst_205: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x4, 1464, x5) - -inst_206: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x4, 1472, x5) - -inst_207: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x4, 1480, x5) - -inst_208: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x4, 1488, x5) - -inst_209: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x4, 1496, x5) - -inst_210: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x4, 1504, x5) - -inst_211: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x4, 1512, x5) - -inst_212: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x4, 1520, x5) - -inst_213: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x4, 1528, x5) - -inst_214: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x4, 1536, x5) - -inst_215: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x4, 1544, x5) - -inst_216: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x4, 1552, x5) - -inst_217: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x4, 1560, x5) - -inst_218: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x4, 1568, x5) - -inst_219: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x4, 1576, x5) - -inst_220: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x4, 1584, x5) - -inst_221: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x4, 1592, x5) - -inst_222: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x4, 1600, x5) - -inst_223: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x4, 1608, x5) - -inst_224: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x4, 1616, x5) - -inst_225: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x4, 1624, x5) - -inst_226: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x4, 1632, x5) - -inst_227: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x4, 1640, x5) - -inst_228: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x4, 1648, x5) - -inst_229: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x4, 1656, x5) - -inst_230: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x4, 1664, x5) - -inst_231: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x4, 1672, x5) - -inst_232: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x4, 1680, x5) - -inst_233: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x4, 1688, x5) - -inst_234: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x4, 1696, x5) - -inst_235: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x4, 1704, x5) - -inst_236: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x4, 1712, x5) - -inst_237: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x4, 1720, x5) - -inst_238: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x4, 1728, x5) - -inst_239: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x4, 1736, x5) - -inst_240: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x4, 1744, x5) - -inst_241: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x4, 1752, x5) - -inst_242: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x4, 1760, x5) - -inst_243: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x4, 1768, x5) - -inst_244: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x4, 1776, x5) - -inst_245: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x4, 1784, x5) - -inst_246: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x4, 1792, x5) - -inst_247: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x4, 1800, x5) - -inst_248: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x4, 1808, x5) - -inst_249: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x4, 1816, x5) - -inst_250: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x4, 1824, x5) - -inst_251: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x4, 1832, x5) - -inst_252: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x4, 1840, x5) - -inst_253: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x4, 1848, x5) - -inst_254: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x4, 1856, x5) - -inst_255: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x4, 1864, x5) - -inst_256: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x4, 1872, x5) - -inst_257: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x4, 1880, x5) - -inst_258: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x4, 1888, x5) - -inst_259: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x4, 1896, x5) - -inst_260: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x4, 1904, x5) - -inst_261: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x4, 1912, x5) - -inst_262: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x4, 1920, x5) - -inst_263: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x4, 1928, x5) - -inst_264: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x4, 1936, x5) - -inst_265: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x4, 1944, x5) - -inst_266: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x4, 1952, x5) - -inst_267: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x4, 1960, x5) - -inst_268: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x4, 1968, x5) - -inst_269: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x4, 1976, x5) - -inst_270: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x4, 1984, x5) - -inst_271: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x4, 1992, x5) - -inst_272: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x4, 2000, x5) - -inst_273: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x4, 2008, x5) - -inst_274: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x4, 2016, x5) - -inst_275: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x4, 2024, x5) - -inst_276: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x4, 2032, x5) - -inst_277: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x4, 2040, x5) -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_278: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x4, 0, x5) - -inst_279: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x4, 8, x5) - -inst_280: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x4, 16, x5) - -inst_281: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x4, 24, x5) - -inst_282: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x4, 32, x5) - -inst_283: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x4, 40, x5) - -inst_284: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x4, 48, x5) - -inst_285: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x4, 56, x5) - -inst_286: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x4, 64, x5) - -inst_287: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x4, 72, x5) - -inst_288: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x4, 80, x5) - -inst_289: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x4, 88, x5) - -inst_290: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x4, 96, x5) - -inst_291: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x4, 104, x5) - -inst_292: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x4, 112, x5) - -inst_293: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x4, 120, x5) - -inst_294: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x4, 128, x5) - -inst_295: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x4, 136, x5) - -inst_296: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x4, 144, x5) - -inst_297: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x4, 152, x5) - -inst_298: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x4, 160, x5) - -inst_299: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x4, 168, x5) - -inst_300: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x4, 176, x5) - -inst_301: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x4, 184, x5) - -inst_302: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x4, 192, x5) - -inst_303: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x4, 200, x5) - -inst_304: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x4, 208, x5) - -inst_305: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x4, 216, x5) - -inst_306: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x4, 224, x5) - -inst_307: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x4, 232, x5) - -inst_308: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x4, 240, x5) - -inst_309: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x4, 248, x5) - -inst_310: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x4, 256, x5) - -inst_311: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x4, 264, x5) - -inst_312: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x4, 272, x5) - -inst_313: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x4, 280, x5) - -inst_314: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x4, 288, x5) - -inst_315: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x4, 296, x5) - -inst_316: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x4, 304, x5) - -inst_317: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x4, 312, x5) - -inst_318: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x4, 320, x5) - -inst_319: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x4, 328, x5) - -inst_320: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x4, 336, x5) - -inst_321: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x4, 344, x5) - -inst_322: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x4, 352, x5) - -inst_323: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x4, 360, x5) - -inst_324: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x4, 368, x5) - -inst_325: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x4, 376, x5) - -inst_326: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x4, 384, x5) - -inst_327: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x4, 392, x5) - -inst_328: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x4, 400, x5) - -inst_329: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x4, 408, x5) - -inst_330: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x4, 416, x5) - -inst_331: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x4, 424, x5) - -inst_332: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x4, 432, x5) - -inst_333: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x4, 440, x5) - -inst_334: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x4, 448, x5) - -inst_335: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x4, 456, x5) - -inst_336: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x4, 464, x5) - -inst_337: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x4, 472, x5) - -inst_338: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x4, 480, x5) - -inst_339: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x4, 488, x5) - -inst_340: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x4, 496, x5) - -inst_341: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x4, 504, x5) - -inst_342: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x4, 512, x5) - -inst_343: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x4, 520, x5) - -inst_344: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x4, 528, x5) - -inst_345: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x4, 536, x5) - -inst_346: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x4, 544, x5) - -inst_347: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x4, 552, x5) - -inst_348: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x4, 560, x5) - -inst_349: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x4, 568, x5) - -inst_350: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x4, 576, x5) - -inst_351: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x4, 584, x5) - -inst_352: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x4, 592, x5) - -inst_353: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x4, 600, x5) - -inst_354: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x4, 608, x5) - -inst_355: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x4, 616, x5) - -inst_356: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x4, 624, x5) - -inst_357: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x4, 632, x5) - -inst_358: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x4, 640, x5) - -inst_359: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x4, 648, x5) - -inst_360: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x4, 656, x5) - -inst_361: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x4, 664, x5) - -inst_362: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x4, 672, x5) - -inst_363: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x4, 680, x5) - -inst_364: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x4, 688, x5) - -inst_365: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x4, 696, x5) - -inst_366: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x4, 704, x5) - -inst_367: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x4, 712, x5) - -inst_368: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x4, 720, x5) - -inst_369: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x4, 728, x5) - -inst_370: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x4, 736, x5) - -inst_371: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x4, 744, x5) - -inst_372: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x4, 752, x5) - -inst_373: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x4, 760, x5) - -inst_374: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x4, 768, x5) - -inst_375: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x4, 776, x5) - -inst_376: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x4, 784, x5) - -inst_377: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x4, 792, x5) - -inst_378: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x4, 800, x5) - -inst_379: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x4, 808, x5) - -inst_380: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x4, 816, x5) - -inst_381: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x4, 824, x5) - -inst_382: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x4, 832, x5) - -inst_383: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x4, 840, x5) - -inst_384: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x4, 848, x5) - -inst_385: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x4, 856, x5) - -inst_386: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x4, 864, x5) - -inst_387: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x4, 872, x5) - -inst_388: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x4, 880, x5) - -inst_389: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x4, 888, x5) - -inst_390: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x4, 896, x5) - -inst_391: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x4, 904, x5) - -inst_392: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x4, 912, x5) - -inst_393: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x4, 920, x5) - -inst_394: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x4, 928, x5) - -inst_395: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x4, 936, x5) - -inst_396: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x4, 944, x5) - -inst_397: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x4, 952, x5) - -inst_398: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x4, 960, x5) - -inst_399: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x4, 968, x5) - -inst_400: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x4, 976, x5) - -inst_401: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x4, 984, x5) - -inst_402: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x4, 992, x5) - -inst_403: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x4, 1000, x5) - -inst_404: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x4, 1008, x5) - -inst_405: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x4, 1016, x5) - -inst_406: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x4, 1024, x5) - -inst_407: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x4, 1032, x5) - -inst_408: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x4, 1040, x5) - -inst_409: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x4, 1048, x5) - -inst_410: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x4, 1056, x5) - -inst_411: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x4, 1064, x5) - -inst_412: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x4, 1072, x5) - -inst_413: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x4, 1080, x5) - -inst_414: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x4, 1088, x5) - -inst_415: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x4, 1096, x5) - -inst_416: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x4, 1104, x5) - -inst_417: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x4, 1112, x5) - -inst_418: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x4, 1120, x5) - -inst_419: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x4, 1128, x5) - -inst_420: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x4, 1136, x5) - -inst_421: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x4, 1144, x5) - -inst_422: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x4, 1152, x5) - -inst_423: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x4, 1160, x5) - -inst_424: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x4, 1168, x5) - -inst_425: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x4, 1176, x5) - -inst_426: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x4, 1184, x5) - -inst_427: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x4, 1192, x5) - -inst_428: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x4, 1200, x5) - -inst_429: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x4, 1208, x5) - -inst_430: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x4, 1216, x5) - -inst_431: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x4, 1224, x5) - -inst_432: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x4, 1232, x5) - -inst_433: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x4, 1240, x5) - -inst_434: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x4, 1248, x5) - -inst_435: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x4, 1256, x5) - -inst_436: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x4, 1264, x5) - -inst_437: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x4, 1272, x5) - -inst_438: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x4, 1280, x5) - -inst_439: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x4, 1288, x5) - -inst_440: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x4, 1296, x5) - -inst_441: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x4, 1304, x5) - -inst_442: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x4, 1312, x5) - -inst_443: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x4, 1320, x5) - -inst_444: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x4, 1328, x5) - -inst_445: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x4, 1336, x5) - -inst_446: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x4, 1344, x5) - -inst_447: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x4, 1352, x5) - -inst_448: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x4, 1360, x5) - -inst_449: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x4, 1368, x5) - -inst_450: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x4, 1376, x5) - -inst_451: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x4, 1384, x5) - -inst_452: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x4, 1392, x5) - -inst_453: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x4, 1400, x5) - -inst_454: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x4, 1408, x5) - -inst_455: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x4, 1416, x5) - -inst_456: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x4, 1424, x5) - -inst_457: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x4, 1432, x5) - -inst_458: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x4, 1440, x5) - -inst_459: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x4, 1448, x5) - -inst_460: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x4, 1456, x5) - -inst_461: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x4, 1464, x5) - -inst_462: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x4, 1472, x5) - -inst_463: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x4, 1480, x5) - -inst_464: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x4, 1488, x5) - -inst_465: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x4, 1496, x5) - -inst_466: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x4, 1504, x5) - -inst_467: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x4, 1512, x5) - -inst_468: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x4, 1520, x5) - -inst_469: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x4, 1528, x5) - -inst_470: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x4, 1536, x5) - -inst_471: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x4, 1544, x5) - -inst_472: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x4, 1552, x5) - -inst_473: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x4, 1560, x5) - -inst_474: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x4, 1568, x5) - -inst_475: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x4, 1576, x5) - -inst_476: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x4, 1584, x5) - -inst_477: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x4, 1592, x5) - -inst_478: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x4, 1600, x5) - -inst_479: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x4, 1608, x5) - -inst_480: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x4, 1616, x5) - -inst_481: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x4, 1624, x5) - -inst_482: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x4, 1632, x5) - -inst_483: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x4, 1640, x5) - -inst_484: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x4, 1648, x5) - -inst_485: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x4, 1656, x5) - -inst_486: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x4, 1664, x5) - -inst_487: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x4, 1672, x5) - -inst_488: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x4, 1680, x5) - -inst_489: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x4, 1688, x5) - -inst_490: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x4, 1696, x5) - -inst_491: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x4, 1704, x5) - -inst_492: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x4, 1712, x5) - -inst_493: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x4, 1720, x5) - -inst_494: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x4, 1728, x5) - -inst_495: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x4, 1736, x5) - -inst_496: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x4, 1744, x5) - -inst_497: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x4, 1752, x5) - -inst_498: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x4, 1760, x5) - -inst_499: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x4, 1768, x5) - -inst_500: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x4, 1776, x5) - -inst_501: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x4, 1784, x5) - -inst_502: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x4, 1792, x5) - -inst_503: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x4, 1800, x5) - -inst_504: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x4, 1808, x5) - -inst_505: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x4, 1816, x5) - -inst_506: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x4, 1824, x5) - -inst_507: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x4, 1832, x5) - -inst_508: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x4, 1840, x5) - -inst_509: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x4, 1848, x5) - -inst_510: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x4, 1856, x5) - -inst_511: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x4, 1864, x5) - -inst_512: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x4, 1872, x5) - -inst_513: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x4, 1880, x5) - -inst_514: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x4, 1888, x5) - -inst_515: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x4, 1896, x5) - -inst_516: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x4, 1904, x5) - -inst_517: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x4, 1912, x5) - -inst_518: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x4, 1920, x5) - -inst_519: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x4, 1928, x5) - -inst_520: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x4, 1936, x5) - -inst_521: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x4, 1944, x5) - -inst_522: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x4, 1952, x5) - -inst_523: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x4, 1960, x5) - -inst_524: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x4, 1968, x5) - -inst_525: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x4, 1976, x5) - -inst_526: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x4, 1984, x5) - -inst_527: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x4, 1992, x5) - -inst_528: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x4, 2000, x5) - -inst_529: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x4, 2008, x5) - -inst_530: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x4, 2016, x5) - -inst_531: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x4, 2024, x5) - -inst_532: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x4, 2032, x5) - -inst_533: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x4, 2040, x5) -RVTEST_SIGBASE( x4,signature_x4_2) - -inst_534: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x4, 0, x5) - -inst_535: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x4, 8, x5) - -inst_536: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x4, 16, x5) - -inst_537: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x4, 24, x5) - -inst_538: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x4, 32, x5) - -inst_539: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x4, 40, x5) - -inst_540: -// rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6af29145404fd8ed; op2val:0x990e75eafff569c2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6af29145404fd8ed, 0x990e75eafff569c2, x4, 48, x5) - -inst_541: -// rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1f7d946f17168ab3; op2val:0x66eae3d9bbb4f560 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1f7d946f17168ab3, 0x66eae3d9bbb4f560, x4, 56, x5) - -inst_542: -// rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4113ee60952acffe; op2val:0x53a66ed1dc80d916 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4113ee60952acffe, 0x53a66ed1dc80d916, x4, 64, x5) - -inst_543: -// rs1_val == 0x9bedfe390d6ddd9d and rs2_val == 0xd05668ae0fdb82bc -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9bedfe390d6ddd9d; op2val:0xd05668ae0fdb82bc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9bedfe390d6ddd9d, 0xd05668ae0fdb82bc, x4, 72, x5) - -inst_544: -// rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x4, 80, x5) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x6_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x6_1: - .fill 22*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x4_2: - .fill 11*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xperm.n-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xperm.n-01.S deleted file mode 100644 index c822eb12c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xperm.n-01.S +++ /dev/null @@ -1,2819 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 27 16:46:33 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xperm.n instruction of the RISC-V extension for the xperm.n covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",xperm.n) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",xperm.n) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",xperm.n) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",xperm.n) - -RVTEST_SIGBASE( x11,signature_x11_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x15, rs2==x16, rd==x29, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: xperm.n ; op1:x15; op2:x16; dest:x29; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(xperm.n, x29, x15, x16, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x11, 0, x18) - -inst_1: -// rs1 == rs2 == rd, rs1==x22, rs2==x22, rd==x22, rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: xperm.n ; op1:x22; op2:x22; dest:x22; op1val:0x6af29145404fd8ed; op2val:0x6af29145404fd8ed -TEST_RR_OP(xperm.n, x22, x22, x22, 0x0000000000000000, 0x6af29145404fd8ed, 0x6af29145404fd8ed, x11, 8, x18) - -inst_2: -// rs1 == rd != rs2, rs1==x8, rs2==x9, rd==x8, rs1_val == 0x6d23c0488a6019c1 and rs2_val == 0x860bdaad7447a088 -// opcode: xperm.n ; op1:x8; op2:x9; dest:x8; op1val:0x6d23c0488a6019c1; op2val:0x860bdaad7447a088 -TEST_RR_OP(xperm.n, x8, x8, x9, 0x0000000000000000, 0x6d23c0488a6019c1, 0x860bdaad7447a088, x11, 16, x18) - -inst_3: -// rs1 == rs2 != rd, rs1==x29, rs2==x29, rd==x31, rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 -// opcode: xperm.n ; op1:x29; op2:x29; dest:x31; op1val:0x1f7d946f17168ab3; op2val:0x1f7d946f17168ab3 -TEST_RR_OP(xperm.n, x31, x29, x29, 0x0000000000000000, 0x1f7d946f17168ab3, 0x1f7d946f17168ab3, x11, 24, x18) - -inst_4: -// rs2 == rd != rs1, rs1==x6, rs2==x30, rd==x30, rs1_val == 0xef1d54db32b81f27 and rs2_val == 0x1826a804284fe16c -// opcode: xperm.n ; op1:x6; op2:x30; dest:x30; op1val:0xef1d54db32b81f27; op2val:0x1826a804284fe16c -TEST_RR_OP(xperm.n, x30, x6, x30, 0x0000000000000000, 0xef1d54db32b81f27, 0x1826a804284fe16c, x11, 32, x18) - -inst_5: -// rs1==x12, rs2==x21, rd==x0, rs1_val == 0xb694de26ad9e5431 and rs2_val == 0x293f9f6071fad878 -// opcode: xperm.n ; op1:x12; op2:x21; dest:x0; op1val:0xb694de26ad9e5431; op2val:0x293f9f6071fad878 -TEST_RR_OP(xperm.n, x0, x12, x21, 0x0000000000000000, 0xb694de26ad9e5431, 0x293f9f6071fad878, x11, 40, x18) - -inst_6: -// rs1==x0, rs2==x25, rd==x16, rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb -// opcode: xperm.n ; op1:x0; op2:x25; dest:x16; op1val:0x0; op2val:0x1aa1beebefb902cb -TEST_RR_OP(xperm.n, x16, x0, x25, 0x0000000000000000, 0x0, 0x1aa1beebefb902cb, x11, 48, x18) - -inst_7: -// rs1==x7, rs2==x31, rd==x10, rs1_val == 0x79bb7c341d3110bc and rs2_val == 0x8678f5e3d272e229 -// opcode: xperm.n ; op1:x7; op2:x31; dest:x10; op1val:0x79bb7c341d3110bc; op2val:0x8678f5e3d272e229 -TEST_RR_OP(xperm.n, x10, x7, x31, 0x0000000000000000, 0x79bb7c341d3110bc, 0x8678f5e3d272e229, x11, 56, x18) - -inst_8: -// rs1==x17, rs2==x4, rd==x14, rs1_val == 0xe2eaf4a09869be8c and rs2_val == 0x5b730cad91766f62 -// opcode: xperm.n ; op1:x17; op2:x4; dest:x14; op1val:0xe2eaf4a09869be8c; op2val:0x5b730cad91766f62 -TEST_RR_OP(xperm.n, x14, x17, x4, 0x0000000000000000, 0xe2eaf4a09869be8c, 0x5b730cad91766f62, x11, 64, x18) - -inst_9: -// rs1==x16, rs2==x27, rd==x17, rs1_val == 0xc0fe15dd0df9564b and rs2_val == 0xb22bbf7eb4c858fb -// opcode: xperm.n ; op1:x16; op2:x27; dest:x17; op1val:0xc0fe15dd0df9564b; op2val:0xb22bbf7eb4c858fb -TEST_RR_OP(xperm.n, x17, x16, x27, 0x0000000000000000, 0xc0fe15dd0df9564b, 0xb22bbf7eb4c858fb, x11, 72, x18) - -inst_10: -// rs1==x30, rs2==x28, rd==x27, rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 -// opcode: xperm.n ; op1:x30; op2:x28; dest:x27; op1val:0x4113ee60952acffe; op2val:0x53a66ed1dc80d916 -TEST_RR_OP(xperm.n, x27, x30, x28, 0x0000000000000000, 0x4113ee60952acffe, 0x53a66ed1dc80d916, x11, 80, x18) - -inst_11: -// rs1==x24, rs2==x12, rd==x15, rs1_val == 0x40a5ff526f38a9c7 and rs2_val == 0xb6f9706fb4f741aa -// opcode: xperm.n ; op1:x24; op2:x12; dest:x15; op1val:0x40a5ff526f38a9c7; op2val:0xb6f9706fb4f741aa -TEST_RR_OP(xperm.n, x15, x24, x12, 0x0000000000000000, 0x40a5ff526f38a9c7, 0xb6f9706fb4f741aa, x11, 88, x18) - -inst_12: -// rs1==x27, rs2==x7, rd==x6, rs1_val == 0x9bedfe390d6ddd9d and rs2_val == 0xd05668ae0fdb82bc -// opcode: xperm.n ; op1:x27; op2:x7; dest:x6; op1val:0x9bedfe390d6ddd9d; op2val:0xd05668ae0fdb82bc -TEST_RR_OP(xperm.n, x6, x27, x7, 0x0000000000000000, 0x9bedfe390d6ddd9d, 0xd05668ae0fdb82bc, x11, 96, x18) - -inst_13: -// rs1==x21, rs2==x0, rd==x13, rs1_val == 0xd75739f82ac177c6 and rs2_val == 0xaa6bb2bde9ed477d -// opcode: xperm.n ; op1:x21; op2:x0; dest:x13; op1val:0xd75739f82ac177c6; op2val:0x0 -TEST_RR_OP(xperm.n, x13, x21, x0, 0x0000000000000000, 0xd75739f82ac177c6, 0x0, x11, 104, x18) - -inst_14: -// rs1==x19, rs2==x26, rd==x25, rs1_val == 0x9a4e9ef10171f4df and rs2_val == 0x299c3bcf90efb625 -// opcode: xperm.n ; op1:x19; op2:x26; dest:x25; op1val:0x9a4e9ef10171f4df; op2val:0x299c3bcf90efb625 -TEST_RR_OP(xperm.n, x25, x19, x26, 0x0000000000000000, 0x9a4e9ef10171f4df, 0x299c3bcf90efb625, x11, 112, x18) - -inst_15: -// rs1==x2, rs2==x19, rd==x3, rs1_val == 0xd169a3f8cad5e297 and rs2_val == 0x1fc493caa371db42 -// opcode: xperm.n ; op1:x2; op2:x19; dest:x3; op1val:0xd169a3f8cad5e297; op2val:0x1fc493caa371db42 -TEST_RR_OP(xperm.n, x3, x2, x19, 0x0000000000000000, 0xd169a3f8cad5e297, 0x1fc493caa371db42, x11, 120, x18) - -inst_16: -// rs1==x4, rs2==x14, rd==x12, rs1_val == 0xd5b9fe5cf69bdcf3 and rs2_val == 0xf4c30307672f666d -// opcode: xperm.n ; op1:x4; op2:x14; dest:x12; op1val:0xd5b9fe5cf69bdcf3; op2val:0xf4c30307672f666d -TEST_RR_OP(xperm.n, x12, x4, x14, 0x0000000000000000, 0xd5b9fe5cf69bdcf3, 0xf4c30307672f666d, x11, 128, x18) - -inst_17: -// rs1==x5, rs2==x24, rd==x21, rs1_val == 0xe4921bf73047c198 and rs2_val == 0xa0569d765ebc64cb -// opcode: xperm.n ; op1:x5; op2:x24; dest:x21; op1val:0xe4921bf73047c198; op2val:0xa0569d765ebc64cb -TEST_RR_OP(xperm.n, x21, x5, x24, 0x0000000000000000, 0xe4921bf73047c198, 0xa0569d765ebc64cb, x11, 136, x18) - -inst_18: -// rs1==x26, rs2==x2, rd==x5, rs1_val == 0xfcc1b543c49cd65b and rs2_val == 0x2daf9ac7f5faf207 -// opcode: xperm.n ; op1:x26; op2:x2; dest:x5; op1val:0xfcc1b543c49cd65b; op2val:0x2daf9ac7f5faf207 -TEST_RR_OP(xperm.n, x5, x26, x2, 0x0000000000000000, 0xfcc1b543c49cd65b, 0x2daf9ac7f5faf207, x11, 144, x18) - -inst_19: -// rs1==x9, rs2==x3, rd==x2, rs1_val == 0x436f40f274b8de87 and rs2_val == 0x3459294ef273b44c -// opcode: xperm.n ; op1:x9; op2:x3; dest:x2; op1val:0x436f40f274b8de87; op2val:0x3459294ef273b44c -TEST_RR_OP(xperm.n, x2, x9, x3, 0x0000000000000000, 0x436f40f274b8de87, 0x3459294ef273b44c, x11, 152, x18) - -inst_20: -// rs1==x13, rs2==x1, rd==x7, rs1_val == 0x75a3adb3254a9493 and rs2_val == 0xc5521660f3a3c571 -// opcode: xperm.n ; op1:x13; op2:x1; dest:x7; op1val:0x75a3adb3254a9493; op2val:0xc5521660f3a3c571 -TEST_RR_OP(xperm.n, x7, x13, x1, 0x0000000000000000, 0x75a3adb3254a9493, 0xc5521660f3a3c571, x11, 160, x18) - -inst_21: -// rs1==x3, rs2==x10, rd==x20, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: xperm.n ; op1:x3; op2:x10; dest:x20; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(xperm.n, x20, x3, x10, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x11, 168, x7) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_22: -// rs1==x18, rs2==x8, rd==x28, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: xperm.n ; op1:x18; op2:x8; dest:x28; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(xperm.n, x28, x18, x8, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x2, 0, x7) - -inst_23: -// rs1==x1, rs2==x5, rd==x18, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: xperm.n ; op1:x1; op2:x5; dest:x18; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(xperm.n, x18, x1, x5, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x2, 8, x7) - -inst_24: -// rs1==x25, rs2==x20, rd==x11, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: xperm.n ; op1:x25; op2:x20; dest:x11; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(xperm.n, x11, x25, x20, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x2, 16, x7) - -inst_25: -// rs1==x20, rs2==x13, rd==x19, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: xperm.n ; op1:x20; op2:x13; dest:x19; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(xperm.n, x19, x20, x13, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x2, 24, x7) - -inst_26: -// rs1==x10, rs2==x11, rd==x24, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x24; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(xperm.n, x24, x10, x11, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x2, 32, x7) - -inst_27: -// rs1==x31, rs2==x23, rd==x26, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: xperm.n ; op1:x31; op2:x23; dest:x26; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(xperm.n, x26, x31, x23, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x2, 40, x7) - -inst_28: -// rs1==x23, rs2==x18, rd==x1, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: xperm.n ; op1:x23; op2:x18; dest:x1; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(xperm.n, x1, x23, x18, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x2, 48, x7) - -inst_29: -// rs1==x28, rs2==x17, rd==x4, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: xperm.n ; op1:x28; op2:x17; dest:x4; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(xperm.n, x4, x28, x17, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x2, 56, x7) - -inst_30: -// rs1==x14, rs2==x15, rd==x23, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: xperm.n ; op1:x14; op2:x15; dest:x23; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(xperm.n, x23, x14, x15, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x2, 64, x7) - -inst_31: -// rs1==x11, rs2==x6, rd==x9, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: xperm.n ; op1:x11; op2:x6; dest:x9; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(xperm.n, x9, x11, x6, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x2, 72, x7) - -inst_32: -// rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x2, 80, x7) - -inst_33: -// rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x2, 88, x7) - -inst_34: -// rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x2, 96, x7) - -inst_35: -// rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x2, 104, x7) - -inst_36: -// rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x2, 112, x7) - -inst_37: -// rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x2, 120, x7) - -inst_38: -// rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x2, 128, x7) - -inst_39: -// rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x2, 136, x7) - -inst_40: -// rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x2, 144, x7) - -inst_41: -// rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x2, 152, x7) - -inst_42: -// rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x2, 160, x7) - -inst_43: -// rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x2, 168, x7) - -inst_44: -// rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x2, 176, x7) - -inst_45: -// rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x2, 184, x7) - -inst_46: -// rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x2, 192, x7) - -inst_47: -// rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x2, 200, x7) - -inst_48: -// rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x2, 208, x7) - -inst_49: -// rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x2, 216, x7) - -inst_50: -// rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x2, 224, x7) - -inst_51: -// rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x2, 232, x7) - -inst_52: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x2, 240, x7) - -inst_53: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x2, 248, x7) - -inst_54: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x2, 256, x7) - -inst_55: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x2, 264, x7) - -inst_56: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x2, 272, x7) - -inst_57: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x2, 280, x7) - -inst_58: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x2, 288, x7) - -inst_59: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x2, 296, x7) - -inst_60: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x2, 304, x7) - -inst_61: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x2, 312, x7) - -inst_62: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x2, 320, x7) - -inst_63: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x2, 328, x7) - -inst_64: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x2, 336, x7) - -inst_65: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x2, 344, x7) - -inst_66: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x2, 352, x7) - -inst_67: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x2, 360, x7) - -inst_68: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x2, 368, x7) - -inst_69: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x2, 376, x7) - -inst_70: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x2, 384, x7) - -inst_71: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x2, 392, x7) - -inst_72: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x2, 400, x7) - -inst_73: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x2, 408, x7) - -inst_74: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x2, 416, x7) - -inst_75: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x2, 424, x7) - -inst_76: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x2, 432, x7) - -inst_77: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x2, 440, x7) - -inst_78: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x2, 448, x7) - -inst_79: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x2, 456, x7) - -inst_80: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x2, 464, x7) - -inst_81: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x2, 472, x7) - -inst_82: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x2, 480, x7) - -inst_83: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x2, 488, x7) - -inst_84: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x2, 496, x7) - -inst_85: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x2, 504, x7) - -inst_86: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x2, 512, x7) - -inst_87: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x2, 520, x7) - -inst_88: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x2, 528, x7) - -inst_89: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x2, 536, x7) - -inst_90: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x2, 544, x7) - -inst_91: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x2, 552, x7) - -inst_92: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x2, 560, x7) - -inst_93: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x2, 568, x7) - -inst_94: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x2, 576, x7) - -inst_95: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x2, 584, x7) - -inst_96: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x2, 592, x7) - -inst_97: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x2, 600, x7) - -inst_98: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x2, 608, x7) - -inst_99: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x2, 616, x7) - -inst_100: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x2, 624, x7) - -inst_101: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x2, 632, x7) - -inst_102: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x2, 640, x7) - -inst_103: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x2, 648, x7) - -inst_104: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x2, 656, x7) - -inst_105: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x2, 664, x7) - -inst_106: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x2, 672, x7) - -inst_107: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x2, 680, x7) - -inst_108: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x2, 688, x7) - -inst_109: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x2, 696, x7) - -inst_110: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x2, 704, x7) - -inst_111: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x2, 712, x7) - -inst_112: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x2, 720, x7) - -inst_113: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x2, 728, x7) - -inst_114: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x2, 736, x7) - -inst_115: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x2, 744, x7) - -inst_116: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x2, 752, x7) - -inst_117: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x2, 760, x7) - -inst_118: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x2, 768, x7) - -inst_119: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x2, 776, x7) - -inst_120: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x2, 784, x7) - -inst_121: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x2, 792, x7) - -inst_122: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x2, 800, x7) - -inst_123: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x2, 808, x7) - -inst_124: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x2, 816, x7) - -inst_125: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x2, 824, x7) - -inst_126: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x2, 832, x7) - -inst_127: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x2, 840, x7) - -inst_128: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x2, 848, x7) - -inst_129: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x2, 856, x7) - -inst_130: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x2, 864, x7) - -inst_131: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x2, 872, x7) - -inst_132: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x2, 880, x7) - -inst_133: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x2, 888, x7) - -inst_134: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x2, 896, x7) - -inst_135: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x2, 904, x7) - -inst_136: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x2, 912, x7) - -inst_137: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x2, 920, x7) - -inst_138: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x2, 928, x7) - -inst_139: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x2, 936, x7) - -inst_140: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x2, 944, x7) - -inst_141: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x2, 952, x7) - -inst_142: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x2, 960, x7) - -inst_143: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x2, 968, x7) - -inst_144: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x2, 976, x7) - -inst_145: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x2, 984, x7) - -inst_146: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x2, 992, x7) - -inst_147: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x2, 1000, x7) - -inst_148: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x2, 1008, x7) - -inst_149: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x2, 1016, x7) - -inst_150: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x2, 1024, x7) - -inst_151: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x2, 1032, x7) - -inst_152: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x2, 1040, x7) - -inst_153: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x2, 1048, x7) - -inst_154: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x2, 1056, x7) - -inst_155: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x2, 1064, x7) - -inst_156: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x2, 1072, x7) - -inst_157: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x2, 1080, x7) - -inst_158: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x2, 1088, x7) - -inst_159: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x2, 1096, x7) - -inst_160: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x2, 1104, x7) - -inst_161: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x2, 1112, x7) - -inst_162: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x2, 1120, x7) - -inst_163: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x2, 1128, x7) - -inst_164: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x2, 1136, x7) - -inst_165: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x2, 1144, x7) - -inst_166: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x2, 1152, x7) - -inst_167: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x2, 1160, x7) - -inst_168: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x2, 1168, x7) - -inst_169: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x2, 1176, x7) - -inst_170: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x2, 1184, x7) - -inst_171: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x2, 1192, x7) - -inst_172: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x2, 1200, x7) - -inst_173: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x2, 1208, x7) - -inst_174: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x2, 1216, x7) - -inst_175: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x2, 1224, x7) - -inst_176: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x2, 1232, x7) - -inst_177: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x2, 1240, x7) - -inst_178: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x2, 1248, x7) - -inst_179: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x2, 1256, x7) - -inst_180: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x2, 1264, x7) - -inst_181: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x2, 1272, x7) - -inst_182: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x2, 1280, x7) - -inst_183: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x2, 1288, x7) - -inst_184: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x2, 1296, x7) - -inst_185: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x2, 1304, x7) - -inst_186: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x2, 1312, x7) - -inst_187: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x2, 1320, x7) - -inst_188: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x2, 1328, x7) - -inst_189: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x2, 1336, x7) - -inst_190: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x2, 1344, x7) - -inst_191: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x2, 1352, x7) - -inst_192: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x2, 1360, x7) - -inst_193: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x2, 1368, x7) - -inst_194: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x2, 1376, x7) - -inst_195: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x2, 1384, x7) - -inst_196: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x2, 1392, x7) - -inst_197: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x2, 1400, x7) - -inst_198: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x2, 1408, x7) - -inst_199: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x2, 1416, x7) - -inst_200: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x2, 1424, x7) - -inst_201: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x2, 1432, x7) - -inst_202: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x2, 1440, x7) - -inst_203: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x2, 1448, x7) - -inst_204: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x2, 1456, x7) - -inst_205: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x2, 1464, x7) - -inst_206: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x2, 1472, x7) - -inst_207: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x2, 1480, x7) - -inst_208: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x2, 1488, x7) - -inst_209: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x2, 1496, x7) - -inst_210: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x2, 1504, x7) - -inst_211: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x2, 1512, x7) - -inst_212: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x2, 1520, x7) - -inst_213: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x2, 1528, x7) - -inst_214: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x2, 1536, x7) - -inst_215: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x2, 1544, x7) - -inst_216: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x2, 1552, x7) - -inst_217: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x2, 1560, x7) - -inst_218: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x2, 1568, x7) - -inst_219: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x2, 1576, x7) - -inst_220: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x2, 1584, x7) - -inst_221: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x2, 1592, x7) - -inst_222: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x2, 1600, x7) - -inst_223: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x2, 1608, x7) - -inst_224: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x2, 1616, x7) - -inst_225: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x2, 1624, x7) - -inst_226: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x2, 1632, x7) - -inst_227: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x2, 1640, x7) - -inst_228: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x2, 1648, x7) - -inst_229: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x2, 1656, x7) - -inst_230: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x2, 1664, x7) - -inst_231: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x2, 1672, x7) - -inst_232: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x2, 1680, x7) - -inst_233: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x2, 1688, x7) - -inst_234: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x2, 1696, x7) - -inst_235: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x2, 1704, x7) - -inst_236: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x2, 1712, x7) - -inst_237: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x2, 1720, x7) - -inst_238: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x2, 1728, x7) - -inst_239: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x2, 1736, x7) - -inst_240: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x2, 1744, x7) - -inst_241: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x2, 1752, x7) - -inst_242: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x2, 1760, x7) - -inst_243: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x2, 1768, x7) - -inst_244: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x2, 1776, x7) - -inst_245: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x2, 1784, x7) - -inst_246: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x2, 1792, x7) - -inst_247: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x2, 1800, x7) - -inst_248: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x2, 1808, x7) - -inst_249: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x2, 1816, x7) - -inst_250: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x2, 1824, x7) - -inst_251: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x2, 1832, x7) - -inst_252: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x2, 1840, x7) - -inst_253: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x2, 1848, x7) - -inst_254: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x2, 1856, x7) - -inst_255: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x2, 1864, x7) - -inst_256: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x2, 1872, x7) - -inst_257: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x2, 1880, x7) - -inst_258: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x2, 1888, x7) - -inst_259: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x2, 1896, x7) - -inst_260: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x2, 1904, x7) - -inst_261: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x2, 1912, x7) - -inst_262: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x2, 1920, x7) - -inst_263: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x2, 1928, x7) - -inst_264: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x2, 1936, x7) - -inst_265: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x2, 1944, x7) - -inst_266: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x2, 1952, x7) - -inst_267: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x2, 1960, x7) - -inst_268: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x2, 1968, x7) - -inst_269: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x2, 1976, x7) - -inst_270: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x2, 1984, x7) - -inst_271: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x2, 1992, x7) - -inst_272: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x2, 2000, x7) - -inst_273: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x2, 2008, x7) - -inst_274: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x2, 2016, x7) - -inst_275: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x2, 2024, x7) - -inst_276: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x2, 2032, x7) - -inst_277: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x2, 2040, x7) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_278: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x2, 0, x7) - -inst_279: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x2, 8, x7) - -inst_280: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x2, 16, x7) - -inst_281: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x2, 24, x7) - -inst_282: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x2, 32, x7) - -inst_283: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x2, 40, x7) - -inst_284: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x2, 48, x7) - -inst_285: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x2, 56, x7) - -inst_286: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x2, 64, x7) - -inst_287: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x2, 72, x7) - -inst_288: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x2, 80, x7) - -inst_289: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x2, 88, x7) - -inst_290: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x2, 96, x7) - -inst_291: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x2, 104, x7) - -inst_292: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x2, 112, x7) - -inst_293: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x2, 120, x7) - -inst_294: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x2, 128, x7) - -inst_295: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x2, 136, x7) - -inst_296: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x2, 144, x7) - -inst_297: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x2, 152, x7) - -inst_298: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x2, 160, x7) - -inst_299: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x2, 168, x7) - -inst_300: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x2, 176, x7) - -inst_301: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x2, 184, x7) - -inst_302: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x2, 192, x7) - -inst_303: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x2, 200, x7) - -inst_304: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x2, 208, x7) - -inst_305: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x2, 216, x7) - -inst_306: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x2, 224, x7) - -inst_307: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x2, 232, x7) - -inst_308: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x2, 240, x7) - -inst_309: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x2, 248, x7) - -inst_310: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x2, 256, x7) - -inst_311: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x2, 264, x7) - -inst_312: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x2, 272, x7) - -inst_313: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x2, 280, x7) - -inst_314: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x2, 288, x7) - -inst_315: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x2, 296, x7) - -inst_316: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x2, 304, x7) - -inst_317: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x2, 312, x7) - -inst_318: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x2, 320, x7) - -inst_319: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x2, 328, x7) - -inst_320: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x2, 336, x7) - -inst_321: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x2, 344, x7) - -inst_322: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x2, 352, x7) - -inst_323: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x2, 360, x7) - -inst_324: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x2, 368, x7) - -inst_325: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x2, 376, x7) - -inst_326: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x2, 384, x7) - -inst_327: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x2, 392, x7) - -inst_328: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x2, 400, x7) - -inst_329: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x2, 408, x7) - -inst_330: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x2, 416, x7) - -inst_331: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x2, 424, x7) - -inst_332: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x2, 432, x7) - -inst_333: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x2, 440, x7) - -inst_334: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x2, 448, x7) - -inst_335: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x2, 456, x7) - -inst_336: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x2, 464, x7) - -inst_337: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x2, 472, x7) - -inst_338: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x2, 480, x7) - -inst_339: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x2, 488, x7) - -inst_340: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x2, 496, x7) - -inst_341: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x2, 504, x7) - -inst_342: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x2, 512, x7) - -inst_343: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x2, 520, x7) - -inst_344: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x2, 528, x7) - -inst_345: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x2, 536, x7) - -inst_346: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x2, 544, x7) - -inst_347: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x2, 552, x7) - -inst_348: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x2, 560, x7) - -inst_349: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x2, 568, x7) - -inst_350: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x2, 576, x7) - -inst_351: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x2, 584, x7) - -inst_352: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x2, 592, x7) - -inst_353: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x2, 600, x7) - -inst_354: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x2, 608, x7) - -inst_355: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x2, 616, x7) - -inst_356: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x2, 624, x7) - -inst_357: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x2, 632, x7) - -inst_358: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x2, 640, x7) - -inst_359: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x2, 648, x7) - -inst_360: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x2, 656, x7) - -inst_361: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x2, 664, x7) - -inst_362: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x2, 672, x7) - -inst_363: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x2, 680, x7) - -inst_364: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x2, 688, x7) - -inst_365: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x2, 696, x7) - -inst_366: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x2, 704, x7) - -inst_367: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x2, 712, x7) - -inst_368: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x2, 720, x7) - -inst_369: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x2, 728, x7) - -inst_370: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x2, 736, x7) - -inst_371: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x2, 744, x7) - -inst_372: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x2, 752, x7) - -inst_373: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x2, 760, x7) - -inst_374: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x2, 768, x7) - -inst_375: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x2, 776, x7) - -inst_376: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x2, 784, x7) - -inst_377: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x2, 792, x7) - -inst_378: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x2, 800, x7) - -inst_379: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x2, 808, x7) - -inst_380: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x2, 816, x7) - -inst_381: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x2, 824, x7) - -inst_382: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x2, 832, x7) - -inst_383: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x2, 840, x7) - -inst_384: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x2, 848, x7) - -inst_385: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x2, 856, x7) - -inst_386: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x2, 864, x7) - -inst_387: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x2, 872, x7) - -inst_388: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x2, 880, x7) - -inst_389: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x2, 888, x7) - -inst_390: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x2, 896, x7) - -inst_391: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x2, 904, x7) - -inst_392: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x2, 912, x7) - -inst_393: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x2, 920, x7) - -inst_394: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x2, 928, x7) - -inst_395: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x2, 936, x7) - -inst_396: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x2, 944, x7) - -inst_397: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x2, 952, x7) - -inst_398: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x2, 960, x7) - -inst_399: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x2, 968, x7) - -inst_400: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x2, 976, x7) - -inst_401: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x2, 984, x7) - -inst_402: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x2, 992, x7) - -inst_403: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x2, 1000, x7) - -inst_404: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x2, 1008, x7) - -inst_405: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x2, 1016, x7) - -inst_406: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x2, 1024, x7) - -inst_407: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x2, 1032, x7) - -inst_408: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x2, 1040, x7) - -inst_409: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x2, 1048, x7) - -inst_410: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x2, 1056, x7) - -inst_411: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x2, 1064, x7) - -inst_412: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x2, 1072, x7) - -inst_413: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x2, 1080, x7) - -inst_414: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x2, 1088, x7) - -inst_415: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x2, 1096, x7) - -inst_416: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x2, 1104, x7) - -inst_417: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x2, 1112, x7) - -inst_418: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x2, 1120, x7) - -inst_419: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x2, 1128, x7) - -inst_420: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x2, 1136, x7) - -inst_421: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x2, 1144, x7) - -inst_422: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x2, 1152, x7) - -inst_423: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x2, 1160, x7) - -inst_424: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x2, 1168, x7) - -inst_425: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x2, 1176, x7) - -inst_426: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x2, 1184, x7) - -inst_427: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x2, 1192, x7) - -inst_428: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x2, 1200, x7) - -inst_429: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x2, 1208, x7) - -inst_430: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x2, 1216, x7) - -inst_431: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x2, 1224, x7) - -inst_432: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x2, 1232, x7) - -inst_433: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x2, 1240, x7) - -inst_434: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x2, 1248, x7) - -inst_435: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x2, 1256, x7) - -inst_436: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x2, 1264, x7) - -inst_437: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x2, 1272, x7) - -inst_438: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x2, 1280, x7) - -inst_439: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x2, 1288, x7) - -inst_440: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x2, 1296, x7) - -inst_441: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x2, 1304, x7) - -inst_442: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x2, 1312, x7) - -inst_443: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x2, 1320, x7) - -inst_444: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x2, 1328, x7) - -inst_445: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x2, 1336, x7) - -inst_446: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x2, 1344, x7) - -inst_447: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x2, 1352, x7) - -inst_448: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x2, 1360, x7) - -inst_449: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x2, 1368, x7) - -inst_450: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x2, 1376, x7) - -inst_451: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x2, 1384, x7) - -inst_452: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x2, 1392, x7) - -inst_453: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x2, 1400, x7) - -inst_454: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x2, 1408, x7) - -inst_455: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x2, 1416, x7) - -inst_456: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x2, 1424, x7) - -inst_457: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x2, 1432, x7) - -inst_458: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x2, 1440, x7) - -inst_459: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x2, 1448, x7) - -inst_460: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x2, 1456, x7) - -inst_461: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x2, 1464, x7) - -inst_462: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x2, 1472, x7) - -inst_463: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x2, 1480, x7) - -inst_464: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x2, 1488, x7) - -inst_465: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x2, 1496, x7) - -inst_466: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x2, 1504, x7) - -inst_467: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x2, 1512, x7) - -inst_468: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x2, 1520, x7) - -inst_469: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x2, 1528, x7) - -inst_470: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x2, 1536, x7) - -inst_471: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x2, 1544, x7) - -inst_472: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x2, 1552, x7) - -inst_473: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x2, 1560, x7) - -inst_474: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x2, 1568, x7) - -inst_475: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x2, 1576, x7) - -inst_476: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x2, 1584, x7) - -inst_477: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x2, 1592, x7) - -inst_478: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x2, 1600, x7) - -inst_479: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x2, 1608, x7) - -inst_480: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x2, 1616, x7) - -inst_481: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x2, 1624, x7) - -inst_482: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x2, 1632, x7) - -inst_483: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x2, 1640, x7) - -inst_484: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x2, 1648, x7) - -inst_485: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x2, 1656, x7) - -inst_486: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x2, 1664, x7) - -inst_487: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x2, 1672, x7) - -inst_488: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x2, 1680, x7) - -inst_489: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x2, 1688, x7) - -inst_490: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x2, 1696, x7) - -inst_491: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x2, 1704, x7) - -inst_492: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x2, 1712, x7) - -inst_493: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x2, 1720, x7) - -inst_494: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x2, 1728, x7) - -inst_495: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x2, 1736, x7) - -inst_496: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x2, 1744, x7) - -inst_497: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x2, 1752, x7) - -inst_498: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x2, 1760, x7) - -inst_499: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x2, 1768, x7) - -inst_500: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x2, 1776, x7) - -inst_501: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x2, 1784, x7) - -inst_502: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x2, 1792, x7) - -inst_503: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x2, 1800, x7) - -inst_504: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x2, 1808, x7) - -inst_505: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x2, 1816, x7) - -inst_506: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x2, 1824, x7) - -inst_507: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x2, 1832, x7) - -inst_508: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x2, 1840, x7) - -inst_509: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x2, 1848, x7) - -inst_510: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x2, 1856, x7) - -inst_511: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x2, 1864, x7) - -inst_512: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x2, 1872, x7) - -inst_513: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x2, 1880, x7) - -inst_514: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x2, 1888, x7) - -inst_515: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x2, 1896, x7) - -inst_516: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x2, 1904, x7) - -inst_517: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x2, 1912, x7) - -inst_518: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x2, 1920, x7) - -inst_519: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x2, 1928, x7) - -inst_520: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x2, 1936, x7) - -inst_521: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x2, 1944, x7) - -inst_522: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x2, 1952, x7) - -inst_523: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x2, 1960, x7) - -inst_524: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x2, 1968, x7) - -inst_525: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x2, 1976, x7) - -inst_526: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x2, 1984, x7) - -inst_527: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x2, 1992, x7) - -inst_528: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x2, 2000, x7) - -inst_529: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x2, 2008, x7) - -inst_530: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x2, 2016, x7) - -inst_531: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x2, 2024, x7) - -inst_532: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x2, 2032, x7) - -inst_533: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x2, 2040, x7) -RVTEST_SIGBASE( x2,signature_x2_2) - -inst_534: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x2, 0, x7) - -inst_535: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x2, 8, x7) - -inst_536: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x2, 16, x7) - -inst_537: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x2, 24, x7) - -inst_538: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x2, 32, x7) - -inst_539: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x2, 40, x7) - -inst_540: -// rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6af29145404fd8ed; op2val:0x990e75eafff569c2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6af29145404fd8ed, 0x990e75eafff569c2, x2, 48, x7) - -inst_541: -// rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1f7d946f17168ab3; op2val:0x66eae3d9bbb4f560 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1f7d946f17168ab3, 0x66eae3d9bbb4f560, x2, 56, x7) - -inst_542: -// rs1_val == 0xb694de26ad9e5431 and rs2_val == 0x293f9f6071fad878 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb694de26ad9e5431; op2val:0x293f9f6071fad878 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb694de26ad9e5431, 0x293f9f6071fad878, x2, 64, x7) - -inst_543: -// rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x987daa20b858e304; op2val:0x1aa1beebefb902cb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x987daa20b858e304, 0x1aa1beebefb902cb, x2, 72, x7) - -inst_544: -// rs1_val == 0xd75739f82ac177c6 and rs2_val == 0xaa6bb2bde9ed477d -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd75739f82ac177c6; op2val:0xaa6bb2bde9ed477d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd75739f82ac177c6, 0xaa6bb2bde9ed477d, x2, 80, x7) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x11_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x11_1: - .fill 22*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x2_2: - .fill 11*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif -sig_end_canary: -.int 0x0 -rvtest_sig_end: - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/Makefile b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/Makefile deleted file mode 100644 index 18dc245c8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/Makefile +++ /dev/null @@ -1,3 +0,0 @@ -include ../../Makefile.include - -$(eval $(call compile_template,-march=rv64i -mabi=lp64 -DXLEN=$(XLEN))) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/Makefrag deleted file mode 100644 index e6b9e250a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/Makefrag +++ /dev/null @@ -1,34 +0,0 @@ -# RISC-V Architecture Test RV64I Makefrag -# -# Copyright (c) 2017, Codasip Ltd. -# All rights reserved. -# -# Redistribution and use in source and binary forms, with or without -# modification, are permitted provided that the following conditions are met: -# * Redistributions of source code must retain the above copyright -# notice, this list of conditions and the following disclaimer. -# * Redistributions in binary form must reproduce the above copyright -# notice, this list of conditions and the following disclaimer in the -# documentation and/or other materials provided with the distribution. -# * Neither the name of the Codasip Ltd. nor the -# names of its contributors may be used to endorse or promote products -# derived from this software without specific prior written permission. -# -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS -# IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -# THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -# PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL Codasip Ltd. BE LIABLE FOR ANY -# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND -# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF -# THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -# -# Description: Makefrag for RV64I architectural tests - -rv64i_sc_tests = \ - -rv64i_tests = $(addsuffix .elf, $(rv64i_sc_tests)) - -target_tests += $(rv64i_tests) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/src/Fencei.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/src/Fencei.S deleted file mode 100644 index 01773ae04..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/src/Fencei.S +++ /dev/null @@ -1,99 +0,0 @@ -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the fence.i instruction of the RISC-V Zifencei extension. -// -#include "model_test.h" -#include "arch_test.h" - -# Test Virtual Machine (TVM) used by program. -RVTEST_ISA("RV64I_Zifencei") - -# Test code region -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN -RVMODEL_IO_WRITE_STR(x31, "# Test Begin\n") - -#ifdef TEST_CASE_1 - RVTEST_CASE(1,"//check ISA:=regex(.*64.*); check ISA:=regex(.*I.*); check ISA:=regex(.*Zifencei.*); def TEST_CASE_1=True", fencei) - - # --------------------------------------------------------------------------------------------- - RVMODEL_IO_WRITE_STR(x31, "# Test part A - test fence\n"); - - # Addresses for test data and results - la x16, test_A_data - la x17, test_A_res - - # Register initialization - li x3, 0 - - # Load testdata - lw x1, 0(x16) - lw x2, 4(x16) - - # Test - la x20, instr_A_src - la x21, instr_A_dst - lw x15, 0(x20) - sw x15, 0(x21) - fence.i -instr_A_dst: - lui x2, 0 - - # Store results - sw x1, 0(x17) - sw x2, 4(x17) - sw x3, 8(x17) - sw x15, 12(x17) - - // - // Assert - // - RVMODEL_IO_ASSERT_GPR_EQ(x17, x2, 0x00000012) - RVMODEL_IO_ASSERT_GPR_EQ(x17, x3, 0x00000042) - RVMODEL_IO_ASSERT_GPR_EQ(x17, x15, 0x001101B3) - - RVMODEL_IO_WRITE_STR(x31, "# Test part A1 - Complete\n"); - - RVMODEL_IO_WRITE_STR(x31, "# Test End\n") - -#endif - - # --------------------------------------------------------------------------------------------- - # HALT - RVMODEL_HALT - -RVTEST_CODE_END - -RVTEST_DATA_BEGIN -# Input data section. - .data - .align 4 - -instr_A_src: - add x3, x2, x1 - -test_A_data: - .word 0x30 - .word 0x12 -RVTEST_DATA_END - -# Output data section. -RVMODEL_DATA_BEGIN -test_A_res: - .fill 4, 4, 0xdeadbeef - -#ifdef rvtest_gpr_save -gpr_save: - .fill 32*(XLEN/32), 4, 0xdeadbeef -#endif - -sig_end_canary: -.int 0x0 -rvtest_sig_end: -RVMODEL_DATA_END