From 94e83ccf1fff0b3faa9ad82c1c13c2072b9689f1 Mon Sep 17 00:00:00 2001 From: David Harris Date: Wed, 29 Jan 2025 06:40:15 -0800 Subject: [PATCH] Removed obsolete testbench/coverage --- testbench/coverage/test_fencei_coverage.svh | 25 ---- .../coverage/test_fencei_coverage_init.svh | 4 - testbench/coverage/test_pmp_coverage.sv | 108 ------------------ 3 files changed, 137 deletions(-) delete mode 100644 testbench/coverage/test_fencei_coverage.svh delete mode 100644 testbench/coverage/test_fencei_coverage_init.svh delete mode 100644 testbench/coverage/test_pmp_coverage.sv diff --git a/testbench/coverage/test_fencei_coverage.svh b/testbench/coverage/test_fencei_coverage.svh deleted file mode 100644 index bb492b1cb..000000000 --- a/testbench/coverage/test_fencei_coverage.svh +++ /dev/null @@ -1,25 +0,0 @@ -typedef RISCV_instruction #(ILEN, XLEN, FLEN, VLEN, NHART, RETIRE) test_ins_rv64i_t; - -covergroup test_fencei_cg with function sample(test_ins_rv64i_t ins); - option.per_instance = 1; - option.comment = "Fence.I"; - - cp_asm_count : coverpoint ins.ins_str == "fence.i" iff (ins.trap == 0 ) { - option.comment = "Number of times instruction is executed"; - bins count[] = {1}; - } -endgroup - -function void test_fencei_sample(int hart, int issue); - test_ins_rv64i_t ins; - - case (traceDataQ[hart][issue][0].inst_name) - "fenci" : begin - ins = new(hart, issue, traceDataQ); - test_fencei_cg.sample(ins); - end - endcase - -endfunction - - diff --git a/testbench/coverage/test_fencei_coverage_init.svh b/testbench/coverage/test_fencei_coverage_init.svh deleted file mode 100644 index c07507d43..000000000 --- a/testbench/coverage/test_fencei_coverage_init.svh +++ /dev/null @@ -1,4 +0,0 @@ -test_fencei_cg = new(); test_fencei_cg.set_inst_name("obj_fencei"); - -// test_fencei_cg = new(); -//test_fencei_cg.set_inst_name("obj_fencei"); diff --git a/testbench/coverage/test_pmp_coverage.sv b/testbench/coverage/test_pmp_coverage.sv deleted file mode 100644 index 2c1cbbfaa..000000000 --- a/testbench/coverage/test_pmp_coverage.sv +++ /dev/null @@ -1,108 +0,0 @@ -module test_pmp_coverage import cvw::*; #(parameter cvw_t P) (input clk); - -// Ensure the covergroup is defined correctly -covergroup cg_priv_mode @(posedge clk); - coverpoint dut.core.ifu.PrivilegeModeW { - bins user = {2'b00}; - bins superv = {2'b01}; - bins hyperv = {2'b10}; - bins mach = {2'b11}; - } -endgroup - -covergroup cg_PMPConfig @(posedge clk); - coverpoint dut.core.ifu.PMPCFG_ARRAY_REGW[0][0] { - bins ones = {1}; - bins zeros = {0}; - } -endgroup - - -function bit [1:0] getPMPConfigSlice(int index); - return dut.core.ifu.immu.immu.PMPCFG_ARRAY_REGW[index][4:3]; -endfunction - -//if (P.PMP_ENTRIES > 0) begin : pmp - covergroup cg_pmpcfg_mode @(posedge clk); - coverpoint dut.core.ifu.immu.immu.PMPCFG_ARRAY_REGW[0][4:3] { - bins off = {2'b00}; - bins tor = {2'b01}; - bins na4 = {2'b10}; - bins napot = {2'b11}; - } - - - coverpoint dut.core.ifu.immu.immu.PMPCFG_ARRAY_REGW[1][4:3] { - bins off = {2'b00}; - bins tor = {2'b01}; - bins na4 = {2'b10}; - bins napot = {2'b11}; - } - - coverpoint dut.core.ifu.immu.immu.PMPCFG_ARRAY_REGW[2][4:3] { - bins off = {2'b00}; - bins tor = {2'b01}; - bins na4 = {2'b10}; - bins napot = {2'b11}; - } - - coverpoint dut.core.ifu.immu.immu.PMPCFG_ARRAY_REGW[3][4:3] { - bins off = {2'b00}; - bins tor = {2'b01}; - bins na4 = {2'b10}; - bins napot = {2'b11}; - } - - coverpoint dut.core.ifu.immu.immu.PMPCFG_ARRAY_REGW[4][4:3] { - bins off = {2'b00}; - bins tor = {2'b01}; - bins na4 = {2'b10}; - bins napot = {2'b11}; - } - - coverpoint dut.core.ifu.immu.immu.PMPCFG_ARRAY_REGW[5][4:3] { - bins off = {2'b00}; - bins tor = {2'b01}; - bins na4 = {2'b10}; - bins napot = {2'b11}; - } - - coverpoint dut.core.ifu.immu.immu.PMPCFG_ARRAY_REGW[6][4:3] { - bins off = {2'b00}; - bins tor = {2'b01}; - bins na4 = {2'b10}; - bins napot = {2'b11}; - } - - coverpoint dut.core.ifu.immu.immu.PMPCFG_ARRAY_REGW[7][4:3] { - bins off = {2'b00}; - bins tor = {2'b01}; - bins na4 = {2'b10}; - bins napot = {2'b11}; - } - endgroup -//end - - -// Ensure that the instantiation and sampling of covergroups are within the correct procedural context -initial begin - cg_priv_mode privmodeCG = new(); // Instantiate the privilege mode covergroup - cg_PMPConfig pmpconfigCG = new(); // Instantiate the PMP config covergroup - cg_pmpcfg_mode pmpcfgmodeCG = new(); - - forever begin - @(posedge clk) begin - privmodeCG.sample(); // Sample the privilege mode covergroup - pmpconfigCG.sample(); // Sample the PMP config covergroupi - pmpcfgmodeCG.sample(); - end - end -end - - -endmodule - - - - -