diff --git a/wally-pipelined/config/busybear/wally-config.vh b/wally-pipelined/config/busybear/wally-config.vh index baa035bd2..26ebe7f7e 100644 --- a/wally-pipelined/config/busybear/wally-config.vh +++ b/wally-pipelined/config/busybear/wally-config.vh @@ -54,6 +54,9 @@ `define MEM_ICACHE 0 `define MEM_VIRTMEM 0 +// Address space +`define RESET_VECTOR 64'h0000000000001000 + // Test modes // Tie GPIO outputs back to inputs diff --git a/wally-pipelined/regression/wally-busybear.do b/wally-pipelined/regression/wally-busybear.do index 50c716ed1..58ae5c177 100644 --- a/wally-pipelined/regression/wally-busybear.do +++ b/wally-pipelined/regression/wally-busybear.do @@ -26,7 +26,7 @@ vlib work # suppress spurious warnngs about # "Extra checking for conflicts with always_comb done at vopt time" # because vsim will run vopt -vlog src/*.sv -suppress 2583 +vlog +incdir+../config/busybear ../testbench/*.sv ../src/*.sv -suppress 2583 # start and run simulation # remove +acc flag for faster sim during regressions if there is no need to access internal signals diff --git a/wally-pipelined/testbench/testbench-busybear.sv b/wally-pipelined/testbench/testbench-busybear.sv index b76f4c928..08222a03b 100644 --- a/wally-pipelined/testbench/testbench-busybear.sv +++ b/wally-pipelined/testbench/testbench-busybear.sv @@ -21,7 +21,7 @@ module testbench_busybear(); assign DataAccessFaultM = 0; // instantiate processor and memories - wallypipelinedhart #(.PCSTART('h1000)) dut(.ALUResultM(DataAdrM), .*); + wallypipelinedhart dut(.ALUResultM(DataAdrM), .*); // initialize test initial @@ -32,7 +32,7 @@ module testbench_busybear(); // read pc trace file integer data_file_PC, scan_file_PC; initial begin - data_file_PC = $fopen("busybear-testgen/parsedPC.txt", "r"); + data_file_PC = $fopen("../busybear-testgen/parsedPC.txt", "r"); if (data_file_PC == 0) begin $display("file couldn't be opened"); $stop; @@ -42,7 +42,7 @@ module testbench_busybear(); // read register trace file integer data_file_rf, scan_file_rf; initial begin - data_file_rf = $fopen("busybear-testgen/parsedRegs.txt", "r"); + data_file_rf = $fopen("../busybear-testgen/parsedRegs.txt", "r"); if (data_file_rf == 0) begin $display("file couldn't be opened"); $stop; @@ -52,7 +52,7 @@ module testbench_busybear(); // read memreads trace file integer data_file_memR, scan_file_memR; initial begin - data_file_memR = $fopen("busybear-testgen/parsedMemRead.txt", "r"); + data_file_memR = $fopen("../busybear-testgen/parsedMemRead.txt", "r"); if (data_file_memR == 0) begin $display("file couldn't be opened"); $stop; @@ -62,7 +62,7 @@ module testbench_busybear(); // read memwrite trace file integer data_file_memW, scan_file_memW; initial begin - data_file_memW = $fopen("busybear-testgen/parsedMemWrite.txt", "r"); + data_file_memW = $fopen("../busybear-testgen/parsedMemWrite.txt", "r"); if (data_file_memW == 0) begin $display("file couldn't be opened"); $stop;