From 004aeda36206d3799b033125b9346c9d68dfd888 Mon Sep 17 00:00:00 2001 From: David Harris <74973295+davidharrishmc@users.noreply.github.com> Date: Tue, 13 Jun 2023 04:17:56 -0700 Subject: [PATCH] Revert "Update for new layout of ImperasDV files" --- sim/imperas.ic | 5 ++--- sim/run-imperas-linux.sh | 4 ++-- sim/run-imperasdv-tests.bash | 2 +- sim/sim-imperas | 2 +- sim/wally-imperas.do | 14 +++++++------- testbench/testbench-linux-imperas.sv | 4 ++-- testbench/testbench_imperas.sv | 6 +++--- 7 files changed, 18 insertions(+), 19 deletions(-) diff --git a/sim/imperas.ic b/sim/imperas.ic index 5a5d86b34..d561b22f2 100644 --- a/sim/imperas.ic +++ b/sim/imperas.ic @@ -1,8 +1,7 @@ #--mpdconsole #--gdbconsole -#--showoverrides -#--showcommands -#--showcommands +--showoverrides +--showcommands # Core settings --override cpu/priv_version=1.12 diff --git a/sim/run-imperas-linux.sh b/sim/run-imperas-linux.sh index becb39dbc..fd265cb9e 100755 --- a/sim/run-imperas-linux.sh +++ b/sim/run-imperas-linux.sh @@ -3,8 +3,8 @@ #export RISCV=/scratch/moore/RISCV export IMPERAS_TOOLS=$(pwd)/imperas.ic -#export OTHERFLAGS="+IDV_TRACE2LOG=1" -#export OTHERFLAGS="+IDV_TRACE2LOG=1 +IDV_TRACE2LOG_AFTER=10500000" +export OTHERFLAGS="+TRACE2LOG_ENABLE=1" +#export OTHERFLAGS="+TRACE2LOG_ENABLE=1 +TRACE2LOG_AFTER=10500000" export OTHERFLAGS="" vsim -c -do "do wally-linux-imperas.do buildroot buildroot-no-trace $::env(RISCV) 0 0 0" diff --git a/sim/run-imperasdv-tests.bash b/sim/run-imperasdv-tests.bash index 030e60918..a9facd0e4 100755 --- a/sim/run-imperasdv-tests.bash +++ b/sim/run-imperasdv-tests.bash @@ -8,7 +8,7 @@ mkdir -p results ALL=$(find ${WALLY}/external/ImperasDV-HMC/tests/riscof/work/riscv-arch-test/rv64i_m -name "ref" -type d) export IMPERAS_TOOLS=$(pwd)/imperas.ic -export OTHERFLAGS="+IDV_TRACE2LOG=1 VERBOSE=1" +export OTHERFLAGS="+TRACE2LOG_ENABLE=1 VERBOSE=1" for t in $ALL; do export TESTDIR=$(dirname ${t}) diff --git a/sim/sim-imperas b/sim/sim-imperas index 78e380298..aa1dc3a01 100755 --- a/sim/sim-imperas +++ b/sim/sim-imperas @@ -27,6 +27,6 @@ ## and limitations under the License. ################################################################################################ IMPERAS_TOOLS=$(pwd)/imperas.ic \ -OTHERFLAGS="+IDV_TRACE2LOG=1 VERBOSE=1" \ +OTHERFLAGS="+TRACE2LOG_ENABLE=1 VERBOSE=1" \ TESTDIR=${WALLY}/tests/riscof/work/wally-riscv-arch-test/rv64i_m/privilege/src/Lee.S/ \ vsim -do "do wally-imperas.do rv64gc" diff --git a/sim/wally-imperas.do b/sim/wally-imperas.do index baceaa86a..640bae491 100644 --- a/sim/wally-imperas.do +++ b/sim/wally-imperas.do @@ -30,13 +30,13 @@ vlog +incdir+../config/$1 \ +define+USE_IMPERAS_DV \ +incdir+$env(IMPERAS_HOME)/ImpPublic/include/host \ +incdir+$env(IMPERAS_HOME)/ImpProprietary/include/host \ - $env(IMPERAS_HOME)/ImpPublic/source/host/rvvi/rvviApiPkg.sv \ - $env(IMPERAS_HOME)/ImpPublic/source/host/rvvi/rvviTrace.sv \ - $env(IMPERAS_HOME)/ImpProprietary/source/host/idv/idvPkg.sv \ - $env(IMPERAS_HOME)/ImpProprietary/source/host/idv/idvApiPkg.sv \ - $env(IMPERAS_HOME)/ImpProprietary/source/host/idv/trace2api.sv \ - $env(IMPERAS_HOME)/ImpProprietary/source/host/idv/trace2log.sv \ - $env(IMPERAS_HOME)/ImpProprietary/source/host/idv/trace2cov.sv \ + $env(IMPERAS_HOME)/ImpPublic/source/host/rvvi/rvvi-api-pkg.sv \ + $env(IMPERAS_HOME)/ImpPublic/source/host/rvvi/rvvi-trace.sv \ + $env(IMPERAS_HOME)/ImpProprietary/source/host/rvvi/rvvi-pkg.sv \ + $env(IMPERAS_HOME)/ImpProprietary/source/host/rvvi/imperasDV-api-pkg.sv \ + $env(IMPERAS_HOME)/ImpProprietary/source/host/rvvi/trace2api.sv \ + $env(IMPERAS_HOME)/ImpProprietary/source/host/rvvi/trace2log.sv \ + $env(IMPERAS_HOME)/ImpProprietary/source/host/rvvi/trace2cov.sv \ ../src/wally/cvw.sv \ ../testbench/testbench_imperas.sv \ ../testbench/common/*.sv \ diff --git a/testbench/testbench-linux-imperas.sv b/testbench/testbench-linux-imperas.sv index 88d20d06e..223e3529b 100644 --- a/testbench/testbench-linux-imperas.sv +++ b/testbench/testbench-linux-imperas.sv @@ -30,7 +30,7 @@ // `define USE_IMPERAS_DV `ifdef USE_IMPERAS_DV - `include "idv/idv.svh" + `include "rvvi/imperasDV.svh" `endif `define DEBUG_TRACE 0 @@ -302,7 +302,7 @@ module testbench; initial begin int iter; #1; - IDV_MAX_ERRS = 3; + MAX_ERRS = 3; // Initialize REF (do this before initializing the DUT) if (!rvviVersionCheck(RVVI_API_VERSION)) begin diff --git a/testbench/testbench_imperas.sv b/testbench/testbench_imperas.sv index 7f0de3c31..b6d22feaf 100644 --- a/testbench/testbench_imperas.sv +++ b/testbench/testbench_imperas.sv @@ -31,16 +31,16 @@ // `define USE_IMPERAS_DV `ifdef USE_IMPERAS_DV - `include "idv/idv.svh" + `include "rvvi/imperasDV.svh" `endif module testbench; parameter DEBUG=0; `ifdef USE_IMPERAS_DV + import rvviPkg::*; import rvviApiPkg::*; import idvApiPkg::*; - import idvPkg::*; `endif logic clk; @@ -140,7 +140,7 @@ module testbench; initial begin - IDV_MAX_ERRS = 3; + MAX_ERRS = 3; // Initialize REF (do this before initializing the DUT) if (!rvviVersionCheck(RVVI_API_VERSION)) begin