From 55e019c9ddfe50ed2fc5adf30cf6b89454323f41 Mon Sep 17 00:00:00 2001 From: "James E. Stine" Date: Sat, 9 Mar 2024 19:00:31 -0600 Subject: [PATCH] update removal of underscores from kmu --- src/ieu/kmu/packer.sv | 49 +++++++++--------- src/ieu/kmu/zbkb.sv | 3 +- src/ieu/kmu/zbkx.sv | 15 +++--- src/ieu/kmu/zipper.sv | 6 +-- src/ieu/kmu/{zknd_32.sv => zknd32.sv} | 9 ++-- src/ieu/kmu/{zknd_64.sv => zknd64.sv} | 11 ++-- src/ieu/kmu/{zkne_32.sv => zkne32.sv} | 9 ++-- src/ieu/kmu/{zkne_64.sv => zkne64.sv} | 11 ++-- src/ieu/kmu/zknh32.sv | 71 ++++++++++++++++++++++++++ src/ieu/kmu/{zknh_64.sv => zknh64.sv} | 55 ++++++++++---------- src/ieu/kmu/zknh_32.sv | 72 --------------------------- 11 files changed, 150 insertions(+), 161 deletions(-) rename src/ieu/kmu/{zknd_32.sv => zknd32.sv} (90%) rename src/ieu/kmu/{zknd_64.sv => zknd64.sv} (88%) rename src/ieu/kmu/{zkne_32.sv => zkne32.sv} (90%) rename src/ieu/kmu/{zkne_64.sv => zkne64.sv} (88%) create mode 100644 src/ieu/kmu/zknh32.sv rename src/ieu/kmu/{zknh_64.sv => zknh64.sv} (53%) delete mode 100644 src/ieu/kmu/zknh_32.sv diff --git a/src/ieu/kmu/packer.sv b/src/ieu/kmu/packer.sv index 1e0c3f542..3f17b16d0 100644 --- a/src/ieu/kmu/packer.sv +++ b/src/ieu/kmu/packer.sv @@ -30,30 +30,29 @@ module packer #(parameter WIDTH=32) ( input logic [2:0] PackSelect, output logic [WIDTH-1:0] PackResult); - logic [WIDTH/2-1:0] low_half, high_half; - logic [7:0] low_halfh, high_halfh; - logic [15:0] low_halfw, high_halfw; - - logic [WIDTH-1:0] Pack; - logic [WIDTH-1:0] PackH; - logic [WIDTH-1:0] PackW; + logic [WIDTH/2-1:0] lowhalf, highhalf; + logic [7:0] lowhalfh, highhalfh; + logic [15:0] lowhalfw, highhalfw; - assign low_half = A[WIDTH/2-1:0]; - assign high_half = B[WIDTH/2-1:0]; - assign low_halfh = A[7:0]; - assign high_halfh = B[7:0]; - assign low_halfw = A[15:0]; - assign high_halfw = B[15:0]; - - assign Pack = {high_half, low_half}; - assign PackH = {{(WIDTH-16){1'b0}}, high_halfh, low_halfh}; - assign PackW = {{(WIDTH-32){high_halfw[15]}}, high_halfw, low_halfw}; - - always_comb - begin - if (PackSelect[1:0] == 2'b11) PackResult = PackH; - else if (PackSelect[2] == 1'b0) PackResult = Pack; - else PackResult = PackW; - end - + logic [WIDTH-1:0] Pack; + logic [WIDTH-1:0] PackH; + logic [WIDTH-1:0] PackW; + + assign lowhalf = A[WIDTH/2-1:0]; + assign highhalf = B[WIDTH/2-1:0]; + assign lowhalfh = A[7:0]; + assign highhalfh = B[7:0]; + assign lowhalfw = A[15:0]; + assign highhalfw = B[15:0]; + + assign Pack = {highhalf, lowhalf}; + assign PackH = {{(WIDTH-16){1'b0}}, highhalfh, lowhalfh}; + assign PackW = {{(WIDTH-32){highhalfw[15]}}, highhalfw, lowhalfw}; + + always_comb + begin + if (PackSelect[1:0] == 2'b11) PackResult = PackH; + else if (PackSelect[2] == 1'b0) PackResult = Pack; + else PackResult = PackW; + end endmodule diff --git a/src/ieu/kmu/zbkb.sv b/src/ieu/kmu/zbkb.sv index 33efb4b43..e6e667f17 100644 --- a/src/ieu/kmu/zbkb.sv +++ b/src/ieu/kmu/zbkb.sv @@ -41,6 +41,5 @@ module zbkb #(parameter WIDTH=32) zipper #(WIDTH) zip(.A, .ZipSelect(Funct3[2]), .ZipResult); // ZBKB Result Select Mux - mux3 #(WIDTH) zbkbresultmux(ByteResult, PackResult, ZipResult, ZBKBSelect[1:0], ZBKBResult); - + mux3 #(WIDTH) zbkbresultmux(ByteResult, PackResult, ZipResult, ZBKBSelect[1:0], ZBKBResult); endmodule diff --git a/src/ieu/kmu/zbkx.sv b/src/ieu/kmu/zbkx.sv index cd22dd462..9e3d2c200 100644 --- a/src/ieu/kmu/zbkx.sv +++ b/src/ieu/kmu/zbkx.sv @@ -30,22 +30,21 @@ module zbkx #(parameter WIDTH=32) input logic [2:0] ZBKXSelect, output logic [WIDTH-1:0] ZBKXResult); - logic [WIDTH-1:0] xperm_lookup; - integer i; + logic [WIDTH-1:0] xpermlookup; + integer i; always_comb begin if (ZBKXSelect[0] == 1'b0) begin for(i=0; i> {B[i+:8], 3'b0}; - ZBKXResult[i+:8] = xperm_lookup[7:0]; + xpermlookup = A >> {B[i+:8], 3'b0}; + ZBKXResult[i+:8] = xpermlookup[7:0]; end end else begin for(i=0; i> {B[i+:4], 2'b0}; - ZBKXResult[i+:4] = xperm_lookup[3:0]; + xpermlookup = A >> {B[i+:4], 2'b0}; + ZBKXResult[i+:4] = xpermlookup[3:0]; end end - end - + end endmodule diff --git a/src/ieu/kmu/zipper.sv b/src/ieu/kmu/zipper.sv index acbd36355..1799c5a89 100644 --- a/src/ieu/kmu/zipper.sv +++ b/src/ieu/kmu/zipper.sv @@ -36,12 +36,10 @@ module zipper #(parameter WIDTH=64) for (i=0; i