mirror of
				https://github.com/openhwgroup/cvw
				synced 2025-02-11 06:05:49 +00:00 
			
		
		
		
	small synthesis fixes
This commit is contained in:
		
							parent
							
								
									14becde792
								
							
						
					
					
						commit
						535046e494
					
				| @ -31,7 +31,7 @@ | |||||||
| `include "wally-config.vh" | `include "wally-config.vh" | ||||||
| 
 | 
 | ||||||
| package ahbliteState; | package ahbliteState; | ||||||
|   typedef enum {IDLE, MEMREAD, MEMWRITE, INSTRREAD, ATOMICREAD, ATOMICWRITE, MMUTRANSLATE} statetype; |   typedef enum logic [3:0] {IDLE, MEMREAD, MEMWRITE, INSTRREAD, ATOMICREAD, ATOMICWRITE, MMUTRANSLATE} statetype; | ||||||
| endpackage | endpackage | ||||||
| 
 | 
 | ||||||
| module ahblite ( | module ahblite ( | ||||||
|  | |||||||
| @ -30,7 +30,7 @@ module extend ( | |||||||
|   input  logic [2:0]        ImmSrcD, |   input  logic [2:0]        ImmSrcD, | ||||||
|   output logic [`XLEN-1:0 ] ExtImmD); |   output logic [`XLEN-1:0 ] ExtImmD); | ||||||
| 
 | 
 | ||||||
|   logic [`XLEN-1:0] undefined = {(`XLEN){1'bx}}; // could change to 0 after debug
 |   localparam [`XLEN-1:0] undefined = {(`XLEN){1'bx}}; // could change to 0 after debug
 | ||||||
|   |   | ||||||
|   generate |   generate | ||||||
|     always_comb |     always_comb | ||||||
|  | |||||||
| @ -31,6 +31,7 @@ module decoder #(parameter BINARY_BITS = 3) ( | |||||||
| ); | ); | ||||||
| 
 | 
 | ||||||
|   // *** Double check whether this synthesizes as expected
 |   // *** Double check whether this synthesizes as expected
 | ||||||
|  |   //     -- Ben @ May 4: only warning is that "signed to unsigned assignment occurs"; that said, I haven't checked the netlists
 | ||||||
|   assign one_hot = 1 << binary; |   assign one_hot = 1 << binary; | ||||||
| 
 | 
 | ||||||
| endmodule | endmodule | ||||||
|  | |||||||
		Loading…
	
		Reference in New Issue
	
	Block a user